aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-12 16:51:23 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-12 16:51:23 -0800
commitd54034ed5d74a927db24550701def7ffa62b8e8a (patch)
tree8839a0d860c086561e2b32ef09e7386d4bc6a0a0
parenta0b9fdade80b92b5fc8f44aa1c225dc8ac983e37 (diff)
downloadnextpnr-tests-d54034ed5d74a927db24550701def7ffa62b8e8a.tar.gz
nextpnr-tests-d54034ed5d74a927db24550701def7ffa62b8e8a.tar.bz2
nextpnr-tests-d54034ed5d74a927db24550701def7ffa62b8e8a.zip
gzip all JSONs
-rw-r--r--ice40/regressions/issue0065/wtf.json5350
-rw-r--r--ice40/regressions/issue0065/wtf.json.gzbin0 -> 7278 bytes
-rw-r--r--ice40/regressions/issue0069/top.json5354
-rw-r--r--ice40/regressions/issue0069/top.json.gzbin0 -> 7235 bytes
-rw-r--r--ice40/regressions/issue0070/sb_rgba_drv.json5370
-rw-r--r--ice40/regressions/issue0070/sb_rgba_drv.json.gzbin0 -> 7249 bytes
-rw-r--r--ice40/regressions/issue0071/top-nopll.json6747
-rw-r--r--ice40/regressions/issue0071/top-nopll.json.gzbin0 -> 8970 bytes
-rw-r--r--ice40/regressions/issue0071/top-pll.json6794
-rw-r--r--ice40/regressions/issue0071/top-pll.json.gzbin0 -> 9168 bytes
-rw-r--r--ice40/regressions/issue0072/top.json28292
-rw-r--r--ice40/regressions/issue0072/top.json.gzbin0 -> 30466 bytes
-rw-r--r--ice40/regressions/issue0084/mlaccel.json120323
-rw-r--r--ice40/regressions/issue0084/mlaccel.json.gzbin0 -> 122340 bytes
-rw-r--r--ice40/regressions/issue0090/conn-crash.json5339
-rw-r--r--ice40/regressions/issue0090/conn-crash.json.gzbin0 -> 7175 bytes
-rw-r--r--ice40/regressions/issue0098/j4a.json126303
-rw-r--r--ice40/regressions/issue0098/j4a.json.gzbin0 -> 118760 bytes
-rw-r--r--ice40/regressions/issue0099/top.json5582
-rw-r--r--ice40/regressions/issue0099/top.json.gzbin0 -> 7755 bytes
-rw-r--r--ice40/regressions/issue0106/top.json6876
-rw-r--r--ice40/regressions/issue0106/top.json.gzbin0 -> 8878 bytes
-rw-r--r--ice40/regressions/issue0116/phase_addon.json19504
-rw-r--r--ice40/regressions/issue0116/phase_addon.json.gzbin0 -> 22734 bytes
-rw-r--r--ice40/regressions/issue0117/top.json5282
-rw-r--r--ice40/regressions/issue0117/top.json.gzbin0 -> 6944 bytes
-rw-r--r--ice40/regressions/issue0120/top.json82893
-rw-r--r--ice40/regressions/issue0120/top.json.gzbin0 -> 85191 bytes
-rw-r--r--ice40/regressions/issue0121/serv_0.json48290
-rw-r--r--ice40/regressions/issue0121/serv_0.json.gzbin0 -> 64062 bytes
-rw-r--r--ice40/regressions/issue0126/pll-test.json5415
-rw-r--r--ice40/regressions/issue0126/pll-test.json.gzbin0 -> 7545 bytes
-rw-r--r--ice40/regressions/issue0127/top.json17020
-rw-r--r--ice40/regressions/issue0127/top.json.gzbin0 -> 22946 bytes
-rw-r--r--ice40/regressions/issue0145/top.json6977
-rw-r--r--ice40/regressions/issue0145/top.json.gzbin0 -> 9134 bytes
-rw-r--r--ice40/regressions/issue0148/ice40.json52198
-rw-r--r--ice40/regressions/issue0148/ice40.json.gzbin0 -> 57020 bytes
-rw-r--r--ice40/regressions/issue0151/top.json5376
-rw-r--r--ice40/regressions/issue0151/top.json.gzbin0 -> 7390 bytes
-rw-r--r--ice40/regressions/issue0170/hx8kbb.json49585
-rw-r--r--ice40/regressions/issue0170/hx8kbb.json.gzbin0 -> 48180 bytes
-rw-r--r--ice40/regressions/issue0176/test1.json5365
-rw-r--r--ice40/regressions/issue0176/test1.json.gzbin0 -> 7283 bytes
-rw-r--r--ice40/regressions/issue0176/test2.json5365
-rw-r--r--ice40/regressions/issue0176/test2.json.gzbin0 -> 7285 bytes
-rw-r--r--ice40/regressions/issue0176/test3.json5365
-rw-r--r--ice40/regressions/issue0176/test3.json.gzbin0 -> 7285 bytes
-rw-r--r--ice40/regressions/issue0176/test4.json5415
-rw-r--r--ice40/regressions/issue0176/test4.json.gzbin0 -> 7366 bytes
-rw-r--r--ice40/regressions/issue0176/test5.json5435
-rw-r--r--ice40/regressions/issue0176/test5.json.gzbin0 -> 7389 bytes
-rw-r--r--ice40/regressions/issue0176/test6.json5415
-rw-r--r--ice40/regressions/issue0176/test6.json.gzbin0 -> 7367 bytes
-rw-r--r--ice40/regressions/issue0176/test7.json5415
-rw-r--r--ice40/regressions/issue0176/test7.json.gzbin0 -> 7373 bytes
-rw-r--r--ice40/regressions/issue0176/test8.json5415
-rw-r--r--ice40/regressions/issue0176/test8.json.gzbin0 -> 7370 bytes
-rw-r--r--ice40/regressions/issue0183/TopEntity.json140987
-rw-r--r--ice40/regressions/issue0183/TopEntity.json.gzbin0 -> 155278 bytes
-rw-r--r--ice40/regressions/issue0186/test.json6838
-rw-r--r--ice40/regressions/issue0186/test.json.gzbin0 -> 9011 bytes
-rw-r--r--ice40/regressions/issue0188/test.json6906
-rw-r--r--ice40/regressions/issue0188/test.json.gzbin0 -> 9116 bytes
-rw-r--r--ice40/regressions/issue0203/ringosc.json5377
-rw-r--r--ice40/regressions/issue0203/ringosc.json.gzbin0 -> 7219 bytes
-rw-r--r--ice40/regressions/issue0209/test.json5327
-rw-r--r--ice40/regressions/issue0209/test.json.gzbin0 -> 7182 bytes
-rw-r--r--ice40/regressions/issue0218/rx_cdr_divider.json5468
-rw-r--r--ice40/regressions/issue0218/rx_cdr_divider.json.gzbin0 -> 7470 bytes
-rw-r--r--ice40/regressions/issue0224/rx_cdr_bbpd.json5418
-rw-r--r--ice40/regressions/issue0224/rx_cdr_bbpd.json.gzbin0 -> 7398 bytes
-rw-r--r--ice40/regressions/issue0229/top.json16243
-rw-r--r--ice40/regressions/issue0229/top.json.gzbin0 -> 18695 bytes
-rw-r--r--ice40/regressions/pr0226/top.json5521
-rw-r--r--ice40/regressions/pr0226/top.json.gzbin0 -> 7394 bytes
76 files changed, 0 insertions, 856145 deletions
diff --git a/ice40/regressions/issue0065/wtf.json b/ice40/regressions/issue0065/wtf.json
deleted file mode 100644
index d6caf02..0000000
--- a/ice40/regressions/issue0065/wtf.json
+++ /dev/null
@@ -1,5350 +0,0 @@
-{
- "creator": "Yosys 0.8+148 (git sha1 e112d2fb, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "wtf": {
- "attributes": {
- "top": 1,
- "src": "wtf.v:3"
- },
- "ports": {
- "clk_12": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "fast": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "pll.uut": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 71,
- "DIVQ": 2,
- "DIVR": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "wtf.v:10|ice40_pll_12_216.v:19"
- },
- "port_directions": {
- "BYPASS": "input",
- "LOCK": "output",
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "LOCK": [ 4 ],
- "PLLOUTCORE": [ 3 ],
- "REFERENCECLK": [ 2 ],
- "RESETB": [ "1" ]
- }
- }
- },
- "netnames": {
- "clk_12": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "wtf.v:4"
- }
- },
- "clk_216": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "wtf.v:8"
- }
- },
- "fast": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "wtf.v:5"
- }
- },
- "pll.clock_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "wtf.v:10|ice40_pll_12_216.v:14"
- }
- },
- "pll.clock_out": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "wtf.v:10|ice40_pll_12_216.v:15"
- }
- },
- "pll.locked": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "wtf.v:10|ice40_pll_12_216.v:16",
- "unused_bits": "0"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0065/wtf.json.gz b/ice40/regressions/issue0065/wtf.json.gz
new file mode 100644
index 0000000..86d7bcc
--- /dev/null
+++ b/ice40/regressions/issue0065/wtf.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0069/top.json b/ice40/regressions/issue0069/top.json
deleted file mode 100644
index 96601f7..0000000
--- a/ice40/regressions/issue0069/top.json
+++ /dev/null
@@ -1,5354 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "clk16": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "out": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "SB_GB": {
- "hide_name": 0,
- "type": "SB_GB",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:33"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 3 ],
- "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 4 ]
- }
- },
- "SB_PLL40_CORE": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 63,
- "DIVQ": 5,
- "DIVR": 0,
- "FILTER_RANGE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:22"
- },
- "port_directions": {
- "PLLOUTGLOBAL": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "PLLOUTGLOBAL": [ 4 ],
- "REFERENCECLK": [ 2 ],
- "RESETB": [ "1" ]
- }
- }
- },
- "netnames": {
- "clk16": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "clk16_1": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:8"
- }
- },
- "clk32": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "out": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:7"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0069/top.json.gz b/ice40/regressions/issue0069/top.json.gz
new file mode 100644
index 0000000..eacac18
--- /dev/null
+++ b/ice40/regressions/issue0069/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0070/sb_rgba_drv.json b/ice40/regressions/issue0070/sb_rgba_drv.json
deleted file mode 100644
index 88ea06f..0000000
--- a/ice40/regressions/issue0070/sb_rgba_drv.json
+++ /dev/null
@@ -1,5370 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "sb_rgba_drv.v:1"
- },
- "ports": {
- "r_in": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "g_in": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "b_in": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "r_led": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "g_led": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "b_led": {
- "direction": "output",
- "bits": [ 7 ]
- }
- },
- "cells": {
- "RGBA_DRIVER": {
- "hide_name": 0,
- "type": "SB_RGBA_DRV",
- "parameters": {
- "CURRENT_MODE": "0b0",
- "RGB0_CURRENT": "0b000011",
- "RGB1_CURRENT": "0b001111",
- "RGB2_CURRENT": "0b111111"
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "sb_rgba_drv.v:12"
- },
- "port_directions": {
- "CURREN": "input",
- "RGB0": "output",
- "RGB0PWM": "input",
- "RGB1": "output",
- "RGB1PWM": "input",
- "RGB2": "output",
- "RGB2PWM": "input",
- "RGBLEDEN": "input"
- },
- "connections": {
- "CURREN": [ "1" ],
- "RGB0": [ 5 ],
- "RGB0PWM": [ 2 ],
- "RGB1": [ 6 ],
- "RGB1PWM": [ 2 ],
- "RGB2": [ 7 ],
- "RGB2PWM": [ 2 ],
- "RGBLEDEN": [ "1" ]
- }
- }
- },
- "netnames": {
- "b_in": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "sb_rgba_drv.v:4"
- }
- },
- "b_led": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "sb_rgba_drv.v:7"
- }
- },
- "g_in": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "sb_rgba_drv.v:3"
- }
- },
- "g_led": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "sb_rgba_drv.v:6"
- }
- },
- "r_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "sb_rgba_drv.v:2"
- }
- },
- "r_led": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "sb_rgba_drv.v:5"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0070/sb_rgba_drv.json.gz b/ice40/regressions/issue0070/sb_rgba_drv.json.gz
new file mode 100644
index 0000000..beab1f6
--- /dev/null
+++ b/ice40/regressions/issue0070/sb_rgba_drv.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0071/top-nopll.json b/ice40/regressions/issue0071/top-nopll.json
deleted file mode 100644
index 5a506f3..0000000
--- a/ice40/regressions/issue0071/top-nopll.json
+++ /dev/null
@@ -1,6747 +0,0 @@
-{
- "creator": "Yosys 0.7+651 (git sha1 96d79878, x86_64-w64-mingw32-g++ 7.3.0 -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1096"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1098"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:939"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:942"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:941"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:940"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:973"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:979"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:977"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:974"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:991"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:990"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:989"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:988"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:987"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:986"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:975"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:976"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:994"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1009"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1102"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1111"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1114"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1171"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1174"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1181"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1180"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1179"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1178"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1175"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1173"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1176"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1177"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1172"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1070"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1090"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:948"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:951"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:950"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:949"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:956"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:957"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:959"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:960"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:964"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:961"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:958"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1016"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1050"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:924"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:929"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:930"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:934"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:933"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:932"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:931"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:928"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "clk16": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "usb_d_p": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "usb_d_n": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "usb_pullup": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "user_led": {
- "direction": "output",
- "bits": [ 5 ]
- }
- },
- "cells": {
- "$abc$222$auto$blifparse.cc:492:parse_blif$223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 7 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 9 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 10 ],
- "I3": [ 11 ],
- "O": [ 12 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 11 ],
- "CO": [ 13 ],
- "I0": [ "0" ],
- "I1": [ 10 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 14 ],
- "I3": [ 13 ],
- "O": [ 15 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 13 ],
- "CO": [ 16 ],
- "I0": [ "0" ],
- "I1": [ 14 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 17 ],
- "I3": [ 16 ],
- "O": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 16 ],
- "CO": [ 19 ],
- "I0": [ "0" ],
- "I1": [ 17 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 20 ],
- "I3": [ 19 ],
- "O": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 19 ],
- "CO": [ 22 ],
- "I0": [ "0" ],
- "I1": [ 20 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 23 ],
- "I3": [ 22 ],
- "O": [ 24 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 22 ],
- "CO": [ 25 ],
- "I0": [ "0" ],
- "I1": [ 23 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 26 ],
- "I3": [ 25 ],
- "O": [ 27 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 25 ],
- "CO": [ 28 ],
- "I0": [ "0" ],
- "I1": [ 26 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 29 ],
- "I3": [ 28 ],
- "O": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 28 ],
- "CO": [ 31 ],
- "I0": [ "0" ],
- "I1": [ 29 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 32 ],
- "I3": [ 31 ],
- "O": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 31 ],
- "CO": [ 34 ],
- "I0": [ "0" ],
- "I1": [ 32 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 35 ],
- "I3": [ 34 ],
- "O": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 34 ],
- "CO": [ 37 ],
- "I0": [ "0" ],
- "I1": [ 35 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 38 ],
- "I3": [ 37 ],
- "O": [ 39 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 37 ],
- "CO": [ 40 ],
- "I0": [ "0" ],
- "I1": [ 38 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 8 ],
- "CO": [ 41 ],
- "I0": [ "0" ],
- "I1": [ 6 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 42 ],
- "I3": [ 40 ],
- "O": [ 43 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 40 ],
- "CO": [ 44 ],
- "I0": [ "0" ],
- "I1": [ 42 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 45 ],
- "I3": [ 44 ],
- "O": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 44 ],
- "CO": [ 47 ],
- "I0": [ "0" ],
- "I1": [ 45 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 5 ],
- "I3": [ 47 ],
- "O": [ 48 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 49 ],
- "I3": [ 41 ],
- "O": [ 50 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 41 ],
- "CO": [ 51 ],
- "I0": [ "0" ],
- "I1": [ 49 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 52 ],
- "I3": [ 51 ],
- "O": [ 53 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 51 ],
- "CO": [ 54 ],
- "I0": [ "0" ],
- "I1": [ 52 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 55 ],
- "I3": [ 54 ],
- "O": [ 56 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 54 ],
- "CO": [ 57 ],
- "I0": [ "0" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 58 ],
- "I3": [ 57 ],
- "O": [ 59 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 57 ],
- "CO": [ 60 ],
- "I0": [ "0" ],
- "I1": [ 58 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 61 ],
- "I3": [ 60 ],
- "O": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 60 ],
- "CO": [ 63 ],
- "I0": [ "0" ],
- "I1": [ 61 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 64 ],
- "I3": [ 63 ],
- "O": [ 65 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 63 ],
- "CO": [ 66 ],
- "I0": [ "0" ],
- "I1": [ 64 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 67 ],
- "I3": [ 66 ],
- "O": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 66 ],
- "CO": [ 69 ],
- "I0": [ "0" ],
- "I1": [ 67 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 70 ],
- "I3": [ 69 ],
- "O": [ 71 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 69 ],
- "CO": [ 11 ],
- "I0": [ "0" ],
- "I1": [ 70 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$65": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 9 ],
- "Q": [ 8 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$66": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 7 ],
- "E": [ 8 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$67": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 50 ],
- "Q": [ 49 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$68": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 53 ],
- "Q": [ 52 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$69": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 56 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$70": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 59 ],
- "Q": [ 58 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$71": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 62 ],
- "Q": [ 61 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$72": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 65 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$73": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 68 ],
- "Q": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$74": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 71 ],
- "Q": [ 70 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$75": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 12 ],
- "Q": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$76": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 15 ],
- "Q": [ 14 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$77": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 18 ],
- "Q": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$78": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 21 ],
- "Q": [ 20 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$79": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 24 ],
- "Q": [ 23 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$80": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 27 ],
- "Q": [ 26 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$81": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 30 ],
- "Q": [ 29 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$82": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 33 ],
- "Q": [ 32 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$83": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "Q": [ 35 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$84": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 39 ],
- "Q": [ 38 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$85": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 43 ],
- "Q": [ 42 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$86": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 46 ],
- "Q": [ 45 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$87": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 48 ],
- "Q": [ 5 ]
- }
- }
- },
- "netnames": {
- "$0\\count[23:0]": {
- "hide_name": 1,
- "bits": [ 9, 7, 50, 53, 56, 59, 62, 65, 68, 71, 12, 15, 18, 21, 24, 27, 30, 33, 36, 39, 43, 46, 48, 72 ],
- "attributes": {
- "src": "top.v:28"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.C": {
- "hide_name": 1,
- "bits": [ 73, 74, 41, 51, 54, 57, 60, 63, 66, 69, 11, 13, 16, 19, 22, 25, 28, 31, 34, 37, 40, 44, 47, 75 ],
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:43"
- }
- },
- "clk16": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "clk16_1": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:12"
- }
- },
- "count": {
- "hide_name": 0,
- "bits": [ 8, 6, 49, 52, 55, 58, 61, 64, 67, 70, 10, 14, 17, 20, 23, 26, 29, 32, 35, 38, 42, 45, 5, "x" ],
- "attributes": {
- "init": 0,
- "src": "top.v:13"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:10"
- }
- },
- "usb_d_n": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "usb_d_p": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "usb_pullup": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "user_led": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:7"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0071/top-nopll.json.gz b/ice40/regressions/issue0071/top-nopll.json.gz
new file mode 100644
index 0000000..f30b69e
--- /dev/null
+++ b/ice40/regressions/issue0071/top-nopll.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0071/top-pll.json b/ice40/regressions/issue0071/top-pll.json
deleted file mode 100644
index 4067018..0000000
--- a/ice40/regressions/issue0071/top-pll.json
+++ /dev/null
@@ -1,6794 +0,0 @@
-{
- "creator": "Yosys 0.7+651 (git sha1 96d79878, x86_64-w64-mingw32-g++ 7.3.0 -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1096"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1098"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:939"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:942"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:941"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:940"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:973"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:979"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:977"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:974"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:991"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:990"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:989"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:988"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:987"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:986"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:975"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:976"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:994"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1009"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1102"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1111"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1114"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1171"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1174"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1181"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1180"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1179"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1178"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1175"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1173"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1176"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1177"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1172"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1070"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1090"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:948"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:951"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:950"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:949"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:956"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:957"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:959"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:960"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:964"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:961"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:958"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1016"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:1050"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:924"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:929"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:930"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:934"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:933"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:932"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:931"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:928"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "clk16": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "usb_d_p": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "usb_d_n": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "usb_pullup": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "user_led": {
- "direction": "output",
- "bits": [ 5 ]
- }
- },
- "cells": {
- "$abc$222$auto$blifparse.cc:492:parse_blif$223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 7 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 9 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 10 ],
- "I3": [ 11 ],
- "O": [ 12 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 11 ],
- "CO": [ 13 ],
- "I0": [ "0" ],
- "I1": [ 10 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 14 ],
- "I3": [ 13 ],
- "O": [ 15 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 13 ],
- "CO": [ 16 ],
- "I0": [ "0" ],
- "I1": [ 14 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 17 ],
- "I3": [ 16 ],
- "O": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 16 ],
- "CO": [ 19 ],
- "I0": [ "0" ],
- "I1": [ 17 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 20 ],
- "I3": [ 19 ],
- "O": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 19 ],
- "CO": [ 22 ],
- "I0": [ "0" ],
- "I1": [ 20 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 23 ],
- "I3": [ 22 ],
- "O": [ 24 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 22 ],
- "CO": [ 25 ],
- "I0": [ "0" ],
- "I1": [ 23 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 26 ],
- "I3": [ 25 ],
- "O": [ 27 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 25 ],
- "CO": [ 28 ],
- "I0": [ "0" ],
- "I1": [ 26 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 29 ],
- "I3": [ 28 ],
- "O": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 28 ],
- "CO": [ 31 ],
- "I0": [ "0" ],
- "I1": [ 29 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 32 ],
- "I3": [ 31 ],
- "O": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 31 ],
- "CO": [ 34 ],
- "I0": [ "0" ],
- "I1": [ 32 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 35 ],
- "I3": [ 34 ],
- "O": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 34 ],
- "CO": [ 37 ],
- "I0": [ "0" ],
- "I1": [ 35 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 38 ],
- "I3": [ 37 ],
- "O": [ 39 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 37 ],
- "CO": [ 40 ],
- "I0": [ "0" ],
- "I1": [ 38 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 8 ],
- "CO": [ 41 ],
- "I0": [ "0" ],
- "I1": [ 6 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 42 ],
- "I3": [ 40 ],
- "O": [ 43 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 40 ],
- "CO": [ 44 ],
- "I0": [ "0" ],
- "I1": [ 42 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 45 ],
- "I3": [ 44 ],
- "O": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 44 ],
- "CO": [ 47 ],
- "I0": [ "0" ],
- "I1": [ 45 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 5 ],
- "I3": [ 47 ],
- "O": [ 48 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 49 ],
- "I3": [ 41 ],
- "O": [ 50 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 41 ],
- "CO": [ 51 ],
- "I0": [ "0" ],
- "I1": [ 49 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 52 ],
- "I3": [ 51 ],
- "O": [ 53 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 51 ],
- "CO": [ 54 ],
- "I0": [ "0" ],
- "I1": [ 52 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 55 ],
- "I3": [ 54 ],
- "O": [ 56 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 54 ],
- "CO": [ 57 ],
- "I0": [ "0" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 58 ],
- "I3": [ 57 ],
- "O": [ 59 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 57 ],
- "CO": [ 60 ],
- "I0": [ "0" ],
- "I1": [ 58 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 61 ],
- "I3": [ 60 ],
- "O": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 60 ],
- "CO": [ 63 ],
- "I0": [ "0" ],
- "I1": [ 61 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 64 ],
- "I3": [ 63 ],
- "O": [ 65 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 63 ],
- "CO": [ 66 ],
- "I0": [ "0" ],
- "I1": [ 64 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 67 ],
- "I3": [ 66 ],
- "O": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 66 ],
- "CO": [ 69 ],
- "I0": [ "0" ],
- "I1": [ 67 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 70 ],
- "I3": [ 69 ],
- "O": [ 71 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 69 ],
- "CO": [ 11 ],
- "I0": [ "0" ],
- "I1": [ 70 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$65": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 9 ],
- "Q": [ 8 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$66": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 7 ],
- "E": [ 8 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$67": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 50 ],
- "Q": [ 49 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$68": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 53 ],
- "Q": [ 52 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$69": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 56 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$70": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 59 ],
- "Q": [ 58 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$71": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 62 ],
- "Q": [ 61 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$72": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 65 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$73": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 68 ],
- "Q": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$74": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 71 ],
- "Q": [ 70 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$75": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 12 ],
- "Q": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$76": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 15 ],
- "Q": [ 14 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$77": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 18 ],
- "Q": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$78": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 21 ],
- "Q": [ 20 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$79": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 24 ],
- "Q": [ 23 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$80": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 27 ],
- "Q": [ 26 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$81": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 30 ],
- "Q": [ 29 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$82": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 33 ],
- "Q": [ 32 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$83": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 36 ],
- "Q": [ 35 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$84": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 39 ],
- "Q": [ 38 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$85": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 43 ],
- "Q": [ 42 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$86": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 46 ],
- "Q": [ 45 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$87": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:28|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 72 ],
- "D": [ 48 ],
- "Q": [ 5 ]
- }
- },
- "SB_GB": {
- "hide_name": 0,
- "type": "SB_GB",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:46"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 72 ],
- "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 73 ]
- }
- },
- "SB_PLL40_CORE": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 63,
- "DIVQ": 6,
- "DIVR": 0,
- "FILTER_RANGE": 1
- },
- "attributes": {
- "src": "top.v:35"
- },
- "port_directions": {
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "PLLOUTCORE": [ 73 ],
- "REFERENCECLK": [ 2 ],
- "RESETB": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\count[23:0]": {
- "hide_name": 1,
- "bits": [ 9, 7, 50, 53, 56, 59, 62, 65, 68, 71, 12, 15, 18, 21, 24, 27, 30, 33, 36, 39, 43, 46, 48, 74 ],
- "attributes": {
- "src": "top.v:28"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$9.C": {
- "hide_name": 1,
- "bits": [ 75, 76, 41, 51, 54, 57, 60, 63, 66, 69, 11, 13, 16, 19, 22, 25, 28, 31, 34, 37, 40, 44, 47, 77 ],
- "attributes": {
- "src": "top.v:29|C:\\msys64\\mingw64\\bin\\../share/yosys/ice40/arith_map.v:43"
- }
- },
- "clk16": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "clk16_1": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:12"
- }
- },
- "clk16b": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "top.v:13"
- }
- },
- "count": {
- "hide_name": 0,
- "bits": [ 8, 6, 49, 52, 55, 58, 61, 64, 67, 70, 10, 14, 17, 20, 23, 26, 29, 32, 35, 38, 42, 45, 5, "x" ],
- "attributes": {
- "init": 0,
- "src": "top.v:14"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "top.v:10"
- }
- },
- "usb_d_n": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "usb_d_p": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "usb_pullup": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "user_led": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:7"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0071/top-pll.json.gz b/ice40/regressions/issue0071/top-pll.json.gz
new file mode 100644
index 0000000..0b3e2a3
--- /dev/null
+++ b/ice40/regressions/issue0071/top-pll.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0072/top.json b/ice40/regressions/issue0072/top.json
deleted file mode 100644
index 8d0ab81..0000000
--- a/ice40/regressions/issue0072/top.json
+++ /dev/null
@@ -1,28292 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "clk_if": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "i2c_scl": {
- "direction": "inout",
- "bits": [ 3 ]
- },
- "i2c_sda": {
- "direction": "inout",
- "bits": [ 4 ]
- },
- "fx2_sloe": {
- "direction": "inout",
- "bits": [ 5 ]
- },
- "fx2_slrd": {
- "direction": "inout",
- "bits": [ 6 ]
- },
- "fx2_slwr": {
- "direction": "inout",
- "bits": [ 7 ]
- },
- "fx2_pktend": {
- "direction": "inout",
- "bits": [ 8 ]
- },
- "fx2_fifoadr": {
- "direction": "inout",
- "bits": [ 9, 10 ]
- },
- "fx2_flag": {
- "direction": "inout",
- "bits": [ 11, 12, 13, 14 ]
- },
- "fx2_fd": {
- "direction": "inout",
- "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ]
- },
- "io": {
- "direction": "inout",
- "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ]
- },
- "io_1": {
- "direction": "input",
- "bits": [ 31, 32, 33, 34, 35, 36, 37, 38 ]
- }
- },
- "cells": {
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 40 ],
- "I2": [ 41 ],
- "I3": [ 42 ],
- "O": [ 43 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ 46 ],
- "I3": [ 47 ],
- "O": [ 42 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 46 ],
- "I3": [ 50 ],
- "O": [ 47 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 52 ],
- "I2": [ 53 ],
- "I3": [ "0" ],
- "O": [ 50 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 51 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ 59 ],
- "I3": [ 60 ],
- "O": [ 52 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 62 ],
- "I2": [ 63 ],
- "I3": [ 64 ],
- "O": [ 53 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 46 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ "0" ],
- "O": [ 49 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 70 ],
- "I2": [ 71 ],
- "I3": [ "0" ],
- "O": [ 45 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ 69 ],
- "I3": [ 74 ],
- "O": [ 71 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 77 ],
- "I3": [ 78 ],
- "O": [ 73 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 81 ],
- "I3": [ 82 ],
- "O": [ 72 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 44 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 84 ],
- "I2": [ 85 ],
- "I3": [ "0" ],
- "O": [ 41 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 87 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 85 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ 40 ],
- "I2": [ 89 ],
- "I3": [ "0" ],
- "O": [ 86 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 89 ],
- "I2": [ 88 ],
- "I3": [ "0" ],
- "O": [ 87 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 90 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 84 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 67 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 90 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 92 ],
- "I2": [ 90 ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 93 ],
- "I2": [ 94 ],
- "I3": [ 65 ],
- "O": [ 92 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 95 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 89 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 96 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 98 ],
- "I2": [ 99 ],
- "I3": [ 100 ],
- "O": [ 101 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 104 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 106 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 103 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 108 ],
- "I2": [ 109 ],
- "I3": [ 110 ],
- "O": [ 105 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ 114 ],
- "O": [ 106 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 98 ],
- "I2": [ 118 ],
- "I3": [ 100 ],
- "O": [ 119 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 98 ],
- "I2": [ 99 ],
- "I3": [ 100 ],
- "O": [ 121 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 122 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 124 ],
- "I1": [ 125 ],
- "I2": [ 93 ],
- "I3": [ 94 ],
- "O": [ 122 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 67 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 40 ],
- "I2": [ 91 ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 65 ],
- "I2": [ 126 ],
- "I3": [ 127 ],
- "O": [ 128 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 41 ],
- "I1": [ 129 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 130 ],
- "I1": [ 131 ],
- "I2": [ 132 ],
- "I3": [ "0" ],
- "O": [ 129 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 93 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 130 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 135 ],
- "I3": [ 136 ],
- "O": [ 131 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 138 ],
- "I2": [ 139 ],
- "I3": [ 140 ],
- "O": [ 135 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 66 ],
- "I2": [ 67 ],
- "I3": [ "0" ],
- "O": [ 132 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 141 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 46 ],
- "O": [ 141 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 66 ],
- "I2": [ 46 ],
- "I3": [ 68 ],
- "O": [ 142 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 146 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 124 ],
- "I2": [ 147 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 65 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 147 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 84 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 149 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 150 ],
- "I1": [ 147 ],
- "I2": [ 48 ],
- "I3": [ "0" ],
- "O": [ 148 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 49 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 150 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 70 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 151 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 152 ],
- "I1": [ 153 ],
- "I2": [ 154 ],
- "I3": [ 155 ],
- "O": [ 156 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 117 ],
- "I2": [ 116 ],
- "I3": [ 157 ],
- "O": [ 155 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 158 ],
- "I1": [ 159 ],
- "I2": [ 160 ],
- "I3": [ 161 ],
- "O": [ 153 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 163 ],
- "I2": [ 164 ],
- "I3": [ 165 ],
- "O": [ 154 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 152 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 168 ],
- "I1": [ 169 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 170 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 156 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 168 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 117 ],
- "I2": [ 116 ],
- "I3": [ 157 ],
- "O": [ 169 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 172 ],
- "I2": [ 173 ],
- "I3": [ "0" ],
- "O": [ 174 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 176 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 172 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 178 ],
- "I2": [ 179 ],
- "I3": [ "0" ],
- "O": [ 175 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 181 ],
- "I2": [ 182 ],
- "I3": [ "0" ],
- "O": [ 177 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 181 ],
- "I2": [ 183 ],
- "I3": [ "0" ],
- "O": [ 178 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 184 ],
- "I1": [ 185 ],
- "I2": [ 181 ],
- "I3": [ 180 ],
- "O": [ 179 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 176 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 154 ],
- "I2": [ 153 ],
- "I3": [ 167 ],
- "O": [ 173 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ 190 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 174 ],
- "I2": [ 156 ],
- "I3": [ "0" ],
- "O": [ 191 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44010
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 174 ],
- "I2": [ 162 ],
- "I3": [ 156 ],
- "O": [ 192 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 193 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 194 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 195 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 196 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 199 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 189 ],
- "I2": [ 200 ],
- "I3": [ 172 ],
- "O": [ 198 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65265
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 171 ],
- "I2": [ 50 ],
- "I3": [ 198 ],
- "O": [ 201 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 171 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 202 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 204 ],
- "I2": [ 205 ],
- "I3": [ 206 ],
- "O": [ 207 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3071
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 177 ],
- "I2": [ 208 ],
- "I3": [ 209 ],
- "O": [ 205 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 178 ],
- "I1": [ 206 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 208 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 187 ],
- "I2": [ 188 ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 211 ],
- "I2": [ 212 ],
- "I3": [ 213 ],
- "O": [ 204 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 215 ],
- "I2": [ 216 ],
- "I3": [ "0" ],
- "O": [ 212 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 217 ],
- "I1": [ 218 ],
- "I2": [ 219 ],
- "I3": [ 220 ],
- "O": [ 213 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 50 ],
- "I2": [ 205 ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 223 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 224 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 203 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 222 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 115 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 223 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 224 ],
- "I2": [ 207 ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47790
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 207 ],
- "I2": [ 224 ],
- "I3": [ 211 ],
- "O": [ 226 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 228 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 230 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 231 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 232 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 234 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 237 ],
- "I2": [ 104 ],
- "I3": [ "0" ],
- "O": [ 234 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 115 ],
- "I2": [ 117 ],
- "I3": [ 116 ],
- "O": [ 236 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 238 ],
- "I1": [ 157 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 237 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 116 ],
- "I3": [ "0" ],
- "O": [ 238 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 239 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 99 ],
- "I2": [ 100 ],
- "I3": [ "0" ],
- "O": [ 240 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 33023
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 98 ],
- "I2": [ 241 ],
- "I3": [ 100 ],
- "O": [ 242 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 244 ],
- "I2": [ 243 ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 244 ],
- "I1": [ 99 ],
- "I2": [ 100 ],
- "I3": [ "0" ],
- "O": [ 246 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 247 ],
- "I2": [ 69 ],
- "I3": [ 50 ],
- "O": [ 248 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 250 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ 253 ],
- "I3": [ 254 ],
- "O": [ 249 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 255 ],
- "I1": [ 256 ],
- "I2": [ 257 ],
- "I3": [ 258 ],
- "O": [ 250 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65296
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 247 ],
- "I1": [ 70 ],
- "I2": [ 69 ],
- "I3": [ 50 ],
- "O": [ 259 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60075
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 260 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 261 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 262 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 181 ],
- "I2": [ 263 ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 186 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 263 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 264 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 188 ],
- "I2": [ 263 ],
- "I3": [ "0" ],
- "O": [ 264 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 268 ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 182 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 266 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16385
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 268 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 183 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 100 ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 126
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 116 ],
- "I3": [ "0" ],
- "O": [ 270 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 62 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 272 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 273 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 274 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 275 ],
- "I1": [ 276 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 273 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 278 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 280 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 277 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 282 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 284 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 281 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 285 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 286 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 288 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 285 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 290 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 291 ],
- "I1": [ 292 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 289 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 293 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 294 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 296 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 293 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 298 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 299 ],
- "I1": [ 300 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 297 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 238 ],
- "I2": [ 157 ],
- "I3": [ 301 ],
- "O": [ 302 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 303 ],
- "I1": [ 304 ],
- "I2": [ 305 ],
- "I3": [ 102 ],
- "O": [ 306 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 222 ],
- "I2": [ 223 ],
- "I3": [ "0" ],
- "O": [ 304 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 308 ],
- "I1": [ 309 ],
- "I2": [ 310 ],
- "I3": [ 311 ],
- "O": [ 307 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 312 ],
- "I1": [ 313 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 308 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 316 ],
- "I1": [ 317 ],
- "I2": [ 318 ],
- "I3": [ 319 ],
- "O": [ 309 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 321 ],
- "I2": [ 322 ],
- "I3": [ 323 ],
- "O": [ 310 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 325 ],
- "I2": [ 326 ],
- "I3": [ 327 ],
- "O": [ 311 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 329 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 305 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 117 ],
- "I2": [ 115 ],
- "I3": [ 116 ],
- "O": [ 328 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29674
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 157 ],
- "I3": [ 116 ],
- "O": [ 329 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 330 ],
- "I2": [ 118 ],
- "I3": [ 99 ],
- "O": [ 303 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 331 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 330 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 224 ],
- "I2": [ 332 ],
- "I3": [ 102 ],
- "O": [ 333 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 332 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 116 ],
- "I2": [ 157 ],
- "I3": [ 115 ],
- "O": [ 334 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 335 ],
- "I1": [ 336 ],
- "I2": [ 234 ],
- "I3": [ 102 ],
- "O": [ 337 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 222 ],
- "I2": [ 223 ],
- "I3": [ "0" ],
- "O": [ 335 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 157 ],
- "I1": [ 117 ],
- "I2": [ 115 ],
- "I3": [ 116 ],
- "O": [ 336 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 99 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 338 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 339 ],
- "I2": [ 70 ],
- "I3": [ "0" ],
- "O": [ 340 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 59391
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 48 ],
- "I2": [ 40 ],
- "I3": [ 150 ],
- "O": [ 339 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 342 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 344 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 345 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 346 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 347 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 348 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 349 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 350 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 353 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 354 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 355 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 356 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 357 ],
- "I2": [ 358 ],
- "I3": [ 102 ],
- "O": [ 359 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 301 ],
- "I1": [ 316 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 358 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 116 ],
- "I2": [ 115 ],
- "I3": [ 157 ],
- "O": [ 360 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 362 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 125
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 316 ],
- "I2": [ 317 ],
- "I3": [ 363 ],
- "O": [ 361 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 275 ],
- "I1": [ 317 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 364 ],
- "I2": [ 365 ],
- "I3": [ 102 ],
- "O": [ 366 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 318 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 365 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 367 ],
- "I2": [ 368 ],
- "I3": [ 102 ],
- "O": [ 369 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 319 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 368 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 370 ],
- "I2": [ 371 ],
- "I3": [ 102 ],
- "O": [ 372 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 312 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 373 ],
- "I2": [ 374 ],
- "I3": [ 102 ],
- "O": [ 375 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 291 ],
- "I1": [ 313 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 374 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 376 ],
- "I2": [ 377 ],
- "I3": [ 102 ],
- "O": [ 378 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 314 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 377 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 379 ],
- "I2": [ 380 ],
- "I3": [ 102 ],
- "O": [ 381 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 299 ],
- "I1": [ 315 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 380 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 324 ],
- "I2": [ 382 ],
- "I3": [ 102 ],
- "O": [ 383 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 237 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 382 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 301 ],
- "I3": [ "0" ],
- "O": [ 385 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 386 ],
- "I1": [ 387 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 388 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 325 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 389 ],
- "O": [ 387 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 275 ],
- "I3": [ "0" ],
- "O": [ 386 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 390 ],
- "I1": [ 391 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 392 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 326 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 393 ],
- "O": [ 391 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 279 ],
- "I3": [ "0" ],
- "O": [ 390 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 394 ],
- "I2": [ 395 ],
- "I3": [ 102 ],
- "O": [ 396 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 327 ],
- "I1": [ 360 ],
- "I2": [ 397 ],
- "I3": [ "0" ],
- "O": [ 395 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 283 ],
- "I3": [ "0" ],
- "O": [ 397 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 398 ],
- "I1": [ 399 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 400 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 401 ],
- "O": [ 399 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 287 ],
- "I3": [ "0" ],
- "O": [ 398 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 403 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 404 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 321 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 405 ],
- "O": [ 403 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 291 ],
- "I3": [ "0" ],
- "O": [ 402 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 406 ],
- "I2": [ 407 ],
- "I3": [ 102 ],
- "O": [ 408 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 322 ],
- "I1": [ 360 ],
- "I2": [ 409 ],
- "I3": [ "0" ],
- "O": [ 407 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 295 ],
- "I3": [ "0" ],
- "O": [ 409 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 412 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 323 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 413 ],
- "O": [ 411 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 299 ],
- "I3": [ "0" ],
- "O": [ 410 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 416 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 237 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 415 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 144
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 417 ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 102 ],
- "I2": [ 115 ],
- "I3": [ 117 ],
- "O": [ 417 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 420 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 421 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 422 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 423 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 424 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 425 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 427 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 428 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 430 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 99 ],
- "I2": [ 98 ],
- "I3": [ "0" ],
- "O": [ 431 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 432 ],
- "I1": [ 433 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 434 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ 436 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 437 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 439 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 440 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ 442 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 443 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 445 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 446 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 447 ],
- "I1": [ 448 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 449 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 451 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 452 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 454 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 455 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 456 ],
- "I1": [ 457 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 458 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 190 ],
- "I2": [ 172 ],
- "I3": [ 189 ],
- "O": [ 459 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 461 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 464 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 465 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 466 ],
- "I1": [ 467 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 468 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 470 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 472 ],
- "I1": [ 473 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 474 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ 476 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 479 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 480 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 481 ],
- "I1": [ 482 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 483 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 485 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 486 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 487 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 488 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 489 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 490 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 491 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 493 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 494 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 495 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 496 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 497 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 498 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 499 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 500 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 501 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 502 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 503 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 345 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 504 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 347 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 505 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 349 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 506 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 507 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 353 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 508 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 355 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 509 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 510 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 512 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 513 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 513 ],
- "I2": [ 514 ],
- "I3": [ "0" ],
- "O": [ 515 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ 517 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 514 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 519 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 520 ],
- "I2": [ 521 ],
- "I3": [ "0" ],
- "O": [ 522 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 524 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 521 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 525 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 526 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 526 ],
- "I2": [ 527 ],
- "I3": [ "0" ],
- "O": [ 528 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 529 ],
- "I1": [ 530 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 527 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 531 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 532 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 532 ],
- "I2": [ 533 ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 535 ],
- "I1": [ 536 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 533 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 537 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 538 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 538 ],
- "I2": [ 539 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 541 ],
- "I1": [ 542 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 539 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 543 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 544 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 544 ],
- "I2": [ 545 ],
- "I3": [ "0" ],
- "O": [ 546 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 547 ],
- "I1": [ 548 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 545 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 549 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 550 ],
- "I2": [ 551 ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 553 ],
- "I1": [ 554 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 551 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 555 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 556 ],
- "I2": [ 557 ],
- "I3": [ "0" ],
- "O": [ 558 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 560 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 557 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 48 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 137 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 138 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 563 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 139 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 564 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 565 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 566 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 133 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 134 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 568 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ 89 ],
- "I2": [ 146 ],
- "I3": [ "0" ],
- "O": [ 569 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 48 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 570 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 137 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 571 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 138 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 572 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 139 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 574 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 575 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 133 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 576 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 131
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 186 ],
- "I2": [ 188 ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 176 ],
- "I2": [ 579 ],
- "I3": [ "0" ],
- "O": [ 580 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 173 ],
- "I2": [ 208 ],
- "I3": [ "0" ],
- "O": [ 578 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64271
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 181 ],
- "I2": [ 186 ],
- "I3": [ 187 ],
- "O": [ 579 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 180 ],
- "I3": [ "0" ],
- "O": [ 581 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19924
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 180 ],
- "I3": [ 181 ],
- "O": [ 582 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 131 ],
- "I2": [ 130 ],
- "I3": [ 583 ],
- "O": [ 584 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 147 ],
- "I1": [ 68 ],
- "I2": [ 67 ],
- "I3": [ 66 ],
- "O": [ 583 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 585 ],
- "I2": [ 586 ],
- "I3": [ 142 ],
- "O": [ 587 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 131 ],
- "I1": [ 130 ],
- "I2": [ 132 ],
- "I3": [ 588 ],
- "O": [ 585 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 46 ],
- "I2": [ 49 ],
- "I3": [ "0" ],
- "O": [ 588 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 589 ],
- "I1": [ 85 ],
- "I2": [ 67 ],
- "I3": [ 66 ],
- "O": [ 586 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 89 ],
- "I1": [ 147 ],
- "I2": [ 68 ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62719
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 590 ],
- "I2": [ 586 ],
- "I3": [ 339 ],
- "O": [ 591 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 66 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 590 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 102 ],
- "I2": [ 247 ],
- "I3": [ 148 ],
- "O": [ 593 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 594 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 595 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 596 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 597 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 598 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 599 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 600 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 601 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 602 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 603 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 604 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 605 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 606 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 607 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 608 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 609 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 94 ],
- "I2": [ 141 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 611 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 263 ],
- "I2": [ 613 ],
- "I3": [ "0" ],
- "O": [ 614 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 173 ],
- "I2": [ 176 ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 578 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 615 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 617 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 616 ],
- "I1": [ 198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 619 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 620 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 623 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 624 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 626 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 627 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 628 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 630 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 631 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 632 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 633 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 634 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 636 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 637 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 638 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 640 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 642 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 643 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 644 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 646 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 647 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 648 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 650 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 651 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 652 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 653 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 654 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 655 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 656 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 657 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 77 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 658 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 659 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 660 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 661 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 662 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 663 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 664 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 665 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 666 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 667 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 668 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 669 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 671 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 672 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 217 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 673 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 674 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 675 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 676 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 677 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 678 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 679 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 616 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 680 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3908
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 187 ],
- "I2": [ 578 ],
- "I3": [ 188 ],
- "O": [ 681 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 15530
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 681 ],
- "I1": [ 187 ],
- "I2": [ 188 ],
- "I3": [ 186 ],
- "O": [ 682 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 65 ],
- "I2": [ 67 ],
- "I3": [ 40 ],
- "O": [ 683 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3187
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 683 ],
- "I2": [ 66 ],
- "I3": [ 67 ],
- "O": [ 684 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 83 ],
- "I2": [ 122 ],
- "I3": [ 584 ],
- "O": [ 685 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 684 ],
- "I2": [ 685 ],
- "I3": [ 87 ],
- "O": [ 686 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 663 ],
- "CO": [ 687 ],
- "I0": [ "1" ],
- "I1": [ 677 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 687 ],
- "CO": [ 688 ],
- "I0": [ "0" ],
- "I1": [ 662 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 688 ],
- "CO": [ 689 ],
- "I0": [ "0" ],
- "I1": [ 661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 689 ],
- "CO": [ 690 ],
- "I0": [ "0" ],
- "I1": [ 660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 690 ],
- "CO": [ 691 ],
- "I0": [ "0" ],
- "I1": [ 659 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 691 ],
- "CO": [ 692 ],
- "I0": [ "0" ],
- "I1": [ 658 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 692 ],
- "CO": [ 74 ],
- "I0": [ "0" ],
- "I1": [ 657 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 231 ],
- "I3": [ "0" ],
- "O": [ 693 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 231 ],
- "CO": [ 694 ],
- "I0": [ "0" ],
- "I1": [ 664 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 695 ],
- "I3": [ 694 ],
- "O": [ 696 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 694 ],
- "CO": [ 697 ],
- "I0": [ "0" ],
- "I1": [ 695 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 698 ],
- "I3": [ 697 ],
- "O": [ 699 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 697 ],
- "CO": [ 700 ],
- "I0": [ "0" ],
- "I1": [ 698 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 701 ],
- "I3": [ 700 ],
- "O": [ 702 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 700 ],
- "CO": [ 703 ],
- "I0": [ "0" ],
- "I1": [ 701 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 704 ],
- "I3": [ 703 ],
- "O": [ 705 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 703 ],
- "CO": [ 706 ],
- "I0": [ "0" ],
- "I1": [ 704 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 707 ],
- "I3": [ 706 ],
- "O": [ 708 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 706 ],
- "CO": [ 709 ],
- "I0": [ "0" ],
- "I1": [ 707 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 710 ],
- "I3": [ 709 ],
- "O": [ 711 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 709 ],
- "CO": [ 712 ],
- "I0": [ "0" ],
- "I1": [ 710 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 713 ],
- "I3": [ 712 ],
- "O": [ 714 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 211 ],
- "I3": [ "0" ],
- "O": [ 433 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 211 ],
- "CO": [ 715 ],
- "I0": [ "0" ],
- "I1": [ 217 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 218 ],
- "I3": [ 715 ],
- "O": [ 436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 715 ],
- "CO": [ 716 ],
- "I0": [ "0" ],
- "I1": [ 218 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 219 ],
- "I3": [ 716 ],
- "O": [ 439 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 716 ],
- "CO": [ 717 ],
- "I0": [ "0" ],
- "I1": [ 219 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 220 ],
- "I3": [ 717 ],
- "O": [ 442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 717 ],
- "CO": [ 718 ],
- "I0": [ "0" ],
- "I1": [ 220 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 214 ],
- "I3": [ 718 ],
- "O": [ 445 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 718 ],
- "CO": [ 719 ],
- "I0": [ "0" ],
- "I1": [ 214 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 215 ],
- "I3": [ 719 ],
- "O": [ 448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 719 ],
- "CO": [ 720 ],
- "I0": [ "0" ],
- "I1": [ 215 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 216 ],
- "I3": [ 720 ],
- "O": [ 451 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 720 ],
- "CO": [ 721 ],
- "I0": [ "0" ],
- "I1": [ 216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 210 ],
- "I3": [ 721 ],
- "O": [ 454 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 721 ],
- "CO": [ 722 ],
- "I0": [ "0" ],
- "I1": [ 210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 203 ],
- "I3": [ 722 ],
- "O": [ 457 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 608 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 93 ],
- "CO": [ 723 ],
- "I0": [ "0" ],
- "I1": [ 94 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 91 ],
- "I3": [ 723 ],
- "O": [ 611 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 228 ],
- "I3": [ "0" ],
- "O": [ 724 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 228 ],
- "CO": [ 725 ],
- "I0": [ "0" ],
- "I1": [ 666 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 726 ],
- "I3": [ 725 ],
- "O": [ 727 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 725 ],
- "CO": [ 728 ],
- "I0": [ "0" ],
- "I1": [ 726 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 729 ],
- "I3": [ 728 ],
- "O": [ 730 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 728 ],
- "CO": [ 731 ],
- "I0": [ "0" ],
- "I1": [ 729 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 732 ],
- "I3": [ 731 ],
- "O": [ 733 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 731 ],
- "CO": [ 734 ],
- "I0": [ "0" ],
- "I1": [ 732 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 735 ],
- "I3": [ 734 ],
- "O": [ 736 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 734 ],
- "CO": [ 737 ],
- "I0": [ "0" ],
- "I1": [ 735 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 738 ],
- "I3": [ 737 ],
- "O": [ 739 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 737 ],
- "CO": [ 740 ],
- "I0": [ "0" ],
- "I1": [ 738 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 741 ],
- "I3": [ 740 ],
- "O": [ 742 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 740 ],
- "CO": [ 743 ],
- "I0": [ "0" ],
- "I1": [ 741 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 744 ],
- "I3": [ 743 ],
- "O": [ 745 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 194 ],
- "I3": [ "0" ],
- "O": [ 746 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 194 ],
- "CO": [ 747 ],
- "I0": [ "0" ],
- "I1": [ 668 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 748 ],
- "I3": [ 747 ],
- "O": [ 749 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 747 ],
- "CO": [ 750 ],
- "I0": [ "0" ],
- "I1": [ 748 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 751 ],
- "I3": [ 750 ],
- "O": [ 752 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 750 ],
- "CO": [ 753 ],
- "I0": [ "0" ],
- "I1": [ 751 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 754 ],
- "I3": [ 753 ],
- "O": [ 755 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 753 ],
- "CO": [ 756 ],
- "I0": [ "0" ],
- "I1": [ 754 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 757 ],
- "I3": [ 756 ],
- "O": [ 758 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 756 ],
- "CO": [ 759 ],
- "I0": [ "0" ],
- "I1": [ 757 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 760 ],
- "I3": [ 759 ],
- "O": [ 761 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 759 ],
- "CO": [ 762 ],
- "I0": [ "0" ],
- "I1": [ 760 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 763 ],
- "I3": [ 762 ],
- "O": [ 764 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 762 ],
- "CO": [ 765 ],
- "I0": [ "0" ],
- "I1": [ 763 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 766 ],
- "I3": [ 765 ],
- "O": [ 767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 196 ],
- "I3": [ "0" ],
- "O": [ 768 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 196 ],
- "CO": [ 769 ],
- "I0": [ "0" ],
- "I1": [ 670 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 770 ],
- "I3": [ 769 ],
- "O": [ 771 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 769 ],
- "CO": [ 772 ],
- "I0": [ "0" ],
- "I1": [ 770 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 773 ],
- "I3": [ 772 ],
- "O": [ 774 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 772 ],
- "CO": [ 775 ],
- "I0": [ "0" ],
- "I1": [ 773 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 776 ],
- "I3": [ 775 ],
- "O": [ 777 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 775 ],
- "CO": [ 778 ],
- "I0": [ "0" ],
- "I1": [ 776 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 779 ],
- "I3": [ 778 ],
- "O": [ 780 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 778 ],
- "CO": [ 781 ],
- "I0": [ "0" ],
- "I1": [ 779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 782 ],
- "I3": [ 781 ],
- "O": [ 783 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 781 ],
- "CO": [ 784 ],
- "I0": [ "0" ],
- "I1": [ 782 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 785 ],
- "I3": [ 784 ],
- "O": [ 786 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 784 ],
- "CO": [ 787 ],
- "I0": [ "0" ],
- "I1": [ 785 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 788 ],
- "I3": [ 787 ],
- "O": [ 789 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 162 ],
- "I3": [ "0" ],
- "O": [ 461 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 162 ],
- "CO": [ 790 ],
- "I0": [ "0" ],
- "I1": [ 163 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 164 ],
- "I3": [ 790 ],
- "O": [ 464 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 790 ],
- "CO": [ 791 ],
- "I0": [ "0" ],
- "I1": [ 164 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 165 ],
- "I3": [ 791 ],
- "O": [ 467 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 791 ],
- "CO": [ 792 ],
- "I0": [ "0" ],
- "I1": [ 165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 158 ],
- "I3": [ 792 ],
- "O": [ 470 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 792 ],
- "CO": [ 793 ],
- "I0": [ "0" ],
- "I1": [ 158 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 159 ],
- "I3": [ 793 ],
- "O": [ 473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 793 ],
- "CO": [ 794 ],
- "I0": [ "0" ],
- "I1": [ 159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 160 ],
- "I3": [ 794 ],
- "O": [ 476 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 794 ],
- "CO": [ 795 ],
- "I0": [ "0" ],
- "I1": [ 160 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 161 ],
- "I3": [ 795 ],
- "O": [ 479 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 795 ],
- "CO": [ 796 ],
- "I0": [ "0" ],
- "I1": [ 161 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 166 ],
- "I3": [ 796 ],
- "O": [ 482 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 796 ],
- "CO": [ 797 ],
- "I0": [ "0" ],
- "I1": [ 166 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 167 ],
- "I3": [ 797 ],
- "O": [ 485 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 111 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 111 ],
- "CO": [ 798 ],
- "I0": [ 112 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 113 ],
- "I2": [ "1" ],
- "I3": [ 798 ],
- "O": [ 419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 798 ],
- "CO": [ 799 ],
- "I0": [ 113 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 114 ],
- "I2": [ "1" ],
- "I3": [ 799 ],
- "O": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 799 ],
- "CO": [ 800 ],
- "I0": [ 114 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 107 ],
- "I2": [ "1" ],
- "I3": [ 800 ],
- "O": [ 423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 800 ],
- "CO": [ 801 ],
- "I0": [ 107 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 108 ],
- "I2": [ "1" ],
- "I3": [ 801 ],
- "O": [ 425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 801 ],
- "CO": [ 802 ],
- "I0": [ 108 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 109 ],
- "I2": [ "1" ],
- "I3": [ 802 ],
- "O": [ 427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 802 ],
- "CO": [ 803 ],
- "I0": [ 109 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 110 ],
- "I2": [ "1" ],
- "I3": [ 803 ],
- "O": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 647 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 643 ],
- "I2": [ "1" ],
- "I3": [ 804 ],
- "O": [ 619 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 647 ],
- "CO": [ 805 ],
- "I0": [ 648 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 649 ],
- "I2": [ "1" ],
- "I3": [ 805 ],
- "O": [ 627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 805 ],
- "CO": [ 806 ],
- "I0": [ 649 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 650 ],
- "I2": [ "1" ],
- "I3": [ 806 ],
- "O": [ 629 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 806 ],
- "CO": [ 807 ],
- "I0": [ 650 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 651 ],
- "I2": [ "1" ],
- "I3": [ 807 ],
- "O": [ 631 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 807 ],
- "CO": [ 808 ],
- "I0": [ 651 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 652 ],
- "I2": [ "1" ],
- "I3": [ 808 ],
- "O": [ 633 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 808 ],
- "CO": [ 809 ],
- "I0": [ 652 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 653 ],
- "I2": [ "1" ],
- "I3": [ 809 ],
- "O": [ 635 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 809 ],
- "CO": [ 810 ],
- "I0": [ 653 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 654 ],
- "I2": [ "1" ],
- "I3": [ 810 ],
- "O": [ 637 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 810 ],
- "CO": [ 811 ],
- "I0": [ 654 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 655 ],
- "I2": [ "1" ],
- "I3": [ 811 ],
- "O": [ 639 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 811 ],
- "CO": [ 812 ],
- "I0": [ 655 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 656 ],
- "I2": [ "1" ],
- "I3": [ 812 ],
- "O": [ 641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 812 ],
- "CO": [ 804 ],
- "I0": [ 656 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 162 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 460 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 162 ],
- "CO": [ 813 ],
- "I0": [ 163 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 164 ],
- "I2": [ "1" ],
- "I3": [ 813 ],
- "O": [ 463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 813 ],
- "CO": [ 814 ],
- "I0": [ 164 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 165 ],
- "I2": [ "1" ],
- "I3": [ 814 ],
- "O": [ 466 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 814 ],
- "CO": [ 815 ],
- "I0": [ 165 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 158 ],
- "I2": [ "1" ],
- "I3": [ 815 ],
- "O": [ 469 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 815 ],
- "CO": [ 816 ],
- "I0": [ 158 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 159 ],
- "I2": [ "1" ],
- "I3": [ 816 ],
- "O": [ 472 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 816 ],
- "CO": [ 817 ],
- "I0": [ 159 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 160 ],
- "I2": [ "1" ],
- "I3": [ 817 ],
- "O": [ 475 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 817 ],
- "CO": [ 818 ],
- "I0": [ 160 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 161 ],
- "I2": [ "1" ],
- "I3": [ 818 ],
- "O": [ 478 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 818 ],
- "CO": [ 819 ],
- "I0": [ 161 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 166 ],
- "I2": [ "1" ],
- "I3": [ 819 ],
- "O": [ 481 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 819 ],
- "CO": [ 820 ],
- "I0": [ 166 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 167 ],
- "I2": [ "1" ],
- "I3": [ 820 ],
- "O": [ 484 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 211 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 211 ],
- "CO": [ 821 ],
- "I0": [ 217 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 218 ],
- "I2": [ "1" ],
- "I3": [ 821 ],
- "O": [ 435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 821 ],
- "CO": [ 822 ],
- "I0": [ 218 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 219 ],
- "I2": [ "1" ],
- "I3": [ 822 ],
- "O": [ 438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 822 ],
- "CO": [ 823 ],
- "I0": [ 219 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 220 ],
- "I2": [ "1" ],
- "I3": [ 823 ],
- "O": [ 441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 823 ],
- "CO": [ 824 ],
- "I0": [ 220 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 214 ],
- "I2": [ "1" ],
- "I3": [ 824 ],
- "O": [ 444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 824 ],
- "CO": [ 825 ],
- "I0": [ 214 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 215 ],
- "I2": [ "1" ],
- "I3": [ 825 ],
- "O": [ 447 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 825 ],
- "CO": [ 826 ],
- "I0": [ 215 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 216 ],
- "I2": [ "1" ],
- "I3": [ 826 ],
- "O": [ 450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 826 ],
- "CO": [ 827 ],
- "I0": [ 216 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 210 ],
- "I2": [ "1" ],
- "I3": [ 827 ],
- "O": [ 453 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 827 ],
- "CO": [ 828 ],
- "I0": [ 210 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 203 ],
- "I2": [ "1" ],
- "I3": [ 828 ],
- "O": [ 456 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 316 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 357 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 326 ],
- "I2": [ "1" ],
- "I3": [ 829 ],
- "O": [ 393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 829 ],
- "CO": [ 830 ],
- "I0": [ 326 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 327 ],
- "I2": [ "1" ],
- "I3": [ 830 ],
- "O": [ 394 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 830 ],
- "CO": [ 831 ],
- "I0": [ 327 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 320 ],
- "I2": [ "1" ],
- "I3": [ 831 ],
- "O": [ 401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 831 ],
- "CO": [ 832 ],
- "I0": [ 320 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 321 ],
- "I2": [ "1" ],
- "I3": [ 832 ],
- "O": [ 405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 832 ],
- "CO": [ 833 ],
- "I0": [ 321 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 322 ],
- "I2": [ "1" ],
- "I3": [ 833 ],
- "O": [ 406 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 833 ],
- "CO": [ 834 ],
- "I0": [ 322 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 323 ],
- "I2": [ "1" ],
- "I3": [ 834 ],
- "O": [ 413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 316 ],
- "CO": [ 835 ],
- "I0": [ 317 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 318 ],
- "I2": [ "1" ],
- "I3": [ 835 ],
- "O": [ 364 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 835 ],
- "CO": [ 836 ],
- "I0": [ 318 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 319 ],
- "I2": [ "1" ],
- "I3": [ 836 ],
- "O": [ 367 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 836 ],
- "CO": [ 837 ],
- "I0": [ 319 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 312 ],
- "I2": [ "1" ],
- "I3": [ 837 ],
- "O": [ 370 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 837 ],
- "CO": [ 838 ],
- "I0": [ 312 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 313 ],
- "I2": [ "1" ],
- "I3": [ 838 ],
- "O": [ 373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 838 ],
- "CO": [ 839 ],
- "I0": [ 313 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 314 ],
- "I2": [ "1" ],
- "I3": [ 839 ],
- "O": [ 376 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 839 ],
- "CO": [ 840 ],
- "I0": [ 314 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 315 ],
- "I2": [ "1" ],
- "I3": [ 840 ],
- "O": [ 379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 840 ],
- "CO": [ 841 ],
- "I0": [ 315 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 324 ],
- "I2": [ "1" ],
- "I3": [ 841 ],
- "O": [ 384 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 841 ],
- "CO": [ 842 ],
- "I0": [ 324 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 325 ],
- "I2": [ "1" ],
- "I3": [ 842 ],
- "O": [ 389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 842 ],
- "CO": [ 829 ],
- "I0": [ 325 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 644 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 97 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 644 ],
- "CO": [ 843 ],
- "I0": [ 645 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 646 ],
- "I2": [ "1" ],
- "I3": [ 843 ],
- "O": [ 120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2850": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 513 ],
- "E": [ 617 ],
- "Q": [ 516 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2851": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 520 ],
- "E": [ 617 ],
- "Q": [ 524 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2852": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 526 ],
- "E": [ 617 ],
- "Q": [ 530 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2853": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 532 ],
- "E": [ 617 ],
- "Q": [ 536 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2854": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 538 ],
- "E": [ 617 ],
- "Q": [ 542 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2855": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 544 ],
- "E": [ 617 ],
- "Q": [ 548 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2856": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 550 ],
- "E": [ 617 ],
- "Q": [ 554 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2857": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 556 ],
- "E": [ 617 ],
- "Q": [ 560 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3208": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 513 ],
- "E": [ 618 ],
- "Q": [ 517 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3209": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 520 ],
- "E": [ 618 ],
- "Q": [ 523 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3210": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 526 ],
- "E": [ 618 ],
- "Q": [ 529 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3211": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 532 ],
- "E": [ 618 ],
- "Q": [ 535 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3212": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 538 ],
- "E": [ 618 ],
- "Q": [ 541 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3213": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 544 ],
- "E": [ 618 ],
- "Q": [ 547 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3214": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 550 ],
- "E": [ 618 ],
- "Q": [ 553 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3215": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 556 ],
- "E": [ 618 ],
- "Q": [ 559 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3453": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 561 ],
- "E": [ 123 ],
- "Q": [ 79 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3454": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 562 ],
- "E": [ 123 ],
- "Q": [ 82 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3455": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 563 ],
- "E": [ 123 ],
- "Q": [ 80 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3456": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 564 ],
- "E": [ 123 ],
- "Q": [ 81 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3457": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 565 ],
- "E": [ 123 ],
- "Q": [ 75 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3458": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 566 ],
- "E": [ 123 ],
- "Q": [ 76 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3459": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 567 ],
- "E": [ 123 ],
- "Q": [ 77 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3460": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 568 ],
- "E": [ 123 ],
- "Q": [ 78 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3461": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 43 ],
- "E": [ 128 ],
- "Q": [ 845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 95 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 96 ],
- "Q": [ 88 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3464": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 609 ],
- "E": [ 143 ],
- "Q": [ 93 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3465": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 610 ],
- "E": [ 143 ],
- "Q": [ 94 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3466": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 612 ],
- "E": [ 143 ],
- "Q": [ 91 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3467": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 569 ],
- "E": [ 145 ],
- "Q": [ 48 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3468": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 570 ],
- "E": [ 145 ],
- "Q": [ 137 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3469": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 571 ],
- "E": [ 145 ],
- "Q": [ 138 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3470": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 572 ],
- "E": [ 145 ],
- "Q": [ 139 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3471": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 573 ],
- "E": [ 145 ],
- "Q": [ 136 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3472": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 574 ],
- "E": [ 145 ],
- "Q": [ 140 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3473": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 575 ],
- "E": [ 145 ],
- "Q": [ 133 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3474": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 576 ],
- "E": [ 145 ],
- "Q": [ 134 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3475": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 593 ],
- "E": [ 149 ],
- "Q": [ 594 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3476": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 595 ],
- "E": [ 149 ],
- "Q": [ 596 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3477": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 597 ],
- "E": [ 149 ],
- "Q": [ 598 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3478": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 599 ],
- "E": [ 149 ],
- "Q": [ 600 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3479": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 601 ],
- "E": [ 149 ],
- "Q": [ 602 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3480": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 603 ],
- "E": [ 149 ],
- "Q": [ 604 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3481": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 605 ],
- "E": [ 149 ],
- "Q": [ 606 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3482": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 607 ],
- "E": [ 149 ],
- "Q": [ 39 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3483": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 122 ],
- "Q": [ 69 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3484": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 79 ],
- "E": [ 151 ],
- "Q": [ 255 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3485": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 82 ],
- "E": [ 151 ],
- "Q": [ 256 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3486": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 80 ],
- "E": [ 151 ],
- "Q": [ 257 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3487": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 81 ],
- "E": [ 151 ],
- "Q": [ 258 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3488": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 75 ],
- "E": [ 151 ],
- "Q": [ 251 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3489": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 76 ],
- "E": [ 151 ],
- "Q": [ 252 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3490": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 77 ],
- "E": [ 151 ],
- "Q": [ 253 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3491": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 78 ],
- "E": [ 151 ],
- "Q": [ 254 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3492": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 156 ],
- "E": [ 170 ],
- "Q": [ 157 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3493": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 462 ],
- "E": [ 191 ],
- "Q": [ 162 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3494": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 672 ],
- "E": [ 192 ],
- "Q": [ 163 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3495": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 465 ],
- "E": [ 191 ],
- "Q": [ 164 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3496": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 468 ],
- "E": [ 191 ],
- "Q": [ 165 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3497": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 471 ],
- "E": [ 191 ],
- "Q": [ 158 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3498": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 474 ],
- "E": [ 191 ],
- "Q": [ 159 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3499": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 477 ],
- "E": [ 191 ],
- "Q": [ 160 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3500": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 480 ],
- "E": [ 191 ],
- "Q": [ 161 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3501": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 483 ],
- "E": [ 191 ],
- "Q": [ 166 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3502": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 486 ],
- "E": [ 191 ],
- "Q": [ 167 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3503": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 746 ],
- "E": [ 193 ],
- "Q": [ 194 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3504": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 669 ],
- "E": [ 195 ],
- "Q": [ 668 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3505": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 749 ],
- "E": [ 193 ],
- "Q": [ 748 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3506": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 752 ],
- "E": [ 193 ],
- "Q": [ 751 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3507": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 755 ],
- "E": [ 193 ],
- "Q": [ 754 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3508": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 758 ],
- "E": [ 193 ],
- "Q": [ 757 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3509": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 761 ],
- "E": [ 193 ],
- "Q": [ 760 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3510": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 764 ],
- "E": [ 193 ],
- "Q": [ 763 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3511": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 767 ],
- "E": [ 193 ],
- "Q": [ 766 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3512": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 768 ],
- "E": [ 168 ],
- "Q": [ 196 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3513": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 671 ],
- "E": [ 197 ],
- "Q": [ 670 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3514": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 771 ],
- "E": [ 168 ],
- "Q": [ 770 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3515": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 774 ],
- "E": [ 168 ],
- "Q": [ 773 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3516": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 777 ],
- "E": [ 168 ],
- "Q": [ 776 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3517": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 780 ],
- "E": [ 168 ],
- "Q": [ 779 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3518": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 783 ],
- "E": [ 168 ],
- "Q": [ 782 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3519": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 786 ],
- "E": [ 168 ],
- "Q": [ 785 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3520": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 789 ],
- "E": [ 168 ],
- "Q": [ 788 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3521": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 678 ],
- "E": [ 201 ],
- "Q": [ 189 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3522": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 459 ],
- "E": [ 201 ],
- "Q": [ 190 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3523": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 680 ],
- "E": [ 199 ],
- "Q": [ 616 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3524": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 679 ],
- "E": [ 202 ],
- "Q": [ 518 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3525": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 207 ],
- "E": [ 221 ],
- "Q": [ 206 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3526": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 434 ],
- "E": [ 225 ],
- "Q": [ 211 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3527": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 673 ],
- "E": [ 226 ],
- "Q": [ 217 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3528": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 437 ],
- "E": [ 225 ],
- "Q": [ 218 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3529": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 440 ],
- "E": [ 225 ],
- "Q": [ 219 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3530": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 443 ],
- "E": [ 225 ],
- "Q": [ 220 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3531": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 446 ],
- "E": [ 225 ],
- "Q": [ 214 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3532": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 449 ],
- "E": [ 225 ],
- "Q": [ 215 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3533": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 452 ],
- "E": [ 225 ],
- "Q": [ 216 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3534": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 455 ],
- "E": [ 225 ],
- "Q": [ 210 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3535": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 458 ],
- "E": [ 225 ],
- "Q": [ 203 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3536": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 724 ],
- "E": [ 227 ],
- "Q": [ 228 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3537": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 667 ],
- "E": [ 229 ],
- "Q": [ 666 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3538": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 727 ],
- "E": [ 227 ],
- "Q": [ 726 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3539": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 730 ],
- "E": [ 227 ],
- "Q": [ 729 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3540": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 733 ],
- "E": [ 227 ],
- "Q": [ 732 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3541": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 736 ],
- "E": [ 227 ],
- "Q": [ 735 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3542": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 739 ],
- "E": [ 227 ],
- "Q": [ 738 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3543": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 742 ],
- "E": [ 227 ],
- "Q": [ 741 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3544": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 745 ],
- "E": [ 227 ],
- "Q": [ 744 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3545": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 693 ],
- "E": [ 230 ],
- "Q": [ 231 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3546": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 665 ],
- "E": [ 232 ],
- "Q": [ 664 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3547": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 696 ],
- "E": [ 230 ],
- "Q": [ 695 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3548": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 699 ],
- "E": [ 230 ],
- "Q": [ 698 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3549": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 702 ],
- "E": [ 230 ],
- "Q": [ 701 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3550": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 705 ],
- "E": [ 230 ],
- "Q": [ 704 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3551": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 708 ],
- "E": [ 230 ],
- "Q": [ 707 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3552": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 711 ],
- "E": [ 230 ],
- "Q": [ 710 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3553": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 714 ],
- "E": [ 230 ],
- "Q": [ 713 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3554": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 431 ],
- "E": [ 233 ],
- "Q": [ 99 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3555": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 338 ],
- "Q": [ 244 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3556": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 416 ],
- "E": [ 235 ],
- "Q": [ 111 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3557": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 418 ],
- "E": [ 235 ],
- "Q": [ 112 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3558": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 420 ],
- "E": [ 235 ],
- "Q": [ 113 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3559": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 422 ],
- "E": [ 235 ],
- "Q": [ 114 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3560": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 424 ],
- "E": [ 235 ],
- "Q": [ 107 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3561": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 426 ],
- "E": [ 235 ],
- "Q": [ 108 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3562": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 428 ],
- "E": [ 235 ],
- "Q": [ 109 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3563": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 430 ],
- "E": [ 235 ],
- "Q": [ 110 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3564": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 359 ],
- "E": [ 239 ],
- "Q": [ 316 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3565": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 362 ],
- "E": [ 239 ],
- "Q": [ 317 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3566": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 366 ],
- "E": [ 239 ],
- "Q": [ 318 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3567": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 369 ],
- "E": [ 239 ],
- "Q": [ 319 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3568": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 372 ],
- "E": [ 239 ],
- "Q": [ 312 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3569": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 375 ],
- "E": [ 239 ],
- "Q": [ 313 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3570": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 378 ],
- "E": [ 239 ],
- "Q": [ 314 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3571": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 381 ],
- "E": [ 239 ],
- "Q": [ 315 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3572": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 383 ],
- "E": [ 239 ],
- "Q": [ 324 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3573": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 388 ],
- "E": [ 239 ],
- "Q": [ 325 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3574": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 392 ],
- "E": [ 239 ],
- "Q": [ 326 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3575": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 396 ],
- "E": [ 239 ],
- "Q": [ 327 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3576": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 400 ],
- "E": [ 239 ],
- "Q": [ 320 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3577": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 404 ],
- "E": [ 239 ],
- "Q": [ 321 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3578": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 408 ],
- "E": [ 239 ],
- "Q": [ 322 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3579": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 412 ],
- "E": [ 239 ],
- "Q": [ 323 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3580": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 101 ],
- "E": [ 240 ],
- "Q": [ 241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3581": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 119 ],
- "E": [ 242 ],
- "Q": [ 118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3582": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 121 ],
- "E": [ 240 ],
- "Q": [ 331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3583": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 302 ],
- "E": [ 243 ],
- "Q": [ 276 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3584": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 274 ],
- "E": [ 245 ],
- "Q": [ 280 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3585": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 278 ],
- "E": [ 245 ],
- "Q": [ 284 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3586": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 282 ],
- "E": [ 245 ],
- "Q": [ 288 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3587": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 286 ],
- "E": [ 245 ],
- "Q": [ 292 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3588": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 290 ],
- "E": [ 245 ],
- "Q": [ 296 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3589": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 294 ],
- "E": [ 245 ],
- "Q": [ 300 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3590": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 298 ],
- "E": [ 245 ],
- "Q": [ 846 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3591": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 342 ],
- "E": [ 246 ],
- "Q": [ 343 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3592": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 344 ],
- "E": [ 246 ],
- "Q": [ 345 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3593": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 346 ],
- "E": [ 246 ],
- "Q": [ 347 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3594": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 348 ],
- "E": [ 246 ],
- "Q": [ 349 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3595": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 350 ],
- "E": [ 246 ],
- "Q": [ 351 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3596": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 352 ],
- "E": [ 246 ],
- "Q": [ 353 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3597": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 354 ],
- "E": [ 246 ],
- "Q": [ 355 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3598": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 356 ],
- "E": [ 246 ],
- "Q": [ 510 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3599": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 79 ],
- "E": [ 248 ],
- "Q": [ 102 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3600": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 79 ],
- "E": [ 259 ],
- "Q": [ 592 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3601": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 686 ],
- "Q": [ 68 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3602": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 587 ],
- "Q": [ 67 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3603": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 591 ],
- "Q": [ 66 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3604": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 340 ],
- "Q": [ 70 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3605": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 581 ],
- "E": [ 260 ],
- "Q": [ 180 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3606": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 582 ],
- "E": [ 260 ],
- "Q": [ 181 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3607": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 261 ],
- "E": [ 262 ],
- "Q": [ 847 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3608": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 264 ],
- "E": [ 265 ],
- "Q": [ 675 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3609": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 577 ],
- "E": [ 269 ],
- "Q": [ 186 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3610": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 580 ],
- "E": [ 269 ],
- "Q": [ 187 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3611": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 682 ],
- "E": [ 269 ],
- "Q": [ 188 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3612": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 306 ],
- "E": [ 271 ],
- "Q": [ 115 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3613": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 333 ],
- "Q": [ 116 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3614": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 337 ],
- "Q": [ 117 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 622 ],
- "Q": [ 848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 848 ],
- "Q": [ 40 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 624 ],
- "Q": [ 849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 849 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 850 ],
- "Q": [ 851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 851 ],
- "Q": [ 341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3621": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 626 ],
- "E": [ 50 ],
- "Q": [ 62 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3622": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 648 ],
- "E": [ 272 ],
- "Q": [ 63 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3623": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 628 ],
- "E": [ 50 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3624": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 630 ],
- "E": [ 50 ],
- "Q": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3625": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 632 ],
- "E": [ 50 ],
- "Q": [ 58 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3626": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 634 ],
- "E": [ 50 ],
- "Q": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3627": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 636 ],
- "E": [ 50 ],
- "Q": [ 60 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3628": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 638 ],
- "E": [ 50 ],
- "Q": [ 54 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3629": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 640 ],
- "E": [ 50 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3630": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 642 ],
- "E": [ 50 ],
- "Q": [ 56 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3631": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 620 ],
- "E": [ 50 ],
- "Q": [ 61 ]
- }
- },
- "SB_GB": {
- "hide_name": 0,
- "type": "SB_GB",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1341"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 844 ],
- "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 852 ]
- }
- },
- "SB_IO": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1334"
- },
- "port_directions": {
- "D_IN_0": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 852 ],
- "PACKAGE_PIN": [ 2 ]
- }
- },
- "SB_IO_1": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1346"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 853 ],
- "D_OUT_0": [ 180 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 9 ]
- }
- },
- "SB_IO_10": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1445"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 531 ],
- "D_OUT_0": [ 494 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 18 ]
- }
- },
- "SB_IO_11": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1456"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 537 ],
- "D_OUT_0": [ 496 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 19 ]
- }
- },
- "SB_IO_12": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1467"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 543 ],
- "D_OUT_0": [ 498 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 20 ]
- }
- },
- "SB_IO_13": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1478"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 549 ],
- "D_OUT_0": [ 500 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 21 ]
- }
- },
- "SB_IO_14": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1489"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 555 ],
- "D_OUT_0": [ 502 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 22 ]
- }
- },
- "SB_IO_15": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1500"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 854 ],
- "D_OUT_0": [ 676 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "SB_IO_16": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1511"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 855 ],
- "D_OUT_0": [ 614 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "SB_IO_17": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1522"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 856 ],
- "D_OUT_0": [ 205 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 7 ]
- }
- },
- "SB_IO_18": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1533"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 857 ],
- "D_OUT_0": [ 615 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 8 ]
- }
- },
- "SB_IO_19": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1544"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 858 ],
- "D_OUT_0": [ 674 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 23 ]
- }
- },
- "SB_IO_2": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1357"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 859 ],
- "D_OUT_0": [ 181 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 10 ]
- }
- },
- "SB_IO_20": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1553"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 860 ],
- "D_OUT_0": [ 99 ],
- "OUTPUT_ENABLE": [ 592 ],
- "PACKAGE_PIN": [ 24 ]
- }
- },
- "SB_IO_21": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1562"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 850 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 25 ]
- }
- },
- "SB_IO_22": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1571"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 861 ],
- "D_OUT_0": [ 846 ],
- "OUTPUT_ENABLE": [ 592 ],
- "PACKAGE_PIN": [ 26 ]
- }
- },
- "SB_IO_23": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1628"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 621 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "SB_IO_24": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1637"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 623 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ 845 ],
- "PACKAGE_PIN": [ 4 ]
- }
- },
- "SB_IO_3": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1368"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 182 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 11 ]
- }
- },
- "SB_IO_4": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1379"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 184 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 12 ]
- }
- },
- "SB_IO_5": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1390"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 183 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 13 ]
- }
- },
- "SB_IO_6": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1401"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 185 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 14 ]
- }
- },
- "SB_IO_7": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1412"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 512 ],
- "D_OUT_0": [ 488 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 15 ]
- }
- },
- "SB_IO_8": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1423"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 519 ],
- "D_OUT_0": [ 490 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 16 ]
- }
- },
- "SB_IO_9": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1434"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 525 ],
- "D_OUT_0": [ 492 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 17 ]
- }
- },
- "storage.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 196, 670, 770, 773, 776, 779, 782, 785, 788, "0", "0" ],
- "RCLK": [ 844 ],
- "RCLKE": [ 156 ],
- "RDATA": [ 301, 862, 275, 863, 279, 864, 283, 865, 287, 866, 291, 867, 295, 868, 299, 869 ],
- "RE": [ "1" ],
- "WADDR": [ 194, 668, 748, 751, 754, 757, 760, 763, 766, "0", "0" ],
- "WCLK": [ 844 ],
- "WCLKE": [ 174 ],
- "WDATA": [ 515, "x", 522, "x", 528, "x", 534, "x", 540, "x", 546, "x", 552, "x", 558, "x" ],
- "WE": [ "1" ]
- }
- },
- "storage_2.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 231, 664, 695, 698, 701, 704, 707, 710, 713, "0", "0" ],
- "RCLK": [ 844 ],
- "RCLKE": [ 207 ],
- "RDATA": [ 487, 870, 489, 871, 491, 872, 493, 873, 495, 874, 497, 875, 499, 876, 501, 877 ],
- "RE": [ "1" ],
- "WADDR": [ 228, 666, 726, 729, 732, 735, 738, 741, 744, "0", "0" ],
- "WCLK": [ 844 ],
- "WCLKE": [ 224 ],
- "WDATA": [ 503, "x", 504, "x", 505, "x", 506, "x", 507, "x", 508, "x", 509, "x", 511, "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\applet_reset_delay[10:0]": {
- "hide_name": 1,
- "bits": [ 625, 63, 627, 629, 631, 633, 635, 637, 639, 641, 619 ],
- "attributes": {
- "src": "top.v:1131"
- }
- },
- "$abc$7863$n1": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$7863$n1029": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$7863$n11": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$7863$n13": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$7863$n1347": {
- "hide_name": 1,
- "bits": [ 608 ],
- "attributes": {
- }
- },
- "$abc$7863$n1351": {
- "hide_name": 1,
- "bits": [ 611 ],
- "attributes": {
- }
- },
- "$abc$7863$n1419": {
- "hide_name": 1,
- "bits": [ 665 ],
- "attributes": {
- }
- },
- "$abc$7863$n1422": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$7863$n1424": {
- "hide_name": 1,
- "bits": [ 669 ],
- "attributes": {
- }
- },
- "$abc$7863$n1426": {
- "hide_name": 1,
- "bits": [ 671 ],
- "attributes": {
- }
- },
- "$abc$7863$n1428": {
- "hide_name": 1,
- "bits": [ 672 ],
- "attributes": {
- }
- },
- "$abc$7863$n1429": {
- "hide_name": 1,
- "bits": [ 673 ],
- "attributes": {
- }
- },
- "$abc$7863$n1445": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$7863$n1449": {
- "hide_name": 1,
- "bits": [ 677 ],
- "attributes": {
- }
- },
- "$abc$7863$n1471": {
- "hide_name": 1,
- "bits": [ 617 ],
- "attributes": {
- }
- },
- "$abc$7863$n1472": {
- "hide_name": 1,
- "bits": [ 618 ],
- "attributes": {
- }
- },
- "$abc$7863$n1474": {
- "hide_name": 1,
- "bits": [ 678 ],
- "attributes": {
- }
- },
- "$abc$7863$n1475": {
- "hide_name": 1,
- "bits": [ 679 ],
- "attributes": {
- }
- },
- "$abc$7863$n1476": {
- "hide_name": 1,
- "bits": [ 680 ],
- "attributes": {
- }
- },
- "$abc$7863$n15": {
- "hide_name": 1,
- "bits": [ 622 ],
- "attributes": {
- }
- },
- "$abc$7863$n17": {
- "hide_name": 1,
- "bits": [ 624 ],
- "attributes": {
- }
- },
- "$abc$7863$n19": {
- "hide_name": 1,
- "bits": [ 626 ],
- "attributes": {
- }
- },
- "$abc$7863$n21": {
- "hide_name": 1,
- "bits": [ 628 ],
- "attributes": {
- }
- },
- "$abc$7863$n211": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$7863$n212": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$7863$n214": {
- "hide_name": 1,
- "bits": [ 659 ],
- "attributes": {
- }
- },
- "$abc$7863$n215": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$7863$n217": {
- "hide_name": 1,
- "bits": [ 661 ],
- "attributes": {
- }
- },
- "$abc$7863$n218": {
- "hide_name": 1,
- "bits": [ 662 ],
- "attributes": {
- }
- },
- "$abc$7863$n221": {
- "hide_name": 1,
- "bits": [ 663 ],
- "attributes": {
- }
- },
- "$abc$7863$n23": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$7863$n25": {
- "hide_name": 1,
- "bits": [ 632 ],
- "attributes": {
- }
- },
- "$abc$7863$n27": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$7863$n29": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$7863$n3": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$7863$n31": {
- "hide_name": 1,
- "bits": [ 638 ],
- "attributes": {
- }
- },
- "$abc$7863$n33": {
- "hide_name": 1,
- "bits": [ 640 ],
- "attributes": {
- }
- },
- "$abc$7863$n35": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$7863$n36": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$7863$n38": {
- "hide_name": 1,
- "bits": [ 65 ],
- "attributes": {
- }
- },
- "$abc$7863$n40": {
- "hide_name": 1,
- "bits": [ 88 ],
- "attributes": {
- }
- },
- "$abc$7863$n42": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$7863$n44": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$7863$n455": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$7863$n457": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$7863$n459": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$7863$n46": {
- "hide_name": 1,
- "bits": [ 331 ],
- "attributes": {
- }
- },
- "$abc$7863$n461": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$7863$n463": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$7863$n472": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$7863$n479": {
- "hide_name": 1,
- "bits": [ 170 ],
- "attributes": {
- }
- },
- "$abc$7863$n48": {
- "hide_name": 1,
- "bits": [ 40 ],
- "attributes": {
- }
- },
- "$abc$7863$n492": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$7863$n493": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$7863$n496": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$7863$n5": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$7863$n50": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$7863$n501": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$7863$n505": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$7863$n505_1": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$7863$n506": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$7863$n506_1": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$7863$n508": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$7863$n508_1": {
- "hide_name": 1,
- "bits": [ 51 ],
- "attributes": {
- }
- },
- "$abc$7863$n509": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$7863$n510": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$7863$n511": {
- "hide_name": 1,
- "bits": [ 46 ],
- "attributes": {
- }
- },
- "$abc$7863$n512": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$7863$n513": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$7863$n514": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$7863$n515": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$7863$n515_1": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$7863$n516": {
- "hide_name": 1,
- "bits": [ 202 ],
- "attributes": {
- }
- },
- "$abc$7863$n516_1": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$7863$n517": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$7863$n518": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$7863$n519_1": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$7863$n52": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$7863$n520": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$7863$n520_1": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$7863$n521": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$7863$n522": {
- "hide_name": 1,
- "bits": [ 84 ],
- "attributes": {
- }
- },
- "$abc$7863$n523_1": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$7863$n524": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$7863$n525": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$7863$n530": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$7863$n531": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$7863$n532": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$7863$n533": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$7863$n534": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$7863$n535": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$7863$n535_1": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$7863$n536": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$7863$n538": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$7863$n540": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$7863$n541": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$7863$n542": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$7863$n543_1": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$7863$n544": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$7863$n545": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$7863$n546": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$7863$n546_1": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$7863$n547": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$7863$n547_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$7863$n548": {
- "hide_name": 1,
- "bits": [ 132 ],
- "attributes": {
- }
- },
- "$abc$7863$n549": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$7863$n550": {
- "hide_name": 1,
- "bits": [ 233 ],
- "attributes": {
- }
- },
- "$abc$7863$n551": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$7863$n552": {
- "hide_name": 1,
- "bits": [ 235 ],
- "attributes": {
- }
- },
- "$abc$7863$n552_1": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$7863$n553": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$7863$n554_1": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$7863$n555": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$7863$n556": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$7863$n556_1": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$7863$n558": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$7863$n559": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$7863$n56": {
- "hide_name": 1,
- "bits": [ 64 ],
- "attributes": {
- }
- },
- "$abc$7863$n562": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- }
- },
- "$abc$7863$n563": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$7863$n564": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$7863$n565": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$7863$n568": {
- "hide_name": 1,
- "bits": [ 242 ],
- "attributes": {
- }
- },
- "$abc$7863$n568_1": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$7863$n569": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$7863$n570": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$7863$n571": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$7863$n572": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$7863$n572_1": {
- "hide_name": 1,
- "bits": [ 177 ],
- "attributes": {
- }
- },
- "$abc$7863$n573": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$7863$n574": {
- "hide_name": 1,
- "bits": [ 179 ],
- "attributes": {
- }
- },
- "$abc$7863$n575": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$7863$n576": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$7863$n577": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$7863$n58": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$7863$n580": {
- "hide_name": 1,
- "bits": [ 246 ],
- "attributes": {
- }
- },
- "$abc$7863$n584": {
- "hide_name": 1,
- "bits": [ 198 ],
- "attributes": {
- }
- },
- "$abc$7863$n586": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$7863$n590": {
- "hide_name": 1,
- "bits": [ 208 ],
- "attributes": {
- }
- },
- "$abc$7863$n591": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$7863$n591_1": {
- "hide_name": 1,
- "bits": [ 209 ],
- "attributes": {
- }
- },
- "$abc$7863$n592": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$7863$n593": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$7863$n593_1": {
- "hide_name": 1,
- "bits": [ 212 ],
- "attributes": {
- }
- },
- "$abc$7863$n594": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$7863$n595": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$7863$n597": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$7863$n597_1": {
- "hide_name": 1,
- "bits": [ 222 ],
- "attributes": {
- }
- },
- "$abc$7863$n598": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$7863$n599": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$7863$n60": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$7863$n603": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$7863$n607": {
- "hide_name": 1,
- "bits": [ 272 ],
- "attributes": {
- }
- },
- "$abc$7863$n607_1": {
- "hide_name": 1,
- "bits": [ 234 ],
- "attributes": {
- }
- },
- "$abc$7863$n608_1": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$7863$n609_1": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$7863$n610": {
- "hide_name": 1,
- "bits": [ 238 ],
- "attributes": {
- }
- },
- "$abc$7863$n618": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$7863$n619_1": {
- "hide_name": 1,
- "bits": [ 249 ],
- "attributes": {
- }
- },
- "$abc$7863$n62": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$7863$n620_1": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$7863$n625_1": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$7863$n629_1": {
- "hide_name": 1,
- "bits": [ 266 ],
- "attributes": {
- }
- },
- "$abc$7863$n630": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$7863$n631_1": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$7863$n633": {
- "hide_name": 1,
- "bits": [ 270 ],
- "attributes": {
- }
- },
- "$abc$7863$n636": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$7863$n638": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$7863$n64": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$7863$n640": {
- "hide_name": 1,
- "bits": [ 281 ],
- "attributes": {
- }
- },
- "$abc$7863$n642": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$7863$n644_1": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$7863$n646": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$7863$n648_1": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$7863$n651_1": {
- "hide_name": 1,
- "bits": [ 304 ],
- "attributes": {
- }
- },
- "$abc$7863$n652": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$7863$n653_1": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$7863$n654_1": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$7863$n655": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$7863$n656": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$7863$n657": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$7863$n658": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$7863$n659": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$7863$n66": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$7863$n660_1": {
- "hide_name": 1,
- "bits": [ 303 ],
- "attributes": {
- }
- },
- "$abc$7863$n661_1": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$7863$n663_1": {
- "hide_name": 1,
- "bits": [ 332 ],
- "attributes": {
- }
- },
- "$abc$7863$n664_1": {
- "hide_name": 1,
- "bits": [ 334 ],
- "attributes": {
- }
- },
- "$abc$7863$n666_1": {
- "hide_name": 1,
- "bits": [ 335 ],
- "attributes": {
- }
- },
- "$abc$7863$n667_1": {
- "hide_name": 1,
- "bits": [ 336 ],
- "attributes": {
- }
- },
- "$abc$7863$n670_1": {
- "hide_name": 1,
- "bits": [ 339 ],
- "attributes": {
- }
- },
- "$abc$7863$n68": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$7863$n680_1": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$7863$n681": {
- "hide_name": 1,
- "bits": [ 360 ],
- "attributes": {
- }
- },
- "$abc$7863$n683_1": {
- "hide_name": 1,
- "bits": [ 361 ],
- "attributes": {
- }
- },
- "$abc$7863$n684": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$7863$n686_1": {
- "hide_name": 1,
- "bits": [ 365 ],
- "attributes": {
- }
- },
- "$abc$7863$n688": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$7863$n690": {
- "hide_name": 1,
- "bits": [ 371 ],
- "attributes": {
- }
- },
- "$abc$7863$n692_1": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$7863$n694": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "$abc$7863$n696": {
- "hide_name": 1,
- "bits": [ 380 ],
- "attributes": {
- }
- },
- "$abc$7863$n698_1": {
- "hide_name": 1,
- "bits": [ 382 ],
- "attributes": {
- }
- },
- "$abc$7863$n699": {
- "hide_name": 1,
- "bits": [ 385 ],
- "attributes": {
- }
- },
- "$abc$7863$n7": {
- "hide_name": 1,
- "bits": [ 96 ],
- "attributes": {
- }
- },
- "$abc$7863$n70": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$7863$n701": {
- "hide_name": 1,
- "bits": [ 387 ],
- "attributes": {
- }
- },
- "$abc$7863$n702": {
- "hide_name": 1,
- "bits": [ 274 ],
- "attributes": {
- }
- },
- "$abc$7863$n702_1": {
- "hide_name": 1,
- "bits": [ 386 ],
- "attributes": {
- }
- },
- "$abc$7863$n703": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$7863$n704": {
- "hide_name": 1,
- "bits": [ 282 ],
- "attributes": {
- }
- },
- "$abc$7863$n704_1": {
- "hide_name": 1,
- "bits": [ 391 ],
- "attributes": {
- }
- },
- "$abc$7863$n705": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$7863$n705_1": {
- "hide_name": 1,
- "bits": [ 390 ],
- "attributes": {
- }
- },
- "$abc$7863$n706": {
- "hide_name": 1,
- "bits": [ 290 ],
- "attributes": {
- }
- },
- "$abc$7863$n707": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$7863$n707_1": {
- "hide_name": 1,
- "bits": [ 395 ],
- "attributes": {
- }
- },
- "$abc$7863$n708": {
- "hide_name": 1,
- "bits": [ 298 ],
- "attributes": {
- }
- },
- "$abc$7863$n708_1": {
- "hide_name": 1,
- "bits": [ 397 ],
- "attributes": {
- }
- },
- "$abc$7863$n710": {
- "hide_name": 1,
- "bits": [ 302 ],
- "attributes": {
- }
- },
- "$abc$7863$n710_1": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$7863$n711": {
- "hide_name": 1,
- "bits": [ 398 ],
- "attributes": {
- }
- },
- "$abc$7863$n712": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$7863$n713": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$7863$n714": {
- "hide_name": 1,
- "bits": [ 333 ],
- "attributes": {
- }
- },
- "$abc$7863$n714_1": {
- "hide_name": 1,
- "bits": [ 402 ],
- "attributes": {
- }
- },
- "$abc$7863$n716": {
- "hide_name": 1,
- "bits": [ 337 ],
- "attributes": {
- }
- },
- "$abc$7863$n716_1": {
- "hide_name": 1,
- "bits": [ 407 ],
- "attributes": {
- }
- },
- "$abc$7863$n717_1": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$7863$n718": {
- "hide_name": 1,
- "bits": [ 338 ],
- "attributes": {
- }
- },
- "$abc$7863$n719": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$7863$n720": {
- "hide_name": 1,
- "bits": [ 410 ],
- "attributes": {
- }
- },
- "$abc$7863$n722": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$7863$n724": {
- "hide_name": 1,
- "bits": [ 340 ],
- "attributes": {
- }
- },
- "$abc$7863$n724_1": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$7863$n726": {
- "hide_name": 1,
- "bits": [ 342 ],
- "attributes": {
- }
- },
- "$abc$7863$n728": {
- "hide_name": 1,
- "bits": [ 344 ],
- "attributes": {
- }
- },
- "$abc$7863$n730": {
- "hide_name": 1,
- "bits": [ 346 ],
- "attributes": {
- }
- },
- "$abc$7863$n732": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$7863$n734": {
- "hide_name": 1,
- "bits": [ 350 ],
- "attributes": {
- }
- },
- "$abc$7863$n736": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$7863$n738": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$7863$n740": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$7863$n748": {
- "hide_name": 1,
- "bits": [ 359 ],
- "attributes": {
- }
- },
- "$abc$7863$n750": {
- "hide_name": 1,
- "bits": [ 362 ],
- "attributes": {
- }
- },
- "$abc$7863$n752": {
- "hide_name": 1,
- "bits": [ 366 ],
- "attributes": {
- }
- },
- "$abc$7863$n754": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$7863$n756": {
- "hide_name": 1,
- "bits": [ 372 ],
- "attributes": {
- }
- },
- "$abc$7863$n758": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$7863$n760": {
- "hide_name": 1,
- "bits": [ 378 ],
- "attributes": {
- }
- },
- "$abc$7863$n762": {
- "hide_name": 1,
- "bits": [ 381 ],
- "attributes": {
- }
- },
- "$abc$7863$n764": {
- "hide_name": 1,
- "bits": [ 383 ],
- "attributes": {
- }
- },
- "$abc$7863$n766": {
- "hide_name": 1,
- "bits": [ 388 ],
- "attributes": {
- }
- },
- "$abc$7863$n768": {
- "hide_name": 1,
- "bits": [ 392 ],
- "attributes": {
- }
- },
- "$abc$7863$n769": {
- "hide_name": 1,
- "bits": [ 514 ],
- "attributes": {
- }
- },
- "$abc$7863$n770": {
- "hide_name": 1,
- "bits": [ 396 ],
- "attributes": {
- }
- },
- "$abc$7863$n772": {
- "hide_name": 1,
- "bits": [ 400 ],
- "attributes": {
- }
- },
- "$abc$7863$n772_1": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$7863$n774": {
- "hide_name": 1,
- "bits": [ 404 ],
- "attributes": {
- }
- },
- "$abc$7863$n775": {
- "hide_name": 1,
- "bits": [ 527 ],
- "attributes": {
- }
- },
- "$abc$7863$n776": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$7863$n778": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$7863$n778_1": {
- "hide_name": 1,
- "bits": [ 533 ],
- "attributes": {
- }
- },
- "$abc$7863$n780": {
- "hide_name": 1,
- "bits": [ 416 ],
- "attributes": {
- }
- },
- "$abc$7863$n781": {
- "hide_name": 1,
- "bits": [ 539 ],
- "attributes": {
- }
- },
- "$abc$7863$n782": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$7863$n784": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$7863$n784_1": {
- "hide_name": 1,
- "bits": [ 545 ],
- "attributes": {
- }
- },
- "$abc$7863$n786": {
- "hide_name": 1,
- "bits": [ 422 ],
- "attributes": {
- }
- },
- "$abc$7863$n787": {
- "hide_name": 1,
- "bits": [ 551 ],
- "attributes": {
- }
- },
- "$abc$7863$n788": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$7863$n790": {
- "hide_name": 1,
- "bits": [ 426 ],
- "attributes": {
- }
- },
- "$abc$7863$n790_1": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$7863$n792": {
- "hide_name": 1,
- "bits": [ 428 ],
- "attributes": {
- }
- },
- "$abc$7863$n794": {
- "hide_name": 1,
- "bits": [ 430 ],
- "attributes": {
- }
- },
- "$abc$7863$n796": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$7863$n797": {
- "hide_name": 1,
- "bits": [ 432 ],
- "attributes": {
- }
- },
- "$abc$7863$n798": {
- "hide_name": 1,
- "bits": [ 433 ],
- "attributes": {
- }
- },
- "$abc$7863$n799": {
- "hide_name": 1,
- "bits": [ 434 ],
- "attributes": {
- }
- },
- "$abc$7863$n800": {
- "hide_name": 1,
- "bits": [ 435 ],
- "attributes": {
- }
- },
- "$abc$7863$n801": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$7863$n802": {
- "hide_name": 1,
- "bits": [ 437 ],
- "attributes": {
- }
- },
- "$abc$7863$n803": {
- "hide_name": 1,
- "bits": [ 438 ],
- "attributes": {
- }
- },
- "$abc$7863$n804": {
- "hide_name": 1,
- "bits": [ 439 ],
- "attributes": {
- }
- },
- "$abc$7863$n805": {
- "hide_name": 1,
- "bits": [ 440 ],
- "attributes": {
- }
- },
- "$abc$7863$n806": {
- "hide_name": 1,
- "bits": [ 441 ],
- "attributes": {
- }
- },
- "$abc$7863$n807": {
- "hide_name": 1,
- "bits": [ 442 ],
- "attributes": {
- }
- },
- "$abc$7863$n808": {
- "hide_name": 1,
- "bits": [ 443 ],
- "attributes": {
- }
- },
- "$abc$7863$n809": {
- "hide_name": 1,
- "bits": [ 444 ],
- "attributes": {
- }
- },
- "$abc$7863$n809_1": {
- "hide_name": 1,
- "bits": [ 578 ],
- "attributes": {
- }
- },
- "$abc$7863$n810": {
- "hide_name": 1,
- "bits": [ 445 ],
- "attributes": {
- }
- },
- "$abc$7863$n810_1": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$7863$n811": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$7863$n812": {
- "hide_name": 1,
- "bits": [ 447 ],
- "attributes": {
- }
- },
- "$abc$7863$n813": {
- "hide_name": 1,
- "bits": [ 448 ],
- "attributes": {
- }
- },
- "$abc$7863$n814": {
- "hide_name": 1,
- "bits": [ 449 ],
- "attributes": {
- }
- },
- "$abc$7863$n815": {
- "hide_name": 1,
- "bits": [ 450 ],
- "attributes": {
- }
- },
- "$abc$7863$n816": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$7863$n817": {
- "hide_name": 1,
- "bits": [ 452 ],
- "attributes": {
- }
- },
- "$abc$7863$n818": {
- "hide_name": 1,
- "bits": [ 453 ],
- "attributes": {
- }
- },
- "$abc$7863$n818_1": {
- "hide_name": 1,
- "bits": [ 584 ],
- "attributes": {
- }
- },
- "$abc$7863$n819": {
- "hide_name": 1,
- "bits": [ 454 ],
- "attributes": {
- }
- },
- "$abc$7863$n819_1": {
- "hide_name": 1,
- "bits": [ 583 ],
- "attributes": {
- }
- },
- "$abc$7863$n820": {
- "hide_name": 1,
- "bits": [ 455 ],
- "attributes": {
- }
- },
- "$abc$7863$n821": {
- "hide_name": 1,
- "bits": [ 456 ],
- "attributes": {
- }
- },
- "$abc$7863$n822": {
- "hide_name": 1,
- "bits": [ 457 ],
- "attributes": {
- }
- },
- "$abc$7863$n823": {
- "hide_name": 1,
- "bits": [ 458 ],
- "attributes": {
- }
- },
- "$abc$7863$n826": {
- "hide_name": 1,
- "bits": [ 459 ],
- "attributes": {
- }
- },
- "$abc$7863$n826_1": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$7863$n827": {
- "hide_name": 1,
- "bits": [ 460 ],
- "attributes": {
- }
- },
- "$abc$7863$n827_1": {
- "hide_name": 1,
- "bits": [ 588 ],
- "attributes": {
- }
- },
- "$abc$7863$n828": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$7863$n828_1": {
- "hide_name": 1,
- "bits": [ 586 ],
- "attributes": {
- }
- },
- "$abc$7863$n829": {
- "hide_name": 1,
- "bits": [ 462 ],
- "attributes": {
- }
- },
- "$abc$7863$n829_1": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$7863$n830": {
- "hide_name": 1,
- "bits": [ 463 ],
- "attributes": {
- }
- },
- "$abc$7863$n831": {
- "hide_name": 1,
- "bits": [ 464 ],
- "attributes": {
- }
- },
- "$abc$7863$n831_1": {
- "hide_name": 1,
- "bits": [ 590 ],
- "attributes": {
- }
- },
- "$abc$7863$n832": {
- "hide_name": 1,
- "bits": [ 465 ],
- "attributes": {
- }
- },
- "$abc$7863$n833": {
- "hide_name": 1,
- "bits": [ 466 ],
- "attributes": {
- }
- },
- "$abc$7863$n834": {
- "hide_name": 1,
- "bits": [ 467 ],
- "attributes": {
- }
- },
- "$abc$7863$n835": {
- "hide_name": 1,
- "bits": [ 468 ],
- "attributes": {
- }
- },
- "$abc$7863$n836": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$7863$n837": {
- "hide_name": 1,
- "bits": [ 470 ],
- "attributes": {
- }
- },
- "$abc$7863$n838": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$7863$n839": {
- "hide_name": 1,
- "bits": [ 472 ],
- "attributes": {
- }
- },
- "$abc$7863$n840": {
- "hide_name": 1,
- "bits": [ 473 ],
- "attributes": {
- }
- },
- "$abc$7863$n841": {
- "hide_name": 1,
- "bits": [ 474 ],
- "attributes": {
- }
- },
- "$abc$7863$n842": {
- "hide_name": 1,
- "bits": [ 475 ],
- "attributes": {
- }
- },
- "$abc$7863$n843": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$7863$n844": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$7863$n844_1": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$7863$n845": {
- "hide_name": 1,
- "bits": [ 478 ],
- "attributes": {
- }
- },
- "$abc$7863$n846": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$7863$n847": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$7863$n848": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$7863$n849": {
- "hide_name": 1,
- "bits": [ 482 ],
- "attributes": {
- }
- },
- "$abc$7863$n850": {
- "hide_name": 1,
- "bits": [ 483 ],
- "attributes": {
- }
- },
- "$abc$7863$n851": {
- "hide_name": 1,
- "bits": [ 484 ],
- "attributes": {
- }
- },
- "$abc$7863$n852": {
- "hide_name": 1,
- "bits": [ 485 ],
- "attributes": {
- }
- },
- "$abc$7863$n853": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$7863$n895": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$7863$n897": {
- "hide_name": 1,
- "bits": [ 683 ],
- "attributes": {
- }
- },
- "$abc$7863$n898": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$7863$n899": {
- "hide_name": 1,
- "bits": [ 97 ],
- "attributes": {
- }
- },
- "$abc$7863$n899_1": {
- "hide_name": 1,
- "bits": [ 685 ],
- "attributes": {
- }
- },
- "$abc$7863$n9": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$7863$n902": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$7863$n909": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$7863$n911": {
- "hide_name": 1,
- "bits": [ 419 ],
- "attributes": {
- }
- },
- "$abc$7863$n913": {
- "hide_name": 1,
- "bits": [ 423 ],
- "attributes": {
- }
- },
- "$abc$7863$n915": {
- "hide_name": 1,
- "bits": [ 429 ],
- "attributes": {
- }
- },
- "$abc$7863$n921": {
- "hide_name": 1,
- "bits": [ 421 ],
- "attributes": {
- }
- },
- "$abc$7863$n924": {
- "hide_name": 1,
- "bits": [ 425 ],
- "attributes": {
- }
- },
- "$abc$7863$n926": {
- "hide_name": 1,
- "bits": [ 427 ],
- "attributes": {
- }
- },
- "$abc$7863$n945": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$7863$n949": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$7863$n951": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$7863$n953": {
- "hide_name": 1,
- "bits": [ 370 ],
- "attributes": {
- }
- },
- "$abc$7863$n955": {
- "hide_name": 1,
- "bits": [ 373 ],
- "attributes": {
- }
- },
- "$abc$7863$n957": {
- "hide_name": 1,
- "bits": [ 376 ],
- "attributes": {
- }
- },
- "$abc$7863$n959": {
- "hide_name": 1,
- "bits": [ 379 ],
- "attributes": {
- }
- },
- "$abc$7863$n961": {
- "hide_name": 1,
- "bits": [ 384 ],
- "attributes": {
- }
- },
- "$abc$7863$n963": {
- "hide_name": 1,
- "bits": [ 389 ],
- "attributes": {
- }
- },
- "$abc$7863$n965": {
- "hide_name": 1,
- "bits": [ 393 ],
- "attributes": {
- }
- },
- "$abc$7863$n967": {
- "hide_name": 1,
- "bits": [ 394 ],
- "attributes": {
- }
- },
- "$abc$7863$n969": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$7863$n971": {
- "hide_name": 1,
- "bits": [ 405 ],
- "attributes": {
- }
- },
- "$abc$7863$n973": {
- "hide_name": 1,
- "bits": [ 406 ],
- "attributes": {
- }
- },
- "$abc$7863$n975": {
- "hide_name": 1,
- "bits": [ 413 ],
- "attributes": {
- }
- },
- "$add$top.v:1187$144_Y": {
- "hide_name": 1,
- "bits": [ 746, 878, 749, 752, 755, 758, 761, 764, 767 ],
- "attributes": {
- "src": "top.v:1187"
- }
- },
- "$add$top.v:1190$145_Y": {
- "hide_name": 1,
- "bits": [ 768, 879, 771, 774, 777, 780, 783, 786, 789 ],
- "attributes": {
- "src": "top.v:1190"
- }
- },
- "$add$top.v:1224$166_Y": {
- "hide_name": 1,
- "bits": [ 724, 880, 727, 730, 733, 736, 739, 742, 745 ],
- "attributes": {
- "src": "top.v:1224"
- }
- },
- "$add$top.v:1227$167_Y": {
- "hide_name": 1,
- "bits": [ 693, 881, 696, 699, 702, 705, 708, 711, 714 ],
- "attributes": {
- "src": "top.v:1227"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.C": {
- "hide_name": 1,
- "bits": [ 882, 883, 687, 688, 689, 690, 691, 692 ],
- "attributes": {
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.C": {
- "hide_name": 1,
- "bits": [ 884, 885, 694, 697, 700, 703, 706, 709, 712 ],
- "attributes": {
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.C": {
- "hide_name": 1,
- "bits": [ 886, 887, 715, 716, 717, 718, 719, 720, 721, 722 ],
- "attributes": {
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.C": {
- "hide_name": 1,
- "bits": [ 888, 889, 723 ],
- "attributes": {
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.C": {
- "hide_name": 1,
- "bits": [ 890, 891, 725, 728, 731, 734, 737, 740, 743 ],
- "attributes": {
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.C": {
- "hide_name": 1,
- "bits": [ 892, 893, 747, 750, 753, 756, 759, 762, 765 ],
- "attributes": {
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.C": {
- "hide_name": 1,
- "bits": [ 894, 895, 769, 772, 775, 778, 781, 784, 787 ],
- "attributes": {
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.C": {
- "hide_name": 1,
- "bits": [ 896, 897, 790, 791, 792, 793, 794, 795, 796, 797 ],
- "attributes": {
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.C": {
- "hide_name": 1,
- "bits": [ 898, 899, 798, 799, 800, 801, 802, 803 ],
- "attributes": {
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.C": {
- "hide_name": 1,
- "bits": [ 900, 901, 805, 806, 807, 808, 809, 810, 811, 812, 804 ],
- "attributes": {
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.C": {
- "hide_name": 1,
- "bits": [ 902, 903, 813, 814, 815, 816, 817, 818, 819, 820 ],
- "attributes": {
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.C": {
- "hide_name": 1,
- "bits": [ 904, 905, 821, 822, 823, 824, 825, 826, 827, 828 ],
- "attributes": {
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.C": {
- "hide_name": 1,
- "bits": [ 906, 907, 835, 836, 837, 838, 839, 840, 841, 842, 829, 830, 831, 832, 833, 834 ],
- "attributes": {
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.C": {
- "hide_name": 1,
- "bits": [ 908, 909, 843 ],
- "attributes": {
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$7808": {
- "hide_name": 1,
- "bits": [ 848 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$7816": {
- "hide_name": 1,
- "bits": [ 849 ],
- "attributes": {
- }
- },
- "$techmap1599\\storage.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 910, 862, 911, 863, 912, 864, 913, 865, 914, 866, 915, 867, 916, 868, 917, 869 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1600\\storage_2.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 918, 870, 919, 871, 920, 872, 921, 873, 922, 874, 923, 875, 924, 876, 925, 877 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "applet_clk_buf": {
- "hide_name": 0,
- "bits": [ 852 ],
- "attributes": {
- "src": "top.v:20"
- }
- },
- "applet_fd_t_i": {
- "hide_name": 0,
- "bits": [ 512, 519, 525, 531, 537, 543, 549, 555 ],
- "attributes": {
- "src": "top.v:63"
- }
- },
- "applet_fd_t_o": {
- "hide_name": 0,
- "bits": [ 488, 490, 492, 494, 496, 498, 500, 502 ],
- "attributes": {
- "src": "top.v:62"
- }
- },
- "applet_fd_t_oe": {
- "hide_name": 0,
- "bits": [ 847 ],
- "attributes": {
- "src": "top.v:61"
- }
- },
- "applet_fifoadr_t_i": {
- "hide_name": 0,
- "bits": [ 853, 859 ],
- "attributes": {
- "src": "top.v:57",
- "unused_bits": "0 1"
- }
- },
- "applet_fifoadr_t_o": {
- "hide_name": 0,
- "bits": [ 180, 181 ],
- "attributes": {
- "src": "top.v:56"
- }
- },
- "applet_flag_t_i": {
- "hide_name": 0,
- "bits": [ 182, 184, 183, 185 ],
- "attributes": {
- "src": "top.v:60"
- }
- },
- "applet_i2c_slave_bitno": {
- "hide_name": 0,
- "bits": [ 93, 94, 91 ],
- "attributes": {
- "src": "top.v:46"
- }
- },
- "applet_i2c_slave_bitno_i2cslave_next_value": {
- "hide_name": 0,
- "bits": [ 609, 610, 612 ],
- "attributes": {
- "src": "top.v:174"
- }
- },
- "applet_i2c_slave_data_i": {
- "hide_name": 0,
- "bits": [ 79, 82, 80, 81, 75, 76, 77, 78 ],
- "attributes": {
- "src": "top.v:32"
- }
- },
- "applet_i2c_slave_data_i_i2cslave_f_next_value2": {
- "hide_name": 0,
- "bits": [ 561, 562, 563, 564, 565, 566, 567, 568 ],
- "attributes": {
- "src": "top.v:182"
- }
- },
- "applet_i2c_slave_fsm_is_el1": {
- "hide_name": 0,
- "bits": [ 122 ],
- "attributes": {
- "src": "top.v:52"
- }
- },
- "applet_i2c_slave_shreg_i": {
- "hide_name": 0,
- "bits": [ 48, 137, 138, 139, 136, 140, 133, 134 ],
- "attributes": {
- "src": "top.v:47"
- }
- },
- "applet_i2c_slave_shreg_i_i2cslave_t_next_value": {
- "hide_name": 0,
- "bits": [ 569, 570, 571, 572, 573, 574, 575, 576 ],
- "attributes": {
- "src": "top.v:176"
- }
- },
- "applet_i2c_slave_shreg_o": {
- "hide_name": 0,
- "bits": [ 594, 596, 598, 600, 602, 604, 606, 39 ],
- "attributes": {
- "src": "top.v:48"
- }
- },
- "applet_i2c_slave_shreg_o_i2cslave_f_next_value1": {
- "hide_name": 0,
- "bits": [ 593, 595, 597, 599, 601, 603, 605, 607 ],
- "attributes": {
- "src": "top.v:180"
- }
- },
- "applet_i2c_slave_signal_is_el1": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "top.v:51"
- }
- },
- "applet_i2c_slave_write": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "top.v:31"
- }
- },
- "applet_pktend_t_i": {
- "hide_name": 0,
- "bits": [ 857 ],
- "attributes": {
- "src": "top.v:75",
- "unused_bits": "0"
- }
- },
- "applet_pktend_t_o": {
- "hide_name": 0,
- "bits": [ 615 ],
- "attributes": {
- "src": "top.v:74"
- }
- },
- "applet_registers_address": {
- "hide_name": 0,
- "bits": [ 255, 256, 257, 258, 251, 252, 253, 254 ],
- "attributes": {
- "src": "top.v:54"
- }
- },
- "applet_reset_delay": {
- "hide_name": 0,
- "bits": [ 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 643 ],
- "attributes": {
- "src": "top.v:21"
- }
- },
- "applet_sloe_t_i": {
- "hide_name": 0,
- "bits": [ 854 ],
- "attributes": {
- "src": "top.v:66",
- "unused_bits": "0"
- }
- },
- "applet_sloe_t_o": {
- "hide_name": 0,
- "bits": [ 676 ],
- "attributes": {
- "src": "top.v:65"
- }
- },
- "applet_slrd_t_i": {
- "hide_name": 0,
- "bits": [ 855 ],
- "attributes": {
- "src": "top.v:69",
- "unused_bits": "0"
- }
- },
- "applet_slrd_t_o": {
- "hide_name": 0,
- "bits": [ 614 ],
- "attributes": {
- "src": "top.v:68"
- }
- },
- "applet_slwr_t_i": {
- "hide_name": 0,
- "bits": [ 856 ],
- "attributes": {
- "src": "top.v:72",
- "unused_bits": "0"
- }
- },
- "applet_slwr_t_o": {
- "hide_name": 0,
- "bits": [ 205 ],
- "attributes": {
- "src": "top.v:71"
- }
- },
- "applet_tstriple0_i": {
- "hide_name": 0,
- "bits": [ 621 ],
- "attributes": {
- "src": "top.v:24"
- }
- },
- "applet_tstriple1_i": {
- "hide_name": 0,
- "bits": [ 623 ],
- "attributes": {
- "src": "top.v:27"
- }
- },
- "applet_tstriple1_oe": {
- "hide_name": 0,
- "bits": [ 845 ],
- "attributes": {
- "src": "top.v:26"
- }
- },
- "clk_if": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "fx2_fd": {
- "hide_name": 0,
- "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ],
- "attributes": {
- "src": "top.v:12"
- }
- },
- "fx2_fifoadr": {
- "hide_name": 0,
- "bits": [ 9, 10 ],
- "attributes": {
- "src": "top.v:10"
- }
- },
- "fx2_flag": {
- "hide_name": 0,
- "bits": [ 11, 12, 13, 14 ],
- "attributes": {
- "src": "top.v:11"
- }
- },
- "fx2_pktend": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "fx2_sloe": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "fx2_slrd": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:7"
- }
- },
- "fx2_slwr": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "top.v:8"
- }
- },
- "fx2arbiter_addr": {
- "hide_name": 0,
- "bits": [ 180, 181 ],
- "attributes": {
- "src": "top.v:186"
- }
- },
- "fx2arbiter_addr_fx2arbiter_next_value2": {
- "hide_name": 0,
- "bits": [ 581, 582 ],
- "attributes": {
- "src": "top.v:200"
- }
- },
- "fx2arbiter_fdoe": {
- "hide_name": 0,
- "bits": [ 847 ],
- "attributes": {
- "src": "top.v:187"
- }
- },
- "fx2arbiter_fdoe_fx2arbiter_next_value1": {
- "hide_name": 0,
- "bits": [ 261 ],
- "attributes": {
- "src": "top.v:198"
- }
- },
- "fx2arbiter_flag": {
- "hide_name": 0,
- "bits": [ 182, 184, 183, 185 ],
- "attributes": {
- "src": "top.v:185"
- }
- },
- "fx2arbiter_naddr": {
- "hide_name": 0,
- "bits": [ 581, 582 ],
- "attributes": {
- "src": "top.v:193"
- }
- },
- "fx2arbiter_next_state": {
- "hide_name": 0,
- "bits": [ 577, 580, 682 ],
- "attributes": {
- "src": "top.v:195"
- }
- },
- "fx2arbiter_sloe": {
- "hide_name": 0,
- "bits": [ 675 ],
- "attributes": {
- "src": "top.v:188"
- }
- },
- "fx2arbiter_sloe_fx2arbiter_next_value0": {
- "hide_name": 0,
- "bits": [ 264 ],
- "attributes": {
- "src": "top.v:196"
- }
- },
- "fx2arbiter_state": {
- "hide_name": 0,
- "bits": [ 186, 187, 188 ],
- "attributes": {
- "src": "top.v:194"
- }
- },
- "i2c_scl": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "i2c_sda": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "i2cslave_next_state": {
- "hide_name": 0,
- "bits": [ 686, 587, 591 ],
- "attributes": {
- "src": "top.v:173"
- }
- },
- "i2cslave_state": {
- "hide_name": 0,
- "bits": [ 68, 67, 66 ],
- "attributes": {
- "src": "top.v:172"
- }
- },
- "io": {
- "hide_name": 0,
- "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ],
- "attributes": {
- "src": "top.v:13"
- }
- },
- "io_1": {
- "hide_name": 0,
- "bits": [ 31, 32, 33, 34, 35, 36, 37, 38 ],
- "attributes": {
- "src": "top.v:14"
- }
- },
- "latch_addr": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "top.v:184"
- }
- },
- "lhs_array_muxed": {
- "hide_name": 0,
- "bits": [ 512, 519, 525, 531, 537, 543, 549, 555 ],
- "attributes": {
- "src": "top.v:217"
- }
- },
- "memdat_1": {
- "hide_name": 0,
- "bits": [ 301, 275, 279, 283, 287, 291, 295, 299 ],
- "attributes": {
- "src": "top.v:1582"
- }
- },
- "memdat_4": {
- "hide_name": 0,
- "bits": [ 487, 489, 491, 493, 495, 497, 499, 501 ],
- "attributes": {
- "src": "top.v:1613"
- }
- },
- "multiregimpl2_regs0": {
- "hide_name": 0,
- "bits": [ 851 ],
- "attributes": {
- "src": "top.v:230"
- }
- },
- "multiregimpl2_regs1": {
- "hide_name": 0,
- "bits": [ 341 ],
- "attributes": {
- "src": "top.v:231"
- }
- },
- "por_clk": {
- "hide_name": 0,
- "bits": [ 844 ],
- "attributes": {
- "src": "top.v:17"
- }
- },
- "resetinserter_state": {
- "hide_name": 0,
- "bits": [ 115, 116, 117 ],
- "attributes": {
- "src": "top.v:202"
- }
- },
- "rhs_array_muxed1": {
- "hide_name": 0,
- "bits": [ 488, 490, 492, 494, 496, 498, 500, 502 ],
- "attributes": {
- "src": "top.v:218"
- }
- },
- "spiflashavrapplet_bitno": {
- "hide_name": 0,
- "bits": [ 644, 645, 646 ],
- "attributes": {
- "src": "top.v:166"
- }
- },
- "spiflashavrapplet_count": {
- "hide_name": 0,
- "bits": [ 316, 317, 318, 319, 312, 313, 314, 315, 324, 325, 326, 327, 320, 321, 322, 323 ],
- "attributes": {
- "src": "top.v:165"
- }
- },
- "spiflashavrapplet_fifowithoverflow_consume": {
- "hide_name": 0,
- "bits": [ 518 ],
- "attributes": {
- "src": "top.v:127"
- }
- },
- "spiflashavrapplet_fifowithoverflow_din": {
- "hide_name": 0,
- "bits": [ 513, 520, 526, 532, 538, 544, 550, 556 ],
- "attributes": {
- "src": "top.v:117"
- }
- },
- "spiflashavrapplet_fifowithoverflow_level": {
- "hide_name": 0,
- "bits": [ 189, 190 ],
- "attributes": {
- "src": "top.v:124"
- }
- },
- "spiflashavrapplet_fifowithoverflow_produce": {
- "hide_name": 0,
- "bits": [ 616 ],
- "attributes": {
- "src": "top.v:126"
- }
- },
- "spiflashavrapplet_fifowithoverflow_rdport_adr": {
- "hide_name": 0,
- "bits": [ 518 ],
- "attributes": {
- "src": "top.v:133"
- }
- },
- "spiflashavrapplet_fifowithoverflow_wrport_adr": {
- "hide_name": 0,
- "bits": [ 616 ],
- "attributes": {
- "src": "top.v:128"
- }
- },
- "spiflashavrapplet_ireg": {
- "hide_name": 0,
- "bits": [ 343, 345, 347, 349, 351, 353, 355, 510 ],
- "attributes": {
- "src": "top.v:168"
- }
- },
- "spiflashavrapplet_miso": {
- "hide_name": 0,
- "bits": [ 341 ],
- "attributes": {
- "src": "top.v:160"
- }
- },
- "spiflashavrapplet_mosi": {
- "hide_name": 0,
- "bits": [ 846 ],
- "attributes": {
- "src": "top.v:159"
- }
- },
- "spiflashavrapplet_oe": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:156"
- }
- },
- "spiflashavrapplet_oreg": {
- "hide_name": 0,
- "bits": [ 276, 280, 284, 288, 292, 296, 300, 846 ],
- "attributes": {
- "src": "top.v:167"
- }
- },
- "spiflashavrapplet_reg0": {
- "hide_name": 0,
- "bits": [ 102 ],
- "attributes": {
- "src": "top.v:170"
- }
- },
- "spiflashavrapplet_reg1": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:171"
- }
- },
- "spiflashavrapplet_reset": {
- "hide_name": 0,
- "bits": [ 102 ],
- "attributes": {
- "src": "top.v:169"
- }
- },
- "spiflashavrapplet_sck": {
- "hide_name": 0,
- "bits": [ 99 ],
- "attributes": {
- "src": "top.v:157"
- }
- },
- "spiflashavrapplet_sck_r": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "top.v:161"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_consume": {
- "hide_name": 0,
- "bits": [ 196, 670, 770, 773, 776, 779, 782, 785, 788 ],
- "attributes": {
- "src": "top.v:105"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_do_read": {
- "hide_name": 0,
- "bits": [ 156 ],
- "attributes": {
- "src": "top.v:110"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_level0": {
- "hide_name": 0,
- "bits": [ 162, 163, 164, 165, 158, 159, 160, 161, 166, 167 ],
- "attributes": {
- "src": "top.v:102"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_produce": {
- "hide_name": 0,
- "bits": [ 194, 668, 748, 751, 754, 757, 760, 763, 766 ],
- "attributes": {
- "src": "top.v:104"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_rdport_adr": {
- "hide_name": 0,
- "bits": [ 196, 670, 770, 773, 776, 779, 782, 785, 788 ],
- "attributes": {
- "src": "top.v:111"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_rdport_dat_r": {
- "hide_name": 0,
- "bits": [ 301, 275, 279, 283, 287, 291, 295, 299 ],
- "attributes": {
- "src": "top.v:112"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_rdport_re": {
- "hide_name": 0,
- "bits": [ 156 ],
- "attributes": {
- "src": "top.v:113"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_readable": {
- "hide_name": 0,
- "bits": [ 157 ],
- "attributes": {
- "src": "top.v:95"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_syncfifo0_din": {
- "hide_name": 0,
- "bits": [ 515, 522, 528, 534, 540, 546, 552, 558 ],
- "attributes": {
- "src": "top.v:100"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_syncfifo0_dout": {
- "hide_name": 0,
- "bits": [ 301, 275, 279, 283, 287, 291, 295, 299 ],
- "attributes": {
- "src": "top.v:101"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_syncfifo0_re": {
- "hide_name": 0,
- "bits": [ 156 ],
- "attributes": {
- "src": "top.v:98"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_wrport_adr": {
- "hide_name": 0,
- "bits": [ 194, 668, 748, 751, 754, 757, 760, 763, 766 ],
- "attributes": {
- "src": "top.v:106"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_wrport_dat_w": {
- "hide_name": 0,
- "bits": [ 515, 522, 528, 534, 540, 546, 552, 558 ],
- "attributes": {
- "src": "top.v:109"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_wrport_we": {
- "hide_name": 0,
- "bits": [ 174 ],
- "attributes": {
- "src": "top.v:108"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_consume": {
- "hide_name": 0,
- "bits": [ 231, 664, 695, 698, 701, 704, 707, 710, 713 ],
- "attributes": {
- "src": "top.v:146"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_do_read": {
- "hide_name": 0,
- "bits": [ 207 ],
- "attributes": {
- "src": "top.v:151"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_level0": {
- "hide_name": 0,
- "bits": [ 211, 217, 218, 219, 220, 214, 215, 216, 210, 203 ],
- "attributes": {
- "src": "top.v:143"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_produce": {
- "hide_name": 0,
- "bits": [ 228, 666, 726, 729, 732, 735, 738, 741, 744 ],
- "attributes": {
- "src": "top.v:145"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_rdport_adr": {
- "hide_name": 0,
- "bits": [ 231, 664, 695, 698, 701, 704, 707, 710, 713 ],
- "attributes": {
- "src": "top.v:152"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_rdport_dat_r": {
- "hide_name": 0,
- "bits": [ 487, 489, 491, 493, 495, 497, 499, 501 ],
- "attributes": {
- "src": "top.v:153"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_rdport_re": {
- "hide_name": 0,
- "bits": [ 207 ],
- "attributes": {
- "src": "top.v:154"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_readable": {
- "hide_name": 0,
- "bits": [ 206 ],
- "attributes": {
- "src": "top.v:136"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_syncfifo1_din": {
- "hide_name": 0,
- "bits": [ 503, 504, 505, 506, 507, 508, 509, 511 ],
- "attributes": {
- "src": "top.v:141"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_syncfifo1_dout": {
- "hide_name": 0,
- "bits": [ 487, 489, 491, 493, 495, 497, 499, 501 ],
- "attributes": {
- "src": "top.v:142"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_syncfifo1_re": {
- "hide_name": 0,
- "bits": [ 207 ],
- "attributes": {
- "src": "top.v:139"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_wrport_adr": {
- "hide_name": 0,
- "bits": [ 228, 666, 726, 729, 732, 735, 738, 741, 744 ],
- "attributes": {
- "src": "top.v:147"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_wrport_dat_w": {
- "hide_name": 0,
- "bits": [ 503, 504, 505, 506, 507, 508, 509, 511 ],
- "attributes": {
- "src": "top.v:150"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_wrport_we": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "top.v:149"
- }
- },
- "spiflashavrapplet_timer": {
- "hide_name": 0,
- "bits": [ 111, 112, 113, 114, 107, 108, 109, 110 ],
- "attributes": {
- "src": "top.v:164"
- }
- },
- "spiflashavrapplet_tstriple0_i": {
- "hide_name": 0,
- "bits": [ 858 ],
- "attributes": {
- "src": "top.v:84",
- "unused_bits": "0"
- }
- },
- "spiflashavrapplet_tstriple0_o": {
- "hide_name": 0,
- "bits": [ 674 ],
- "attributes": {
- "src": "top.v:82"
- }
- },
- "spiflashavrapplet_tstriple1_i": {
- "hide_name": 0,
- "bits": [ 860 ],
- "attributes": {
- "src": "top.v:87",
- "unused_bits": "0"
- }
- },
- "spiflashavrapplet_tstriple1_o": {
- "hide_name": 0,
- "bits": [ 99 ],
- "attributes": {
- "src": "top.v:85"
- }
- },
- "spiflashavrapplet_tstriple1_oe": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:86"
- }
- },
- "spiflashavrapplet_tstriple2_i": {
- "hide_name": 0,
- "bits": [ 850 ],
- "attributes": {
- "src": "top.v:90"
- }
- },
- "spiflashavrapplet_tstriple3_i": {
- "hide_name": 0,
- "bits": [ 861 ],
- "attributes": {
- "src": "top.v:93",
- "unused_bits": "0"
- }
- },
- "spiflashavrapplet_tstriple3_o": {
- "hide_name": 0,
- "bits": [ 846 ],
- "attributes": {
- "src": "top.v:91"
- }
- },
- "spiflashavrapplet_tstriple3_oe": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:92"
- }
- },
- "storage_1[0]": {
- "hide_name": 0,
- "bits": [ 517, 523, 529, 535, 541, 547, 553, 559 ],
- "attributes": {
- }
- },
- "storage_1[1]": {
- "hide_name": 0,
- "bits": [ 516, 524, 530, 536, 542, 548, 554, 560 ],
- "attributes": {
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 844 ],
- "attributes": {
- "src": "top.v:18"
- }
- },
- "sys_rst": {
- "hide_name": 0,
- "bits": [ 50 ],
- "attributes": {
- "src": "top.v:19"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0072/top.json.gz b/ice40/regressions/issue0072/top.json.gz
new file mode 100644
index 0000000..51f9149
--- /dev/null
+++ b/ice40/regressions/issue0072/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0084/mlaccel.json b/ice40/regressions/issue0084/mlaccel.json
deleted file mode 100644
index eb205d7..0000000
--- a/ice40/regressions/issue0084/mlaccel.json
+++ /dev/null
@@ -1,120323 +0,0 @@
-{
- "creator": "Yosys 0.7+661 (git sha1 592a82c, clang 3.8.0-2ubuntu4 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "mlaccel_top": {
- "attributes": {
- "top": 1,
- "src": "../rtl/top.v:18"
- },
- "ports": {
- "clock": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "qpi_csb": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "qpi_clk": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "qpi_io0": {
- "direction": "inout",
- "bits": [ 5 ]
- },
- "qpi_io1": {
- "direction": "inout",
- "bits": [ 6 ]
- },
- "qpi_io2": {
- "direction": "inout",
- "bits": [ 7 ]
- },
- "qpi_io3": {
- "direction": "inout",
- "bits": [ 8 ]
- },
- "qpi_rdy": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "qpi_err": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "dbg1": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "dbg2": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "dbg3": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "dbg4": {
- "direction": "output",
- "bits": [ 6 ]
- }
- },
- "cells": {
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 10 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 11 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ 14 ],
- "I3": [ 15 ],
- "O": [ 16 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 18 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 14 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 19 ],
- "I1": [ 20 ],
- "I2": [ 21 ],
- "I3": [ 22 ],
- "O": [ 15 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 23 ],
- "I2": [ 24 ],
- "I3": [ "0" ],
- "O": [ 25 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 27 ],
- "I2": [ 28 ],
- "I3": [ "0" ],
- "O": [ 24 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 29 ],
- "I1": [ 30 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 31 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 33 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 29 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ 35 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 32 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 37 ],
- "I1": [ 38 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 33 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 40 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 30 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 41 ],
- "I1": [ 42 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 43 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 42 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 47 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 41 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 50 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 41 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 51 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 23 ],
- "I2": [ 52 ],
- "I3": [ 53 ],
- "O": [ 28 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 31 ],
- "I2": [ 54 ],
- "I3": [ 55 ],
- "O": [ 52 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 51 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 55 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45311
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 32 ],
- "I2": [ 50 ],
- "I3": [ 30 ],
- "O": [ 56 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 41 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 54 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 58 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20292
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 59 ],
- "I2": [ 60 ],
- "I3": [ 61 ],
- "O": [ 62 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ 64 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 60 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57582
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 9 ],
- "I2": [ 66 ],
- "I3": [ 67 ],
- "O": [ 64 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2816
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 70 ],
- "O": [ 67 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 17 ],
- "I2": [ 71 ],
- "I3": [ "0" ],
- "O": [ 69 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 72 ],
- "I2": [ 13 ],
- "I3": [ "0" ],
- "O": [ 71 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 19 ],
- "I1": [ 21 ],
- "I2": [ 22 ],
- "I3": [ 20 ],
- "O": [ 72 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 18 ],
- "I2": [ 13 ],
- "I3": [ 72 ],
- "O": [ 68 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 73 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 70 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 74 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 73 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 72 ],
- "I2": [ 14 ],
- "I3": [ 13 ],
- "O": [ 66 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 75 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 63 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ 65 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 75 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 76 ],
- "I2": [ 18 ],
- "I3": [ "0" ],
- "O": [ 59 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ 72 ],
- "I3": [ "0" ],
- "O": [ 76 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 77 ],
- "I2": [ 78 ],
- "I3": [ "0" ],
- "O": [ 79 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 76 ],
- "I2": [ 14 ],
- "I3": [ "0" ],
- "O": [ 78 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 81 ],
- "I2": [ 82 ],
- "I3": [ 83 ],
- "O": [ 84 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 86 ],
- "I2": [ 87 ],
- "I3": [ "0" ],
- "O": [ 82 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ 63 ],
- "I2": [ 64 ],
- "I3": [ 89 ],
- "O": [ 90 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 92 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 94 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 96 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 97 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 99 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 101 ],
- "I1": [ 102 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 103 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 106 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 108 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 109 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 110 ],
- "I1": [ 111 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 112 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 113 ],
- "I1": [ 114 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 115 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 117 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 118 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 120 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 123 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 126 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 129 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 130 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 131 ],
- "I1": [ 132 ],
- "I2": [ 133 ],
- "I3": [ "0" ],
- "O": [ 134 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 136 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 138 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 135 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 142 ],
- "I2": [ 143 ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 145 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 142 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 146 ],
- "I1": [ 147 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 150 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 148 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 151 ],
- "I1": [ 152 ],
- "I2": [ 153 ],
- "I3": [ 154 ],
- "O": [ 145 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 156 ],
- "I3": [ 157 ],
- "O": [ 143 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 158 ],
- "I2": [ 159 ],
- "I3": [ 160 ],
- "O": [ 155 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ 148 ],
- "I2": [ 162 ],
- "I3": [ "0" ],
- "O": [ 157 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 164 ],
- "O": [ 162 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 142 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 140 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 167 ],
- "I3": [ 168 ],
- "O": [ 166 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 148 ],
- "I2": [ 170 ],
- "I3": [ "0" ],
- "O": [ 168 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 172 ],
- "O": [ 170 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 153 ],
- "I1": [ 142 ],
- "I2": [ 173 ],
- "I3": [ "0" ],
- "O": [ 136 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 150 ],
- "I1": [ 149 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 173 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1472
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 176 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 177 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 149 ],
- "I2": [ 142 ],
- "I3": [ 152 ],
- "O": [ 175 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 150 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 176 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 177 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 178 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 137 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 179 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 135 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 181 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 175 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 180 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 181 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 182 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 183 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 184 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 183 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 12298
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 175 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 185 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 184 ],
- "I1": [ 185 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 186 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 136 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 187 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 180 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 188 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 188 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 189 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 191 ],
- "I2": [ 11 ],
- "I3": [ "0" ],
- "O": [ 192 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 194 ],
- "I2": [ 159 ],
- "I3": [ 160 ],
- "O": [ 190 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 196 ],
- "I2": [ 197 ],
- "I3": [ 198 ],
- "O": [ 193 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 202 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 196 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 196 ],
- "I2": [ 195 ],
- "I3": [ 197 ],
- "O": [ 194 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 33023
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 204 ],
- "I2": [ 159 ],
- "I3": [ 11 ],
- "O": [ 205 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 206 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 204 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 206 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ 210 ],
- "I2": [ 211 ],
- "I3": [ 212 ],
- "O": [ 207 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 213 ],
- "I1": [ 214 ],
- "I2": [ 215 ],
- "I3": [ 216 ],
- "O": [ 208 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16639
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 159 ],
- "I2": [ 160 ],
- "I3": [ 11 ],
- "O": [ 217 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 217 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 219 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 194 ],
- "I2": [ 11 ],
- "I3": [ "0" ],
- "O": [ 218 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 160 ],
- "I2": [ 221 ],
- "I3": [ "0" ],
- "O": [ 222 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 159 ],
- "I2": [ 222 ],
- "I3": [ 11 ],
- "O": [ 223 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 225 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 226 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 228 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ 231 ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 233 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 230 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 121 ],
- "I1": [ 127 ],
- "I2": [ 130 ],
- "I3": [ 234 ],
- "O": [ 232 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 235 ],
- "I1": [ 236 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 234 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 238 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 97 ],
- "I2": [ 239 ],
- "I3": [ 240 ],
- "O": [ 229 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 112 ],
- "I1": [ 115 ],
- "I2": [ 118 ],
- "I3": [ 241 ],
- "O": [ 239 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 242 ],
- "I1": [ 243 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 103 ],
- "I2": [ 106 ],
- "I3": [ 109 ],
- "O": [ 240 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 244 ],
- "I1": [ 93 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 231 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 245 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 246 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 191 ],
- "I1": [ 247 ],
- "I2": [ 248 ],
- "I3": [ 249 ],
- "O": [ 250 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 254 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 225 ],
- "I1": [ 231 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 253 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 124 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 252 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 252 ],
- "I1": [ 253 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 255 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 252 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 256 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 257 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 258 ],
- "I1": [ 259 ],
- "I2": [ 257 ],
- "I3": [ "0" ],
- "O": [ 260 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 261 ],
- "I1": [ 262 ],
- "I2": [ 263 ],
- "I3": [ 264 ],
- "O": [ 258 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 265 ],
- "I1": [ 266 ],
- "I2": [ 267 ],
- "I3": [ 268 ],
- "O": [ 263 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 269 ],
- "I1": [ 270 ],
- "I2": [ 271 ],
- "I3": [ 272 ],
- "O": [ 265 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 273 ],
- "I1": [ 274 ],
- "I2": [ 275 ],
- "I3": [ 276 ],
- "O": [ 266 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 278 ],
- "I2": [ 279 ],
- "I3": [ 280 ],
- "O": [ 267 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 282 ],
- "I2": [ 283 ],
- "I3": [ 284 ],
- "O": [ 268 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 285 ],
- "I1": [ 286 ],
- "I2": [ 287 ],
- "I3": [ 288 ],
- "O": [ 264 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 289 ],
- "I2": [ 151 ],
- "I3": [ 290 ],
- "O": [ 259 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 291 ],
- "I2": [ 292 ],
- "I3": [ 257 ],
- "O": [ 293 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 258 ],
- "I1": [ 152 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 291 ],
- "I1": [ 73 ],
- "I2": [ 154 ],
- "I3": [ 292 ],
- "O": [ 294 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 88 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 89 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 296 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 298 ],
- "I2": [ 75 ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 300 ],
- "I1": [ 301 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 302 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 303 ],
- "I1": [ 9 ],
- "I2": [ 304 ],
- "I3": [ "0" ],
- "O": [ 300 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 301 ],
- "I3": [ "0" ],
- "O": [ 303 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 9 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 308 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 309 ],
- "I1": [ 310 ],
- "I2": [ 311 ],
- "I3": [ "0" ],
- "O": [ 307 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65296
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 310 ],
- "I1": [ 309 ],
- "I2": [ 311 ],
- "I3": [ 9 ],
- "O": [ 312 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 313 ],
- "I2": [ 54 ],
- "I3": [ 25 ],
- "O": [ 314 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 30 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 313 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 315 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 316 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 317 ],
- "I1": [ 318 ],
- "I2": [ 316 ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 321 ],
- "I2": [ 322 ],
- "I3": [ 323 ],
- "O": [ 318 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 325 ],
- "I2": [ 326 ],
- "I3": [ "0" ],
- "O": [ 327 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 329 ],
- "I2": [ 58 ],
- "I3": [ 330 ],
- "O": [ 326 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 332 ],
- "I2": [ 333 ],
- "I3": [ "0" ],
- "O": [ 334 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 335 ],
- "I1": [ 336 ],
- "I2": [ 337 ],
- "I3": [ "0" ],
- "O": [ 332 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 338 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 339 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 340 ],
- "I1": [ 341 ],
- "I2": [ 339 ],
- "I3": [ "0" ],
- "O": [ 342 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 341 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 337 ],
- "I1": [ 333 ],
- "I2": [ 335 ],
- "I3": [ 331 ],
- "O": [ 343 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62207
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 331 ],
- "I2": [ 335 ],
- "I3": [ 337 ],
- "O": [ 340 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ 345 ],
- "I2": [ 339 ],
- "I3": [ "0" ],
- "O": [ 346 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 345 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62207
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 331 ],
- "I2": [ 335 ],
- "I3": [ 337 ],
- "O": [ 344 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 348 ],
- "I2": [ 333 ],
- "I3": [ "0" ],
- "O": [ 349 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 335 ],
- "I1": [ 347 ],
- "I2": [ 337 ],
- "I3": [ "0" ],
- "O": [ 348 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 351 ],
- "I2": [ 352 ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 354 ],
- "I1": [ 355 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 356 ],
- "I1": [ 357 ],
- "I2": [ 358 ],
- "I3": [ "0" ],
- "O": [ 351 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28671
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 359 ],
- "I1": [ 360 ],
- "I2": [ 361 ],
- "I3": [ 362 ],
- "O": [ 363 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 191 ],
- "I2": [ 365 ],
- "I3": [ 366 ],
- "O": [ 361 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 367 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 364 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 247 ],
- "I1": [ 368 ],
- "I2": [ 246 ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 369 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 365 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 371 ],
- "I2": [ 246 ],
- "I3": [ "0" ],
- "O": [ 369 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 373 ],
- "I2": [ 374 ],
- "I3": [ 375 ],
- "O": [ 362 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 372 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 246 ],
- "I1": [ 247 ],
- "I2": [ 377 ],
- "I3": [ "0" ],
- "O": [ 376 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 378 ],
- "I1": [ 379 ],
- "I2": [ 380 ],
- "I3": [ 381 ],
- "O": [ 375 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 383 ],
- "I2": [ 246 ],
- "I3": [ 11 ],
- "O": [ 378 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 385 ],
- "I2": [ 246 ],
- "I3": [ 11 ],
- "O": [ 380 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 386 ],
- "I1": [ 249 ],
- "I2": [ 387 ],
- "I3": [ 388 ],
- "O": [ 374 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 248 ],
- "I1": [ 389 ],
- "I2": [ 246 ],
- "I3": [ 11 ],
- "O": [ 386 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 390 ],
- "I1": [ 391 ],
- "I2": [ 246 ],
- "I3": [ 11 ],
- "O": [ 387 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 392 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 359 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 393 ],
- "I1": [ 394 ],
- "I2": [ 246 ],
- "I3": [ "0" ],
- "O": [ 392 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 66 ],
- "I2": [ 64 ],
- "I3": [ 395 ],
- "O": [ 396 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64048
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ 64 ],
- "I2": [ 292 ],
- "I3": [ 63 ],
- "O": [ 397 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 398 ],
- "I1": [ 399 ],
- "I2": [ 400 ],
- "I3": [ "0" ],
- "O": [ 401 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 403 ],
- "I2": [ 404 ],
- "I3": [ 405 ],
- "O": [ 398 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ 407 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 404 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 408 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 406 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 209 ],
- "I2": [ 410 ],
- "I3": [ "0" ],
- "O": [ 408 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ 412 ],
- "I2": [ 413 ],
- "I3": [ 407 ],
- "O": [ 410 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 159 ],
- "I2": [ 160 ],
- "I3": [ "0" ],
- "O": [ 413 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 193 ],
- "I2": [ 159 ],
- "I3": [ 160 ],
- "O": [ 411 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 193 ],
- "I2": [ 159 ],
- "I3": [ 160 ],
- "O": [ 409 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ 415 ],
- "I2": [ 416 ],
- "I3": [ 417 ],
- "O": [ 405 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 414 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 213 ],
- "I2": [ 419 ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ 420 ],
- "I2": [ 413 ],
- "I3": [ 415 ],
- "O": [ 419 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 421 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 417 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 214 ],
- "I2": [ 422 ],
- "I3": [ "0" ],
- "O": [ 421 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 211
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ 411 ],
- "I2": [ 416 ],
- "I3": [ "0" ],
- "O": [ 422 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 213 ],
- "I1": [ 214 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 416 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 423 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 402 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ 424 ],
- "I2": [ 425 ],
- "I3": [ "0" ],
- "O": [ 423 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 210 ],
- "I2": [ 413 ],
- "I3": [ 403 ],
- "O": [ 425 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 427 ],
- "I2": [ 428 ],
- "I3": [ 429 ],
- "O": [ 399 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 216 ],
- "I2": [ 431 ],
- "I3": [ "0" ],
- "O": [ 430 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ 432 ],
- "I2": [ 413 ],
- "I3": [ 427 ],
- "O": [ 431 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 428 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 211 ],
- "I2": [ 434 ],
- "I3": [ "0" ],
- "O": [ 433 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ 435 ],
- "I2": [ 413 ],
- "I3": [ 429 ],
- "O": [ 434 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 436 ],
- "I1": [ 437 ],
- "I2": [ 438 ],
- "I3": [ 439 ],
- "O": [ 400 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 440 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 436 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 215 ],
- "I2": [ 441 ],
- "I3": [ "0" ],
- "O": [ 440 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ 442 ],
- "I2": [ 413 ],
- "I3": [ 437 ],
- "O": [ 441 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 443 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 438 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ 444 ],
- "I2": [ 445 ],
- "I3": [ "0" ],
- "O": [ 443 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 212 ],
- "I2": [ 413 ],
- "I3": [ 439 ],
- "O": [ 445 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 446 ],
- "I1": [ 63 ],
- "I2": [ 64 ],
- "I3": [ 298 ],
- "O": [ 447 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 63 ],
- "I2": [ 64 ],
- "I3": [ 297 ],
- "O": [ 449 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 450 ],
- "I2": [ 451 ],
- "I3": [ "0" ],
- "O": [ 452 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 76 ],
- "I2": [ 17 ],
- "I3": [ 70 ],
- "O": [ 451 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 17 ],
- "I2": [ 64 ],
- "I3": [ 453 ],
- "O": [ 454 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 69 ],
- "I2": [ 64 ],
- "I3": [ 88 ],
- "O": [ 455 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64048
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 64 ],
- "I2": [ 290 ],
- "I3": [ 63 ],
- "O": [ 456 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 330 ],
- "I1": [ 457 ],
- "I2": [ 458 ],
- "I3": [ "0" ],
- "O": [ 459 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57331
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 329 ],
- "I2": [ 328 ],
- "I3": [ 325 ],
- "O": [ 458 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 63 ],
- "I2": [ 64 ],
- "I3": [ 446 ],
- "O": [ 460 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ 63 ],
- "I2": [ 64 ],
- "I3": [ 448 ],
- "O": [ 461 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28671
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 462 ],
- "I1": [ 279 ],
- "I2": [ 463 ],
- "I3": [ 464 ],
- "O": [ 465 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 466 ],
- "I1": [ 467 ],
- "I2": [ 468 ],
- "I3": [ 469 ],
- "O": [ 463 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$24999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4097
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ 471 ],
- "I2": [ 472 ],
- "I3": [ 271 ],
- "O": [ 468 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 474 ],
- "I2": [ 475 ],
- "I3": [ "0" ],
- "O": [ 472 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 477 ],
- "I2": [ 478 ],
- "I3": [ 271 ],
- "O": [ 474 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 74 ],
- "I2": [ 479 ],
- "I3": [ 65 ],
- "O": [ 478 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2816
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 74 ],
- "O": [ 479 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 450 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 476 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 480 ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 475 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 481 ],
- "I1": [ 482 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 480 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 292 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 481 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 290 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 482 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 483 ],
- "I2": [ 484 ],
- "I3": [ 480 ],
- "O": [ 473 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 273 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 470 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 273 ],
- "I2": [ 486 ],
- "I3": [ 487 ],
- "O": [ 485 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 476 ],
- "I2": [ 475 ],
- "I3": [ "0" ],
- "O": [ 487 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 489 ],
- "I1": [ 61 ],
- "I2": [ 490 ],
- "I3": [ 480 ],
- "O": [ 486 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 269 ],
- "I1": [ 273 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 490 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 491 ],
- "I1": [ 261 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 261 ],
- "I2": [ 492 ],
- "I3": [ 493 ],
- "O": [ 491 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 476 ],
- "I2": [ 475 ],
- "I3": [ "0" ],
- "O": [ 493 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 495 ],
- "I1": [ 61 ],
- "I2": [ 496 ],
- "I3": [ 480 ],
- "O": [ 492 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 497 ],
- "I1": [ 275 ],
- "I2": [ 281 ],
- "I3": [ 498 ],
- "O": [ 469 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 499 ],
- "I1": [ 500 ],
- "I2": [ 475 ],
- "I3": [ "0" ],
- "O": [ 497 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 501 ],
- "I2": [ 478 ],
- "I3": [ 275 ],
- "O": [ 500 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 502 ],
- "I2": [ 503 ],
- "I3": [ 480 ],
- "O": [ 499 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 505 ],
- "I2": [ 475 ],
- "I3": [ "0" ],
- "O": [ 498 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 506 ],
- "I2": [ 478 ],
- "I3": [ 281 ],
- "O": [ 505 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 507 ],
- "I2": [ 508 ],
- "I3": [ 480 ],
- "O": [ 504 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 269 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 466 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 510 ],
- "I1": [ 475 ],
- "I2": [ 269 ],
- "I3": [ 511 ],
- "O": [ 509 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 74 ],
- "I2": [ 478 ],
- "I3": [ "0" ],
- "O": [ 510 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 513 ],
- "I2": [ 269 ],
- "I3": [ 514 ],
- "O": [ 511 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 515 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 513 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 277 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 515 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 480 ],
- "I1": [ 73 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 512 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 480 ],
- "I2": [ 61 ],
- "I3": [ 516 ],
- "O": [ 514 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 517 ],
- "I1": [ 283 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 467 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ 519 ],
- "I2": [ 475 ],
- "I3": [ "0" ],
- "O": [ 517 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 520 ],
- "I2": [ 478 ],
- "I3": [ 283 ],
- "O": [ 519 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 521 ],
- "I2": [ 522 ],
- "I3": [ 480 ],
- "O": [ 518 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62475
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 73 ],
- "I2": [ 524 ],
- "I3": [ 285 ],
- "O": [ 464 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 526 ],
- "I2": [ 73 ],
- "I3": [ 480 ],
- "O": [ 524 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 523 ],
- "I2": [ 481 ],
- "I3": [ 61 ],
- "O": [ 525 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 528 ],
- "I2": [ 478 ],
- "I3": [ 285 ],
- "O": [ 523 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 529 ],
- "I2": [ 478 ],
- "I3": [ 279 ],
- "O": [ 530 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 82 ],
- "I2": [ 80 ],
- "I3": [ 81 ],
- "O": [ 531 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 532 ],
- "I1": [ 533 ],
- "I2": [ 534 ],
- "I3": [ 535 ],
- "O": [ 536 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 537 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 538 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 539 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 541 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 542 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 543 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 544 ],
- "I1": [ 227 ],
- "I2": [ 9 ],
- "I3": [ "0" ],
- "O": [ 545 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 245 ],
- "I1": [ 224 ],
- "I2": [ 10 ],
- "I3": [ 221 ],
- "O": [ 544 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 539 ],
- "I2": [ 546 ],
- "I3": [ "0" ],
- "O": [ 547 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 355 ],
- "I2": [ 548 ],
- "I3": [ 549 ],
- "O": [ 546 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 23 ],
- "I1": [ 541 ],
- "I2": [ 315 ],
- "I3": [ 537 ],
- "O": [ 549 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 151 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 551 ],
- "I1": [ 310 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 355 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 553 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ 555 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 557 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 558 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 559 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 560 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 562 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 563 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 564 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 565 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 566 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 568 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 569 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 570 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 571 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 572 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 574 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 575 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 576 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 578 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 579 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 580 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 581 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 582 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 583 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 584 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 585 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 586 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 587 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 588 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 590 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 591 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 593 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 595 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 596 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 597 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 598 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 599 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 600 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 601 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 602 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 603 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 604 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 605 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 606 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 607 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 608 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 609 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 611 ],
- "I1": [ 612 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 614 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 615 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 617 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 618 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 619 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 620 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 621 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 622 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 623 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 624 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 625 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 626 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 628 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 629 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 630 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 631 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 632 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 633 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 634 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 635 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 636 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 637 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 638 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 639 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 640 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 641 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 642 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 643 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 644 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 646 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 647 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 649 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 650 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 652 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 653 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 654 ],
- "I1": [ 655 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 656 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 657 ],
- "I1": [ 658 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 659 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 660 ],
- "I1": [ 661 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 662 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 665 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 666 ],
- "I1": [ 667 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 668 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 669 ],
- "I1": [ 670 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 671 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 672 ],
- "I1": [ 673 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 674 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 675 ],
- "I1": [ 676 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 677 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 678 ],
- "I1": [ 679 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 680 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 681 ],
- "I1": [ 682 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 683 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 684 ],
- "I1": [ 685 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 686 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 687 ],
- "I1": [ 688 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 689 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 690 ],
- "I1": [ 691 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 692 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 693 ],
- "I1": [ 694 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 695 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 696 ],
- "I1": [ 697 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 698 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 699 ],
- "I1": [ 700 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 701 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 702 ],
- "I1": [ 703 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 704 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 705 ],
- "I1": [ 706 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 707 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 708 ],
- "I1": [ 709 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 710 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 711 ],
- "I1": [ 712 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 713 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 714 ],
- "I1": [ 715 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 716 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 717 ],
- "I1": [ 718 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 719 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 720 ],
- "I1": [ 721 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 722 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 723 ],
- "I1": [ 724 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 725 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 726 ],
- "I1": [ 727 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 728 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 729 ],
- "I1": [ 730 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 731 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 732 ],
- "I1": [ 733 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 734 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 735 ],
- "I1": [ 736 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 737 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 738 ],
- "I1": [ 739 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 740 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 741 ],
- "I1": [ 742 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 743 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 744 ],
- "I1": [ 745 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 746 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 747 ],
- "I1": [ 748 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 749 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 750 ],
- "I1": [ 751 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 752 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 753 ],
- "I1": [ 754 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 755 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 756 ],
- "I1": [ 757 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 758 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 759 ],
- "I1": [ 760 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 761 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 762 ],
- "I1": [ 763 ],
- "I2": [ 11 ],
- "I3": [ "0" ],
- "O": [ 764 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 765 ],
- "I1": [ 766 ],
- "I2": [ 194 ],
- "I3": [ "0" ],
- "O": [ 763 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 768 ],
- "I2": [ 769 ],
- "I3": [ "0" ],
- "O": [ 765 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 771 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 772 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 774 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 775 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 777 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 778 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 779 ],
- "I1": [ 780 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 781 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 783 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 784 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 786 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 787 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 789 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 790 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 792 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 793 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 794 ],
- "I1": [ 795 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 796 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 797 ],
- "I1": [ 798 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 799 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ 801 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 802 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 804 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 805 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 806 ],
- "I1": [ 807 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 808 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 810 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 811 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 812 ],
- "I1": [ 813 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 814 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 816 ],
- "I2": [ 769 ],
- "I3": [ 193 ],
- "O": [ 817 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 818 ],
- "I1": [ 819 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 820 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 820 ],
- "I1": [ 821 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 822 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 823 ],
- "I1": [ 824 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 825 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 820 ],
- "I2": [ 825 ],
- "I3": [ "0" ],
- "O": [ 826 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 828 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 829 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 829 ],
- "I1": [ 830 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 831 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 832 ],
- "I1": [ 833 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 834 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 834 ],
- "I1": [ 835 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 836 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 837 ],
- "I1": [ 838 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 839 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 840 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 841 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 842 ],
- "I1": [ 843 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 844 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 844 ],
- "I1": [ 845 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 846 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 847 ],
- "I1": [ 848 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 849 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 850 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 851 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 852 ],
- "I1": [ 853 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 854 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 855 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 856 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 858 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 859 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 860 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 861 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 862 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 863 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 227 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 864 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 865 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 866 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 867 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 868 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 869 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 870 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 106 ],
- "I1": [ 871 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 872 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 873 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 874 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 112 ],
- "I1": [ 875 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 876 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 877 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 878 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 879 ],
- "I2": [ 227 ],
- "I3": [ "0" ],
- "O": [ 880 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 383 ],
- "I2": [ 246 ],
- "I3": [ "0" ],
- "O": [ 881 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 248 ],
- "I1": [ 389 ],
- "I2": [ 246 ],
- "I3": [ "0" ],
- "O": [ 882 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 390 ],
- "I1": [ 391 ],
- "I2": [ 246 ],
- "I3": [ "0" ],
- "O": [ 883 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 385 ],
- "I2": [ 246 ],
- "I3": [ "0" ],
- "O": [ 884 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 820 ],
- "I1": [ 885 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 886 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 825 ],
- "I1": [ 887 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 888 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 829 ],
- "I1": [ 889 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 890 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 834 ],
- "I1": [ 891 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 892 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 893 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 894 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 844 ],
- "I1": [ 895 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 896 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 897 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 898 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 899 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 900 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 901 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 902 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 903 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 904 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 905 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 906 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 907 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 908 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 909 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 910 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 106 ],
- "I1": [ 911 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 912 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 913 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 914 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 112 ],
- "I1": [ 915 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 916 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 917 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 918 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 919 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 920 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 921 ],
- "I1": [ 922 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 923 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 923 ],
- "I1": [ 924 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ 927 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 928 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ 929 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 930 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 931 ],
- "I1": [ 932 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 933 ],
- "I1": [ 934 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 935 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 936 ],
- "I1": [ 937 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 938 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 939 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 940 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 941 ],
- "I1": [ 942 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 943 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 943 ],
- "I1": [ 944 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 946 ],
- "I1": [ 947 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 948 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 948 ],
- "I1": [ 949 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 950 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 951 ],
- "I1": [ 952 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 953 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 953 ],
- "I1": [ 954 ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 955 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 956 ],
- "I1": [ 957 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 958 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 960 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 961 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 963 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 964 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 965 ],
- "I1": [ 966 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 967 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 969 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 970 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 972 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 973 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 974 ],
- "I2": [ 975 ],
- "I3": [ "0" ],
- "O": [ 976 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 977 ],
- "I3": [ 978 ],
- "O": [ 975 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 979 ],
- "I1": [ 148 ],
- "I2": [ 980 ],
- "I3": [ "0" ],
- "O": [ 978 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 981 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 982 ],
- "O": [ 980 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 983 ],
- "I2": [ 984 ],
- "I3": [ "0" ],
- "O": [ 985 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 986 ],
- "I3": [ 987 ],
- "O": [ 984 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 988 ],
- "I1": [ 148 ],
- "I2": [ 989 ],
- "I3": [ "0" ],
- "O": [ 987 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 990 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 991 ],
- "O": [ 989 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 992 ],
- "I2": [ 993 ],
- "I3": [ "0" ],
- "O": [ 994 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 995 ],
- "I3": [ 996 ],
- "O": [ 993 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 148 ],
- "I2": [ 998 ],
- "I3": [ "0" ],
- "O": [ 996 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 999 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1000 ],
- "O": [ 998 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1001 ],
- "I2": [ 1002 ],
- "I3": [ "0" ],
- "O": [ 1003 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1004 ],
- "I3": [ 1005 ],
- "O": [ 1002 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1006 ],
- "I1": [ 148 ],
- "I2": [ 1007 ],
- "I3": [ "0" ],
- "O": [ 1005 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1008 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1009 ],
- "O": [ 1007 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1010 ],
- "I2": [ 1011 ],
- "I3": [ "0" ],
- "O": [ 1012 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1013 ],
- "I3": [ 1014 ],
- "O": [ 1011 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1015 ],
- "I1": [ 148 ],
- "I2": [ 1016 ],
- "I3": [ "0" ],
- "O": [ 1014 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1017 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1018 ],
- "O": [ 1016 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1019 ],
- "I2": [ 1020 ],
- "I3": [ "0" ],
- "O": [ 1021 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1022 ],
- "I3": [ 1023 ],
- "O": [ 1020 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1024 ],
- "I1": [ 148 ],
- "I2": [ 1025 ],
- "I3": [ "0" ],
- "O": [ 1023 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1026 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1027 ],
- "O": [ 1025 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1028 ],
- "I2": [ 1029 ],
- "I3": [ "0" ],
- "O": [ 1030 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1031 ],
- "I3": [ 1032 ],
- "O": [ 1029 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1033 ],
- "I1": [ 148 ],
- "I2": [ 1034 ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1035 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1036 ],
- "O": [ 1034 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1037 ],
- "I2": [ 1038 ],
- "I3": [ "0" ],
- "O": [ 1039 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1040 ],
- "I3": [ 1041 ],
- "O": [ 1038 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1042 ],
- "I1": [ 148 ],
- "I2": [ 1043 ],
- "I3": [ "0" ],
- "O": [ 1041 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1044 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1045 ],
- "O": [ 1043 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1046 ],
- "I2": [ 1047 ],
- "I3": [ "0" ],
- "O": [ 1048 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1049 ],
- "I3": [ 1050 ],
- "O": [ 1047 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1051 ],
- "I1": [ 148 ],
- "I2": [ 1052 ],
- "I3": [ "0" ],
- "O": [ 1050 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1053 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1054 ],
- "O": [ 1052 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1055 ],
- "I2": [ 1056 ],
- "I3": [ "0" ],
- "O": [ 1057 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1058 ],
- "I3": [ 1059 ],
- "O": [ 1056 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1060 ],
- "I1": [ 148 ],
- "I2": [ 1061 ],
- "I3": [ "0" ],
- "O": [ 1059 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1062 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1063 ],
- "O": [ 1061 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1064 ],
- "I2": [ 1065 ],
- "I3": [ "0" ],
- "O": [ 1066 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1067 ],
- "I3": [ 1068 ],
- "O": [ 1065 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1069 ],
- "I1": [ 148 ],
- "I2": [ 1070 ],
- "I3": [ "0" ],
- "O": [ 1068 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1071 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1072 ],
- "O": [ 1070 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1073 ],
- "I2": [ 1074 ],
- "I3": [ "0" ],
- "O": [ 1075 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1076 ],
- "I3": [ 1077 ],
- "O": [ 1074 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1078 ],
- "I1": [ 148 ],
- "I2": [ 1079 ],
- "I3": [ "0" ],
- "O": [ 1077 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1080 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1081 ],
- "O": [ 1079 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1082 ],
- "I2": [ 1083 ],
- "I3": [ "0" ],
- "O": [ 1084 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1085 ],
- "I3": [ 1086 ],
- "O": [ 1083 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1087 ],
- "I1": [ 148 ],
- "I2": [ 1088 ],
- "I3": [ "0" ],
- "O": [ 1086 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1089 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1090 ],
- "O": [ 1088 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 1091 ],
- "I2": [ 1092 ],
- "I3": [ "0" ],
- "O": [ 1093 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 155 ],
- "I2": [ 1094 ],
- "I3": [ 1095 ],
- "O": [ 1092 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1096 ],
- "I1": [ 148 ],
- "I2": [ 1097 ],
- "I3": [ "0" ],
- "O": [ 1095 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1098 ],
- "I1": [ 147 ],
- "I2": [ 146 ],
- "I3": [ 1099 ],
- "O": [ 1097 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 1101 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1102 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 17 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1100 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 446 ],
- "I2": [ 75 ],
- "I3": [ "0" ],
- "O": [ 1103 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1104 ],
- "I1": [ 1105 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1106 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1037 ],
- "I1": [ 18 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1104 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 1108 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1109 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 13 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1107 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1110 ],
- "I1": [ 1111 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1112 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1055 ],
- "I1": [ 12 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1110 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1113 ],
- "I1": [ 1114 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1115 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 19 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1113 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1116 ],
- "I1": [ 1117 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1118 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 20 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1116 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1119 ],
- "I1": [ 1120 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1121 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 21 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1119 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1122 ],
- "I1": [ 1123 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1124 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1091 ],
- "I1": [ 22 ],
- "I2": [ 1103 ],
- "I3": [ "0" ],
- "O": [ 1122 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ 89 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1125 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1126 ],
- "I1": [ 1127 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1128 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1129 ],
- "I1": [ 1130 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1131 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1132 ],
- "I1": [ 1133 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1134 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1135 ],
- "I1": [ 1136 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1137 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 1138 ],
- "I2": [ 478 ],
- "I3": [ 277 ],
- "O": [ 1139 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 73 ],
- "I2": [ 524 ],
- "I3": [ "0" ],
- "O": [ 1140 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 1141 ],
- "I2": [ 478 ],
- "I3": [ 287 ],
- "O": [ 1142 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1143 ],
- "I1": [ 1144 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1145 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1146 ],
- "I1": [ 1147 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1148 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 17 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1146 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ 453 ],
- "I2": [ 75 ],
- "I3": [ "0" ],
- "O": [ 1149 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 15530
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1150 ],
- "I1": [ 165 ],
- "I2": [ 141 ],
- "I3": [ 512 ],
- "O": [ 1151 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 18 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1150 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1152 ],
- "I1": [ 1153 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1154 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 13 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1155 ],
- "I1": [ 1156 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1157 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 983 ],
- "I1": [ 12 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1155 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1158 ],
- "I1": [ 1159 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1160 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 992 ],
- "I1": [ 19 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1158 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1161 ],
- "I1": [ 1162 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1163 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 20 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1161 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1164 ],
- "I1": [ 1165 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1166 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 21 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1164 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1167 ],
- "I1": [ 1168 ],
- "I2": [ 512 ],
- "I3": [ "0" ],
- "O": [ 1169 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 22 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1167 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 1171 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1172 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1173 ],
- "I1": [ 1174 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1175 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1176 ],
- "I1": [ 1177 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1180 ],
- "I1": [ 1181 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1183 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 1185 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1186 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1187 ],
- "I1": [ 1188 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1189 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1190 ],
- "I1": [ 1191 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1192 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1193 ],
- "I1": [ 1194 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1195 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1196 ],
- "I1": [ 1197 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1198 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1199 ],
- "I1": [ 1200 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1201 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1202 ],
- "I1": [ 1203 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1204 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ 1206 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1207 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1208 ],
- "I1": [ 1209 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1210 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1211 ],
- "I1": [ 1212 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1213 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1214 ],
- "I1": [ 1215 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1216 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1217 ],
- "I1": [ 1218 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1219 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1220 ],
- "I1": [ 1221 ],
- "I2": [ 1178 ],
- "I3": [ "0" ],
- "O": [ 1222 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1223 ],
- "I1": [ 1224 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1225 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 1227 ],
- "I2": [ 1228 ],
- "I3": [ 1229 ],
- "O": [ 1230 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ 1232 ],
- "I2": [ 1233 ],
- "I3": [ "0" ],
- "O": [ 1226 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1235 ],
- "I2": [ 1236 ],
- "I3": [ "0" ],
- "O": [ 1231 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 1238 ],
- "I2": [ 299 ],
- "I3": [ "0" ],
- "O": [ 1235 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 547 ],
- "I1": [ 1239 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1238 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 1241 ],
- "I2": [ 1242 ],
- "I3": [ 1243 ],
- "O": [ 1239 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ 14 ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 1237 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1236 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1238 ],
- "I1": [ 61 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 1232 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1183 ],
- "I1": [ 1179 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1233 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1237 ],
- "I2": [ 73 ],
- "I3": [ 1235 ],
- "O": [ 1229 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 258 ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 1228 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 290 ],
- "I2": [ 303 ],
- "I3": [ "0" ],
- "O": [ 1227 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 1244 ],
- "I2": [ 1245 ],
- "I3": [ "0" ],
- "O": [ 1246 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 1247 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1245 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1244 ],
- "I2": [ 1248 ],
- "I3": [ 1232 ],
- "O": [ 1247 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1249 ],
- "I1": [ 1237 ],
- "I2": [ 1235 ],
- "I3": [ "0" ],
- "O": [ 1244 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1189 ],
- "I1": [ 1186 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1248 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 1250 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1252 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 1253 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1251 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1250 ],
- "I2": [ 1254 ],
- "I3": [ 1232 ],
- "O": [ 1253 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1255 ],
- "I1": [ 1237 ],
- "I2": [ 1235 ],
- "I3": [ "0" ],
- "O": [ 1250 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1195 ],
- "I1": [ 1192 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1254 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 1256 ],
- "I2": [ 1257 ],
- "I3": [ "0" ],
- "O": [ 1258 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 1259 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1257 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1256 ],
- "I2": [ 1260 ],
- "I3": [ 1232 ],
- "O": [ 1259 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1261 ],
- "I1": [ 1237 ],
- "I2": [ 1235 ],
- "I3": [ "0" ],
- "O": [ 1256 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1201 ],
- "I1": [ 1198 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1260 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1262 ],
- "I1": [ 1227 ],
- "I2": [ 1228 ],
- "I3": [ 1263 ],
- "O": [ 1264 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1265 ],
- "I1": [ 1232 ],
- "I2": [ 1266 ],
- "I3": [ "0" ],
- "O": [ 1262 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1267 ],
- "I1": [ 1235 ],
- "I2": [ 1236 ],
- "I3": [ "0" ],
- "O": [ 1265 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 1204 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1266 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1267 ],
- "I1": [ 1237 ],
- "I2": [ 73 ],
- "I3": [ 1235 ],
- "O": [ 1263 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 1268 ],
- "I2": [ 1269 ],
- "I3": [ "0" ],
- "O": [ 1270 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 1271 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1269 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1268 ],
- "I2": [ 1272 ],
- "I3": [ 1232 ],
- "O": [ 1271 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1273 ],
- "I1": [ 1237 ],
- "I2": [ 1235 ],
- "I3": [ "0" ],
- "O": [ 1268 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 1210 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1272 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 1274 ],
- "I2": [ 1275 ],
- "I3": [ "0" ],
- "O": [ 1276 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 1277 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1275 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1274 ],
- "I2": [ 1278 ],
- "I3": [ 1232 ],
- "O": [ 1277 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1279 ],
- "I1": [ 1237 ],
- "I2": [ 1235 ],
- "I3": [ "0" ],
- "O": [ 1274 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1216 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1278 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 1280 ],
- "I2": [ 1281 ],
- "I3": [ "0" ],
- "O": [ 1282 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 1283 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1281 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1280 ],
- "I2": [ 1284 ],
- "I3": [ 1232 ],
- "O": [ 1283 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1285 ],
- "I1": [ 1237 ],
- "I2": [ 1235 ],
- "I3": [ "0" ],
- "O": [ 1280 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ 1222 ],
- "I2": [ 277 ],
- "I3": [ 61 ],
- "O": [ 1284 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1286 ],
- "I1": [ 1287 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1288 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1289 ],
- "I1": [ 1237 ],
- "I2": [ 1290 ],
- "I3": [ "0" ],
- "O": [ 1291 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 73 ],
- "I2": [ 299 ],
- "I3": [ 1292 ],
- "O": [ 1289 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ 304 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 1292 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 303 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 1290 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1293 ],
- "I1": [ 1294 ],
- "I2": [ 1295 ],
- "I3": [ "0" ],
- "O": [ 1296 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1297 ],
- "I1": [ 1298 ],
- "I2": [ 1295 ],
- "I3": [ "0" ],
- "O": [ 1299 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1300 ],
- "I1": [ 1301 ],
- "I2": [ 1295 ],
- "I3": [ "0" ],
- "O": [ 1302 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1303 ],
- "I1": [ 1304 ],
- "I2": [ 1295 ],
- "I3": [ "0" ],
- "O": [ 1305 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1306 ],
- "I1": [ 1307 ],
- "I2": [ 1295 ],
- "I3": [ "0" ],
- "O": [ 1308 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1295 ],
- "I1": [ 1309 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1310 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1234 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1311 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1249 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1312 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1255 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1313 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1261 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1314 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1267 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1315 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1273 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1316 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1279 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1317 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 1285 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1318 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 301 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1319 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1320 ],
- "I1": [ 976 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1322 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 1323 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1321 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48960
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 1321 ],
- "I2": [ 976 ],
- "I3": [ 985 ],
- "O": [ 1324 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1325 ],
- "I1": [ 994 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1326 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1327 ],
- "I1": [ 1003 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1328 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1012 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1330 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1331 ],
- "I1": [ 1021 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1332 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1333 ],
- "I1": [ 1030 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1334 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1335 ],
- "I1": [ 1039 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1336 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1337 ],
- "I1": [ 1048 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1338 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 1057 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1340 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1341 ],
- "I1": [ 1066 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1342 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1343 ],
- "I1": [ 1075 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1344 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1345 ],
- "I1": [ 1084 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1346 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1347 ],
- "I1": [ 1093 ],
- "I2": [ 137 ],
- "I3": [ 1321 ],
- "O": [ 1348 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 976 ],
- "I1": [ 1320 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1349 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1321 ],
- "I1": [ 976 ],
- "I2": [ 985 ],
- "I3": [ "0" ],
- "O": [ 1350 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 994 ],
- "I1": [ 1325 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1351 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1003 ],
- "I1": [ 1327 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1352 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1329 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1353 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1021 ],
- "I1": [ 1331 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1354 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1030 ],
- "I1": [ 1333 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1355 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1039 ],
- "I1": [ 1335 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1356 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1048 ],
- "I1": [ 1337 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1357 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1057 ],
- "I1": [ 1339 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1358 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1066 ],
- "I1": [ 1341 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1359 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1075 ],
- "I1": [ 1343 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1360 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1084 ],
- "I1": [ 1345 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1361 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 1347 ],
- "I2": [ 1321 ],
- "I3": [ "0" ],
- "O": [ 1362 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 976 ],
- "I1": [ 1320 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1364 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 1323 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1363 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61200
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 1323 ],
- "I2": [ 976 ],
- "I3": [ 985 ],
- "O": [ 1365 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 994 ],
- "I1": [ 1325 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1366 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1003 ],
- "I1": [ 1327 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1367 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1329 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1368 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1021 ],
- "I1": [ 1331 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1369 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1030 ],
- "I1": [ 1333 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1370 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1039 ],
- "I1": [ 1335 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1371 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1048 ],
- "I1": [ 1337 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1372 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1057 ],
- "I1": [ 1339 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1373 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1066 ],
- "I1": [ 1341 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1374 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1075 ],
- "I1": [ 1343 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1375 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1084 ],
- "I1": [ 1345 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1376 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 1347 ],
- "I2": [ 1363 ],
- "I3": [ "0" ],
- "O": [ 1377 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1320 ],
- "I1": [ 976 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1378 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1323 ],
- "I1": [ 976 ],
- "I2": [ 985 ],
- "I3": [ "0" ],
- "O": [ 1379 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1325 ],
- "I1": [ 994 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1380 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1327 ],
- "I1": [ 1003 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1381 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1012 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1382 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1331 ],
- "I1": [ 1021 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1383 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1333 ],
- "I1": [ 1030 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1384 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1335 ],
- "I1": [ 1039 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1385 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1337 ],
- "I1": [ 1048 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1386 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 1057 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1387 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1341 ],
- "I1": [ 1066 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1388 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1343 ],
- "I1": [ 1075 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1389 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1345 ],
- "I1": [ 1084 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1390 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1347 ],
- "I1": [ 1093 ],
- "I2": [ 1323 ],
- "I3": [ "0" ],
- "O": [ 1391 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 43 ],
- "I2": [ 23 ],
- "I3": [ 31 ],
- "O": [ 1392 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 1393 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1394 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 1395 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1396 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 689 ],
- "I1": [ 1397 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1398 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 692 ],
- "I1": [ 1399 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1400 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 1401 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1402 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 698 ],
- "I1": [ 1403 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1404 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 1405 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1406 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 1407 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1408 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 707 ],
- "I1": [ 1409 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1410 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ 1411 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1412 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 1413 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1414 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 716 ],
- "I1": [ 1415 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1416 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 1417 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1418 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 722 ],
- "I1": [ 1419 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1420 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 725 ],
- "I1": [ 1421 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1422 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ 1423 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 1424 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 325 ],
- "I2": [ 326 ],
- "I3": [ 324 ],
- "O": [ 1425 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 325 ],
- "I1": [ 457 ],
- "I2": [ 1426 ],
- "I3": [ 324 ],
- "O": [ 1427 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 330 ],
- "I1": [ 328 ],
- "I2": [ 329 ],
- "I3": [ 58 ],
- "O": [ 1426 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 325 ],
- "I2": [ 1426 ],
- "I3": [ 324 ],
- "O": [ 1428 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1429 ],
- "I1": [ 1430 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1431 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1432 ],
- "I1": [ 1433 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 1434 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1435 ],
- "I1": [ 1436 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1438 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1439 ],
- "I2": [ 195 ],
- "I3": [ 345 ],
- "O": [ 1436 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 333 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 1437 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1440 ],
- "I1": [ 1441 ],
- "I2": [ 1442 ],
- "I3": [ 1437 ],
- "O": [ 1443 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1444 ],
- "I1": [ 1445 ],
- "I2": [ 1446 ],
- "I3": [ "0" ],
- "O": [ 1441 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1447 ],
- "I1": [ 1448 ],
- "I2": [ 1449 ],
- "I3": [ "0" ],
- "O": [ 1444 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1450 ],
- "I1": [ 1451 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1447 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1452 ],
- "I1": [ 1453 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1450 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1454 ],
- "I1": [ 1455 ],
- "I2": [ 1456 ],
- "I3": [ "0" ],
- "O": [ 1452 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1457 ],
- "I1": [ 1458 ],
- "I2": [ 1459 ],
- "I3": [ "0" ],
- "O": [ 1453 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1460 ],
- "I1": [ 1461 ],
- "I2": [ 1462 ],
- "I3": [ "0" ],
- "O": [ 1451 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1454 ],
- "I1": [ 1455 ],
- "I2": [ 1456 ],
- "I3": [ 1463 ],
- "O": [ 1448 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1464 ],
- "I2": [ 1465 ],
- "I3": [ 1466 ],
- "O": [ 1463 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1467 ],
- "I1": [ 1468 ],
- "I2": [ 1469 ],
- "I3": [ "0" ],
- "O": [ 1449 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1465 ],
- "I1": [ 347 ],
- "I2": [ 1466 ],
- "I3": [ 1464 ],
- "O": [ 1467 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1470 ],
- "I2": [ 1471 ],
- "I3": [ 1472 ],
- "O": [ 1468 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1473 ],
- "I1": [ 1474 ],
- "I2": [ 1475 ],
- "I3": [ "0" ],
- "O": [ 1469 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 336 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1446 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1460 ],
- "I1": [ 1461 ],
- "I2": [ 1462 ],
- "I3": [ 1476 ],
- "O": [ 1445 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1463 ],
- "I1": [ 1454 ],
- "I2": [ 1455 ],
- "I3": [ 1456 ],
- "O": [ 1476 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1440 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1477 ],
- "I1": [ 1478 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1479 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 345 ],
- "I2": [ 1480 ],
- "I3": [ "0" ],
- "O": [ 1478 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1481 ],
- "I1": [ 1482 ],
- "I2": [ 1446 ],
- "I3": [ "0" ],
- "O": [ 1480 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1444 ],
- "I1": [ 1445 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1481 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7905
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1483 ],
- "I1": [ 1484 ],
- "I2": [ 1485 ],
- "I3": [ 1486 ],
- "O": [ 1482 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1447 ],
- "I1": [ 1448 ],
- "I2": [ 1449 ],
- "I3": [ "0" ],
- "O": [ 1485 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1451 ],
- "I1": [ 1450 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1483 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1487 ],
- "I1": [ 1488 ],
- "I2": [ 1489 ],
- "I3": [ "0" ],
- "O": [ 1486 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1467 ],
- "I1": [ 1468 ],
- "I2": [ 1469 ],
- "I3": [ "0" ],
- "O": [ 1487 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1490 ],
- "I1": [ 1491 ],
- "I2": [ 1492 ],
- "I3": [ "0" ],
- "O": [ 1488 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1471 ],
- "I1": [ 347 ],
- "I2": [ 1472 ],
- "I3": [ 1470 ],
- "O": [ 1490 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1493 ],
- "I2": [ 1494 ],
- "I3": [ 1495 ],
- "O": [ 1491 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1496 ],
- "I1": [ 1497 ],
- "I2": [ 1498 ],
- "I3": [ "0" ],
- "O": [ 1492 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1499 ],
- "I1": [ 1500 ],
- "I2": [ 1501 ],
- "I3": [ "0" ],
- "O": [ 1489 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1457 ],
- "I1": [ 1458 ],
- "I2": [ 1459 ],
- "I3": [ "0" ],
- "O": [ 1499 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1473 ],
- "I1": [ 1474 ],
- "I2": [ 1475 ],
- "I3": [ "0" ],
- "O": [ 1500 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1502 ],
- "I1": [ 1503 ],
- "I2": [ 1504 ],
- "I3": [ "0" ],
- "O": [ 1501 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1452 ],
- "I1": [ 1453 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1484 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1505 ],
- "I1": [ 1506 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1507 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1508 ],
- "I2": [ 202 ],
- "I3": [ 345 ],
- "O": [ 1506 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1509 ],
- "I1": [ 1510 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1511 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1512 ],
- "I2": [ 199 ],
- "I3": [ 345 ],
- "O": [ 1510 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1513 ],
- "I1": [ 1514 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1515 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1516 ],
- "I2": [ 200 ],
- "I3": [ 345 ],
- "O": [ 1514 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1517 ],
- "I1": [ 1518 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1519 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1520 ],
- "I2": [ 1521 ],
- "I3": [ 345 ],
- "O": [ 1518 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1522 ],
- "I1": [ 1523 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1524 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1525 ],
- "I2": [ 1526 ],
- "I3": [ 345 ],
- "O": [ 1523 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1527 ],
- "I1": [ 1528 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1529 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1530 ],
- "I2": [ 1531 ],
- "I3": [ 345 ],
- "O": [ 1528 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1532 ],
- "I1": [ 1533 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1534 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1535 ],
- "I2": [ 1536 ],
- "I3": [ 345 ],
- "O": [ 1533 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1537 ],
- "I1": [ 1538 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1539 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1540 ],
- "I2": [ 1541 ],
- "I3": [ 345 ],
- "O": [ 1538 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1542 ],
- "I1": [ 1543 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1544 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1545 ],
- "I2": [ 1546 ],
- "I3": [ 345 ],
- "O": [ 1543 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1547 ],
- "I1": [ 1548 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1549 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1550 ],
- "I2": [ 1551 ],
- "I3": [ 345 ],
- "O": [ 1548 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1552 ],
- "I1": [ 1553 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1554 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1555 ],
- "I2": [ 1556 ],
- "I3": [ 345 ],
- "O": [ 1553 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1557 ],
- "I1": [ 1558 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1559 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1560 ],
- "I2": [ 1561 ],
- "I3": [ 345 ],
- "O": [ 1558 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1562 ],
- "I1": [ 1563 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1564 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1565 ],
- "I2": [ 1566 ],
- "I3": [ 345 ],
- "O": [ 1563 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1567 ],
- "I1": [ 1568 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1569 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1570 ],
- "I2": [ 766 ],
- "I3": [ 345 ],
- "O": [ 1568 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1571 ],
- "I1": [ 1572 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1573 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1574 ],
- "I2": [ 1575 ],
- "I3": [ 345 ],
- "O": [ 1572 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1576 ],
- "I1": [ 1577 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1578 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1579 ],
- "I2": [ 1580 ],
- "I3": [ 345 ],
- "O": [ 1577 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1581 ],
- "I1": [ 1582 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1583 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1584 ],
- "I2": [ 1585 ],
- "I3": [ 345 ],
- "O": [ 1582 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1586 ],
- "I1": [ 1587 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1588 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1589 ],
- "I2": [ 1590 ],
- "I3": [ 345 ],
- "O": [ 1587 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1591 ],
- "I1": [ 1592 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1593 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1594 ],
- "I2": [ 1595 ],
- "I3": [ 345 ],
- "O": [ 1592 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1596 ],
- "I1": [ 1597 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1598 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1599 ],
- "I2": [ 1600 ],
- "I3": [ 345 ],
- "O": [ 1597 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1601 ],
- "I1": [ 1602 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1603 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1604 ],
- "I2": [ 1605 ],
- "I3": [ 345 ],
- "O": [ 1602 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1606 ],
- "I1": [ 1607 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1608 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1609 ],
- "I2": [ 1610 ],
- "I3": [ 345 ],
- "O": [ 1607 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1611 ],
- "I1": [ 1612 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1613 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1614 ],
- "I2": [ 1615 ],
- "I3": [ 345 ],
- "O": [ 1612 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1616 ],
- "I1": [ 1617 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1618 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1619 ],
- "I2": [ 1620 ],
- "I3": [ 345 ],
- "O": [ 1617 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1621 ],
- "I1": [ 1622 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1623 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1624 ],
- "I2": [ 1625 ],
- "I3": [ 345 ],
- "O": [ 1622 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1626 ],
- "I1": [ 1627 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1628 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1629 ],
- "I2": [ 1630 ],
- "I3": [ 345 ],
- "O": [ 1627 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1631 ],
- "I1": [ 1632 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1633 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1634 ],
- "I2": [ 1635 ],
- "I3": [ 345 ],
- "O": [ 1632 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1636 ],
- "I1": [ 1637 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1638 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1639 ],
- "I2": [ 1640 ],
- "I3": [ 345 ],
- "O": [ 1637 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1641 ],
- "I1": [ 1642 ],
- "I2": [ 1437 ],
- "I3": [ "0" ],
- "O": [ 1643 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 1644 ],
- "I2": [ 1645 ],
- "I3": [ 345 ],
- "O": [ 1642 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1646 ],
- "I1": [ 1647 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1649 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 333 ],
- "I2": [ 332 ],
- "I3": [ "0" ],
- "O": [ 1648 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1650 ],
- "I1": [ 1651 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1646 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1652 ],
- "I1": [ 1653 ],
- "I2": [ 1654 ],
- "I3": [ "0" ],
- "O": [ 1655 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1656 ],
- "I1": [ 1657 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1652 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1658 ],
- "I1": [ 1659 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1656 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1660 ],
- "I1": [ 1661 ],
- "I2": [ 1662 ],
- "I3": [ "0" ],
- "O": [ 1658 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1663 ],
- "I1": [ 1664 ],
- "I2": [ 1665 ],
- "I3": [ "0" ],
- "O": [ 1659 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1666 ],
- "I1": [ 1667 ],
- "I2": [ 1668 ],
- "I3": [ "0" ],
- "O": [ 1657 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1660 ],
- "I1": [ 1661 ],
- "I2": [ 1662 ],
- "I3": [ 1669 ],
- "O": [ 1653 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1670 ],
- "I2": [ 1671 ],
- "I3": [ 1672 ],
- "O": [ 1669 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1673 ],
- "I1": [ 1674 ],
- "I2": [ 1675 ],
- "I3": [ "0" ],
- "O": [ 1654 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1671 ],
- "I1": [ 347 ],
- "I2": [ 1672 ],
- "I3": [ 1670 ],
- "O": [ 1673 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1676 ],
- "I2": [ 1677 ],
- "I3": [ 1678 ],
- "O": [ 1674 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1679 ],
- "I1": [ 1680 ],
- "I2": [ 1681 ],
- "I3": [ "0" ],
- "O": [ 1675 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1666 ],
- "I1": [ 1667 ],
- "I2": [ 1668 ],
- "I3": [ 1682 ],
- "O": [ 1683 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1669 ],
- "I1": [ 1660 ],
- "I2": [ 1661 ],
- "I3": [ 1662 ],
- "O": [ 1682 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1655 ],
- "I1": [ 1683 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1684 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7905
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1685 ],
- "I1": [ 1686 ],
- "I2": [ 1687 ],
- "I3": [ 1688 ],
- "O": [ 1689 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1652 ],
- "I1": [ 1653 ],
- "I2": [ 1654 ],
- "I3": [ "0" ],
- "O": [ 1687 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1657 ],
- "I1": [ 1656 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1685 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1690 ],
- "I1": [ 1691 ],
- "I2": [ 1692 ],
- "I3": [ "0" ],
- "O": [ 1688 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1673 ],
- "I1": [ 1674 ],
- "I2": [ 1675 ],
- "I3": [ "0" ],
- "O": [ 1690 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1693 ],
- "I1": [ 1694 ],
- "I2": [ 1695 ],
- "I3": [ "0" ],
- "O": [ 1691 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1677 ],
- "I1": [ 347 ],
- "I2": [ 1678 ],
- "I3": [ 1676 ],
- "O": [ 1693 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1696 ],
- "I2": [ 1697 ],
- "I3": [ 1698 ],
- "O": [ 1694 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1699 ],
- "I1": [ 1700 ],
- "I2": [ 1701 ],
- "I3": [ "0" ],
- "O": [ 1695 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1702 ],
- "I1": [ 1703 ],
- "I2": [ 1704 ],
- "I3": [ "0" ],
- "O": [ 1692 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1663 ],
- "I1": [ 1664 ],
- "I2": [ 1665 ],
- "I3": [ "0" ],
- "O": [ 1702 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1679 ],
- "I1": [ 1680 ],
- "I2": [ 1681 ],
- "I3": [ "0" ],
- "O": [ 1703 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1705 ],
- "I1": [ 1706 ],
- "I2": [ 1707 ],
- "I3": [ "0" ],
- "O": [ 1704 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1658 ],
- "I1": [ 1659 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1686 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1708 ],
- "I1": [ 1709 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1710 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1711 ],
- "I1": [ 1712 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1708 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1713 ],
- "I1": [ 1714 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1715 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1716 ],
- "I1": [ 1717 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1713 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1718 ],
- "I1": [ 1719 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1720 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1721 ],
- "I1": [ 1722 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1718 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1723 ],
- "I1": [ 1724 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1725 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1726 ],
- "I1": [ 1727 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1723 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1728 ],
- "I1": [ 1729 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1730 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1731 ],
- "I1": [ 1732 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1728 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1733 ],
- "I1": [ 1734 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1735 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1736 ],
- "I1": [ 1737 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1733 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1738 ],
- "I1": [ 1739 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1740 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1741 ],
- "I1": [ 1742 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1738 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1743 ],
- "I1": [ 1744 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1745 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1746 ],
- "I1": [ 1747 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1743 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1748 ],
- "I1": [ 1749 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1750 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1751 ],
- "I1": [ 1752 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1748 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1753 ],
- "I1": [ 1754 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1755 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1756 ],
- "I1": [ 1757 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1753 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1758 ],
- "I1": [ 1759 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1760 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1761 ],
- "I1": [ 1762 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1758 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1763 ],
- "I1": [ 1764 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1765 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1766 ],
- "I1": [ 1767 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1763 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1768 ],
- "I1": [ 1769 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1770 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1771 ],
- "I1": [ 1772 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1768 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1773 ],
- "I1": [ 1774 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1775 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1776 ],
- "I1": [ 1777 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1773 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1778 ],
- "I1": [ 1779 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1780 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1781 ],
- "I1": [ 1782 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1778 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1783 ],
- "I1": [ 1784 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1785 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1786 ],
- "I1": [ 1787 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1783 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1788 ],
- "I1": [ 1789 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1790 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1791 ],
- "I1": [ 1792 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1788 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1793 ],
- "I1": [ 1794 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1795 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1796 ],
- "I1": [ 1797 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1793 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1798 ],
- "I1": [ 1799 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1800 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1801 ],
- "I1": [ 1802 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1798 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1803 ],
- "I1": [ 1804 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1805 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1806 ],
- "I1": [ 1807 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1803 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1809 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1810 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1811 ],
- "I1": [ 1812 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1808 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1813 ],
- "I1": [ 1814 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1815 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1816 ],
- "I1": [ 1817 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1813 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1818 ],
- "I1": [ 1819 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1820 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1821 ],
- "I1": [ 1822 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1818 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1823 ],
- "I1": [ 1824 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1825 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1826 ],
- "I1": [ 1827 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1823 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1828 ],
- "I1": [ 1829 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1830 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1831 ],
- "I1": [ 1832 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1828 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1833 ],
- "I1": [ 1834 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1835 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1836 ],
- "I1": [ 1837 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1833 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1838 ],
- "I1": [ 1839 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1840 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 1842 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1838 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1844 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1845 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1846 ],
- "I1": [ 1847 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1843 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1848 ],
- "I1": [ 1849 ],
- "I2": [ 1648 ],
- "I3": [ "0" ],
- "O": [ 1850 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1851 ],
- "I1": [ 1852 ],
- "I2": [ 336 ],
- "I3": [ 343 ],
- "O": [ 1848 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1853 ],
- "I1": [ 1854 ],
- "I2": [ 1855 ],
- "I3": [ 1856 ],
- "O": [ 1857 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1858 ],
- "I1": [ 1859 ],
- "I2": [ 1860 ],
- "I3": [ 1861 ],
- "O": [ 1862 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1863 ],
- "I1": [ 1864 ],
- "I2": [ 1865 ],
- "I3": [ 1866 ],
- "O": [ 1858 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1867 ],
- "I1": [ 1868 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1865 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1869 ],
- "I1": [ 1870 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 1868 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1873 ],
- "I1": [ 1874 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1870 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1876 ],
- "I1": [ 1877 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1874 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 1881 ],
- "I2": [ 1882 ],
- "I3": [ 1855 ],
- "O": [ 1878 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1883 ],
- "O": [ 1877 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1884 ],
- "O": [ 1876 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1885 ],
- "I1": [ 1886 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1873 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1887 ],
- "O": [ 1886 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1888 ],
- "O": [ 1885 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1889 ],
- "I1": [ 1890 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1869 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1891 ],
- "I1": [ 1892 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1890 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1893 ],
- "O": [ 1892 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1894 ],
- "O": [ 1891 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1895 ],
- "I1": [ 1896 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1889 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1897 ],
- "O": [ 1896 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1898 ],
- "O": [ 1895 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1899 ],
- "I1": [ 1900 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1867 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1901 ],
- "I1": [ 1902 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 1900 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1903 ],
- "I1": [ 1904 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1902 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1905 ],
- "O": [ 1904 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1906 ],
- "O": [ 1903 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1907 ],
- "I1": [ 1908 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1901 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1909 ],
- "O": [ 1908 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1910 ],
- "O": [ 1907 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1911 ],
- "I1": [ 1912 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1899 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1913 ],
- "I1": [ 1914 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1912 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1915 ],
- "O": [ 1914 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1916 ],
- "O": [ 1913 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1917 ],
- "I1": [ 1918 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1911 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1919 ],
- "O": [ 1918 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1920 ],
- "O": [ 1917 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1921 ],
- "I1": [ 1922 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1923 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1924 ],
- "I1": [ 1925 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1863 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1926 ],
- "I1": [ 1927 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 1924 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1928 ],
- "I1": [ 1929 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1927 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1892 ],
- "I1": [ 1885 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1929 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1886 ],
- "I1": [ 1876 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1928 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1930 ],
- "I1": [ 1931 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1926 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1896 ],
- "I1": [ 1891 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1931 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1904 ],
- "I1": [ 1895 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1930 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1932 ],
- "I1": [ 1933 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1925 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1934 ],
- "I1": [ 1935 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 1933 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1914 ],
- "I1": [ 1907 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1935 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1908 ],
- "I1": [ 1903 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1934 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1936 ],
- "I1": [ 1937 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1932 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1918 ],
- "I1": [ 1913 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1937 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13619
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1920 ],
- "I1": [ 1855 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 1936 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ "0" ],
- "O": [ 1938 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1939 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1864 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1940 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 1939 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1936 ],
- "I1": [ 1937 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1940 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1941 ],
- "I1": [ 1942 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1866 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1943 ],
- "I1": [ 1944 ],
- "I2": [ 1855 ],
- "I3": [ 1871 ],
- "O": [ 1941 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1937 ],
- "I1": [ 1935 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 1944 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1936 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1943 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1945 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1942 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13114
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1911 ],
- "I1": [ 1855 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1945 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1946 ],
- "I1": [ 1947 ],
- "I2": [ 1948 ],
- "I3": [ 1949 ],
- "O": [ 1859 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1950 ],
- "I1": [ 1951 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1946 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1944 ],
- "I1": [ 1943 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1951 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1952 ],
- "I1": [ 1953 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 1950 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1931 ],
- "I1": [ 1929 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1953 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1934 ],
- "I1": [ 1930 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1952 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1945 ],
- "I1": [ 1954 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1947 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1955 ],
- "I1": [ 1956 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 1954 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1902 ],
- "I1": [ 1889 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1956 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1912 ],
- "I1": [ 1901 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1955 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1957 ],
- "I1": [ 1958 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1948 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1959 ],
- "I1": [ 1960 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1957 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1890 ],
- "I1": [ 1873 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 1960 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1902 ],
- "I1": [ 1889 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1959 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1961 ],
- "I1": [ 1962 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1958 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1912 ],
- "I1": [ 1901 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 1962 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1911 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1961 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1963 ],
- "I1": [ 1964 ],
- "I2": [ 1965 ],
- "I3": [ 1966 ],
- "O": [ 1949 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1899 ],
- "I1": [ 1900 ],
- "I2": [ 1855 ],
- "I3": [ 1871 ],
- "O": [ 1963 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13114
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1967 ],
- "I1": [ 1855 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 1964 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1911 ],
- "I1": [ 1912 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1967 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1968 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1965 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13114
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1936 ],
- "I1": [ 1855 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1968 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1961 ],
- "I1": [ 1962 ],
- "I2": [ 1855 ],
- "I3": [ 1871 ],
- "O": [ 1966 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1969 ],
- "I1": [ 1970 ],
- "I2": [ 1971 ],
- "I3": [ 1855 ],
- "O": [ 1860 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1939 ],
- "I1": [ 1972 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 1969 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1926 ],
- "I1": [ 1973 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 1972 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1934 ],
- "I1": [ 1935 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1973 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1901 ],
- "I1": [ 1902 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1974 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1975 ],
- "I1": [ 1976 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1971 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1932 ],
- "I1": [ 1933 ],
- "I2": [ 1855 ],
- "I3": [ 1871 ],
- "O": [ 1975 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1977 ],
- "I1": [ 1978 ],
- "I2": [ 1968 ],
- "I3": [ 1871 ],
- "O": [ 1976 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1934 ],
- "I1": [ 1930 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 1977 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1937 ],
- "I1": [ 1935 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1978 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1923 ],
- "I1": [ 1855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1861 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1979 ],
- "I1": [ 1980 ],
- "I2": [ 1981 ],
- "I3": [ 1921 ],
- "O": [ 1982 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1864 ],
- "I1": [ 1983 ],
- "I2": [ 1984 ],
- "I3": [ 1985 ],
- "O": [ 1979 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1986 ],
- "I1": [ 1972 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 1984 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1987 ],
- "I1": [ 1927 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 1986 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1988 ],
- "I1": [ 1989 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 1987 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1990 ],
- "I1": [ 1991 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1989 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1992 ],
- "O": [ 1991 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1993 ],
- "O": [ 1990 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1994 ],
- "I1": [ 1877 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 1988 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 1995 ],
- "O": [ 1994 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1996 ],
- "I1": [ 1997 ],
- "I2": [ 1963 ],
- "I3": [ 1983 ],
- "O": [ 1985 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1869 ],
- "I1": [ 1870 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 1996 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61696
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1998 ],
- "I1": [ 1999 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 1997 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2000 ],
- "I1": [ 2001 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 1999 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2002 ],
- "I1": [ 1991 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 2001 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2003 ],
- "O": [ 2002 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1994 ],
- "I1": [ 1990 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 2000 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2004 ],
- "I1": [ 2005 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 1998 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2006 ],
- "I1": [ 2007 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 2005 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2008 ],
- "O": [ 2007 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2009 ],
- "O": [ 2006 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2010 ],
- "I1": [ 2011 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 2004 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2012 ],
- "O": [ 2011 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2013 ],
- "O": [ 2010 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1921 ],
- "I1": [ 1922 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1983 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2014 ],
- "I1": [ 2015 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1980 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2016 ],
- "I1": [ 2017 ],
- "I2": [ 1966 ],
- "I3": [ 1983 ],
- "O": [ 2014 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2018 ],
- "I1": [ 2019 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2016 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2004 ],
- "I1": [ 2001 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2019 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2000 ],
- "I1": [ 1874 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2018 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1959 ],
- "I1": [ 1960 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2017 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2020 ],
- "I1": [ 2021 ],
- "I2": [ 1923 ],
- "I3": [ 2022 ],
- "O": [ 2015 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1968 ],
- "I2": [ 1871 ],
- "I3": [ 1983 ],
- "O": [ 2022 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1977 ],
- "I1": [ 1978 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2020 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2023 ],
- "I1": [ 1953 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2021 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1988 ],
- "I1": [ 1928 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2023 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2024 ],
- "I1": [ 2025 ],
- "I2": [ 2026 ],
- "I3": [ 2027 ],
- "O": [ 1981 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2028 ],
- "I1": [ 2029 ],
- "I2": [ 1942 ],
- "I3": [ 1922 ],
- "O": [ 2027 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1955 ],
- "I1": [ 1956 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 2028 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2018 ],
- "I1": [ 2030 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2029 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1890 ],
- "I1": [ 1873 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2030 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2031 ],
- "I1": [ 2032 ],
- "I2": [ 1964 ],
- "I3": [ 1922 ],
- "O": [ 2024 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2033 ],
- "I1": [ 1870 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2031 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2000 ],
- "I1": [ 2001 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2033 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1974 ],
- "I1": [ 1869 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 2032 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2034 ],
- "I1": [ 2035 ],
- "I2": [ 1941 ],
- "I3": [ 1922 ],
- "O": [ 2025 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1952 ],
- "I1": [ 1953 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 2034 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2036 ],
- "I1": [ 2023 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2035 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2037 ],
- "I1": [ 1989 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2036 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2010 ],
- "I1": [ 2002 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 2037 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2038 ],
- "I1": [ 2039 ],
- "I2": [ 1975 ],
- "I3": [ 1922 ],
- "O": [ 2026 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2040 ],
- "I1": [ 1987 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2038 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2041 ],
- "I1": [ 2037 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2040 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2011 ],
- "I1": [ 2006 ],
- "I2": [ 1878 ],
- "I3": [ 1879 ],
- "O": [ 2041 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1926 ],
- "I1": [ 1927 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 2039 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2042 ],
- "I1": [ 2043 ],
- "I2": [ 2044 ],
- "I3": [ 1923 ],
- "O": [ 2045 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1970 ],
- "I1": [ 1976 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2042 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1947 ],
- "I1": [ 2046 ],
- "I2": [ 2047 ],
- "I3": [ 1941 ],
- "O": [ 2043 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1975 ],
- "I1": [ 1942 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2046 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1950 ],
- "I1": [ 1951 ],
- "I2": [ 1871 ],
- "I3": [ 1966 ],
- "O": [ 2047 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1969 ],
- "I1": [ 2048 ],
- "I2": [ 1964 ],
- "I3": [ 1965 ],
- "O": [ 2044 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1986 ],
- "I1": [ 1972 ],
- "I2": [ 1871 ],
- "I3": [ 1963 ],
- "O": [ 2048 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2026 ],
- "I1": [ 1921 ],
- "I2": [ 2014 ],
- "I3": [ 2049 ],
- "O": [ 2050 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1867 ],
- "I1": [ 1868 ],
- "I2": [ 1923 ],
- "I3": [ 1855 ],
- "O": [ 2049 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1923 ],
- "I1": [ 2051 ],
- "I2": [ 1985 ],
- "I3": [ 2015 ],
- "O": [ 2052 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1924 ],
- "I1": [ 1925 ],
- "I2": [ 1957 ],
- "I3": [ 1958 ],
- "O": [ 2051 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2053 ],
- "I1": [ 2054 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 1853 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2055 ],
- "I1": [ 2056 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2054 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2057 ],
- "I1": [ 2058 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2056 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2059 ],
- "I1": [ 2060 ],
- "I2": [ 1879 ],
- "I3": [ "0" ],
- "O": [ 2058 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 2061 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2060 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 2062 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2059 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1878 ],
- "I1": [ 2007 ],
- "I2": [ 2063 ],
- "I3": [ 1879 ],
- "O": [ 2057 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 2064 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2063 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2065 ],
- "I1": [ 2066 ],
- "I2": [ 1878 ],
- "I3": [ 1875 ],
- "O": [ 2055 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21503
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2067 ],
- "I1": [ 1493 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 2066 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1470 ],
- "I1": [ 1464 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 2065 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2040 ],
- "I1": [ 1987 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2053 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1863 ],
- "I1": [ 1922 ],
- "I2": [ 1855 ],
- "I3": [ 1921 ],
- "O": [ 1854 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2068 ],
- "I1": [ 2069 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2070 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1878 ],
- "I1": [ 2071 ],
- "I2": [ 2072 ],
- "I3": [ 1875 ],
- "O": [ 2069 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2073 ],
- "I1": [ 2060 ],
- "I2": [ 1879 ],
- "I3": [ "0" ],
- "O": [ 2072 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 2067 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2073 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21503
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1493 ],
- "I1": [ 1470 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 2071 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2005 ],
- "I1": [ 2074 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2068 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2063 ],
- "I1": [ 2059 ],
- "I2": [ 1879 ],
- "I3": [ "0" ],
- "O": [ 2074 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2018 ],
- "I1": [ 2019 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 2075 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2076 ],
- "I1": [ 2077 ],
- "I2": [ 1855 ],
- "I3": [ 1856 ],
- "O": [ 2078 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2079 ],
- "I1": [ 2080 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2076 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2081 ],
- "I1": [ 2082 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2080 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1878 ],
- "I1": [ 2066 ],
- "I2": [ 2058 ],
- "I3": [ 1875 ],
- "O": [ 2082 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2041 ],
- "I1": [ 2057 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2081 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2036 ],
- "I1": [ 2023 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2079 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1946 ],
- "I1": [ 1922 ],
- "I2": [ 1855 ],
- "I3": [ 1921 ],
- "O": [ 2077 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4019
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1921 ],
- "I1": [ 2083 ],
- "I2": [ 1855 ],
- "I3": [ 1856 ],
- "O": [ 2084 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2085 ],
- "I1": [ 1923 ],
- "I2": [ 1970 ],
- "I3": [ 1983 ],
- "O": [ 2083 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2086 ],
- "I1": [ 2087 ],
- "I2": [ 2088 ],
- "I3": [ 1871 ],
- "O": [ 2085 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2033 ],
- "I1": [ 1870 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2088 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2074 ],
- "I1": [ 2072 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 2086 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2004 ],
- "I1": [ 2005 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2087 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2089 ],
- "I1": [ 2090 ],
- "I2": [ 1855 ],
- "I3": [ 1856 ],
- "O": [ 2091 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1986 ],
- "I1": [ 2092 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2089 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2040 ],
- "I1": [ 2056 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2092 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1969 ],
- "I1": [ 1922 ],
- "I2": [ 1855 ],
- "I3": [ 1921 ],
- "O": [ 2090 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2019 ],
- "I1": [ 2068 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2093 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2018 ],
- "I1": [ 2030 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 2094 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2036 ],
- "I1": [ 2081 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2095 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2023 ],
- "I1": [ 1953 ],
- "I2": [ 1872 ],
- "I3": [ 1871 ],
- "O": [ 2096 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13109
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2098 ],
- "I2": [ 2099 ],
- "I3": [ 2100 ],
- "O": [ 2101 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2102 ],
- "I1": [ 2103 ],
- "I2": [ 2104 ],
- "I3": [ "0" ],
- "O": [ 2099 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2105 ],
- "I1": [ 2106 ],
- "I2": [ 2107 ],
- "I3": [ 1923 ],
- "O": [ 2102 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2108 ],
- "I1": [ 2109 ],
- "I2": [ 2110 ],
- "I3": [ 2111 ],
- "O": [ 2105 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2112 ],
- "I1": [ 2113 ],
- "I2": [ 2114 ],
- "I3": [ 1871 ],
- "O": [ 2108 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2115 ],
- "I1": [ 2116 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2114 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2117 ],
- "I1": [ 2118 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2116 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2119 ],
- "I1": [ 2120 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2118 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 1881 ],
- "I2": [ 1882 ],
- "I3": [ 2097 ],
- "O": [ 2121 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2122 ],
- "O": [ 2120 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2123 ],
- "O": [ 2119 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2124 ],
- "I1": [ 2125 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2117 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2126 ],
- "O": [ 2125 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2127 ],
- "O": [ 2124 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2128 ],
- "I1": [ 2129 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2115 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2130 ],
- "I1": [ 2131 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2129 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2132 ],
- "O": [ 2131 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2133 ],
- "O": [ 2130 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2134 ],
- "I1": [ 2135 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2128 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2136 ],
- "O": [ 2135 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2137 ],
- "O": [ 2134 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2138 ],
- "I1": [ 2139 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 2112 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2140 ],
- "I1": [ 2141 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2139 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2142 ],
- "O": [ 2141 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2143 ],
- "O": [ 2140 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2144 ],
- "I1": [ 2145 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2138 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2146 ],
- "O": [ 2145 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2147 ],
- "O": [ 2144 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2148 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2113 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13619
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2149 ],
- "I1": [ 2097 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 2148 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2150 ],
- "I1": [ 2151 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2109 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2152 ],
- "I1": [ 2153 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2151 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2139 ],
- "I1": [ 2118 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2153 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2148 ],
- "I1": [ 2138 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2152 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2154 ],
- "I1": [ 2155 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2150 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2128 ],
- "I1": [ 2117 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2155 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2156 ],
- "I1": [ 2129 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2154 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2157 ],
- "I1": [ 2158 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2156 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2159 ],
- "O": [ 2158 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2160 ],
- "O": [ 2157 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2161 ],
- "I1": [ 2162 ],
- "I2": [ 2163 ],
- "I3": [ 1871 ],
- "O": [ 2110 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2124 ],
- "I1": [ 2120 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2164 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2134 ],
- "I1": [ 2125 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2165 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2157 ],
- "I1": [ 2131 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2166 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2135 ],
- "I1": [ 2130 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2167 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2168 ],
- "I1": [ 2169 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 2161 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2145 ],
- "I1": [ 2140 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2169 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2141 ],
- "I1": [ 2119 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2168 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2170 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2162 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2171 ],
- "I1": [ 2144 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2170 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2149 ],
- "O": [ 2171 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2162 ],
- "I1": [ 2161 ],
- "I2": [ 2097 ],
- "I3": [ 1871 ],
- "O": [ 2111 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2172 ],
- "I1": [ 2173 ],
- "I2": [ 2174 ],
- "I3": [ 2175 ],
- "O": [ 2106 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2151 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2172 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2176 ],
- "I1": [ 2177 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2173 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2178 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2177 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2169 ],
- "I1": [ 2170 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2178 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2179 ],
- "I1": [ 2180 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2176 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2164 ],
- "I1": [ 2168 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2180 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2165 ],
- "I1": [ 2167 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2179 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2181 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2174 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2152 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2181 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2182 ],
- "I1": [ 2183 ],
- "I2": [ 2184 ],
- "I3": [ 1871 ],
- "O": [ 2175 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13114
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2170 ],
- "I1": [ 2097 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2184 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2165 ],
- "I1": [ 2164 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 2182 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2168 ],
- "I1": [ 2169 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2183 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2185 ],
- "I1": [ 2186 ],
- "I2": [ 2187 ],
- "I3": [ 2188 ],
- "O": [ 2107 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2181 ],
- "I1": [ 2189 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2185 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2155 ],
- "I1": [ 2153 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2189 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2190 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2186 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2180 ],
- "I1": [ 2178 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2190 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2191 ],
- "I1": [ 2192 ],
- "I2": [ 2193 ],
- "I3": [ 2194 ],
- "O": [ 2187 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2184 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2191 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13114
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2178 ],
- "I1": [ 2097 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2192 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2195 ],
- "I1": [ 2196 ],
- "I2": [ 2197 ],
- "I3": [ 1871 ],
- "O": [ 2193 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2117 ],
- "I1": [ 2118 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 2196 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2138 ],
- "I1": [ 2139 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2195 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13114
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2148 ],
- "I1": [ 2097 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2197 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2113 ],
- "I1": [ 2112 ],
- "I2": [ 2097 ],
- "I3": [ 1871 ],
- "O": [ 2194 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2197 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2188 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2198 ],
- "I1": [ 2199 ],
- "I2": [ 2200 ],
- "I3": [ 2201 ],
- "O": [ 2103 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2172 ],
- "I2": [ 2202 ],
- "I3": [ 2203 ],
- "O": [ 2198 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2204 ],
- "I1": [ 2150 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2202 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2205 ],
- "I1": [ 2206 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2204 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2207 ],
- "I1": [ 2208 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2206 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2209 ],
- "I1": [ 2210 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2208 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2211 ],
- "O": [ 2210 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2212 ],
- "O": [ 2209 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2213 ],
- "I1": [ 2214 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2207 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2215 ],
- "O": [ 2214 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2216 ],
- "O": [ 2213 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2217 ],
- "I1": [ 2218 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2205 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2219 ],
- "I1": [ 2220 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2218 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2221 ],
- "O": [ 2220 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2222 ],
- "O": [ 2219 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2223 ],
- "I1": [ 2224 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2217 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2225 ],
- "O": [ 2224 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2226 ],
- "O": [ 2223 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 1921 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2203 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2188 ],
- "I2": [ 2227 ],
- "I3": [ 2203 ],
- "O": [ 2199 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2228 ],
- "I1": [ 2229 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2227 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2196 ],
- "I1": [ 2195 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2229 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2230 ],
- "I1": [ 2115 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2228 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2217 ],
- "I1": [ 2156 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2230 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2231 ],
- "I1": [ 2232 ],
- "I2": [ 2233 ],
- "I3": [ "0" ],
- "O": [ 2200 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2234 ],
- "I1": [ 2235 ],
- "I2": [ 2236 ],
- "I3": [ 2203 ],
- "O": [ 2232 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2182 ],
- "I1": [ 2183 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2235 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1871 ],
- "I1": [ 2237 ],
- "I2": [ 2238 ],
- "I3": [ 1923 ],
- "O": [ 2234 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2239 ],
- "I1": [ 2240 ],
- "I2": [ 1872 ],
- "I3": [ 1875 ],
- "O": [ 2238 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2158 ],
- "I1": [ 2224 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2240 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2223 ],
- "I1": [ 2219 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2239 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2167 ],
- "I1": [ 2166 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 2237 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2184 ],
- "I2": [ 1871 ],
- "I3": [ 1983 ],
- "O": [ 2236 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$25999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2241 ],
- "I1": [ 2163 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2231 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2242 ],
- "I1": [ 2243 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2241 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2239 ],
- "I1": [ 2240 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2243 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2244 ],
- "I1": [ 2245 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2242 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2220 ],
- "I1": [ 2213 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2245 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2214 ],
- "I1": [ 2209 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2244 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2111 ],
- "I2": [ 2203 ],
- "I3": [ "0" ],
- "O": [ 2233 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2246 ],
- "I1": [ 2247 ],
- "I2": [ 2248 ],
- "I3": [ 2097 ],
- "O": [ 2201 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2192 ],
- "I1": [ 1983 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2246 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2249 ],
- "I1": [ 2176 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2247 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2250 ],
- "I1": [ 2251 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2249 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 2240 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2251 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2245 ],
- "I1": [ 2239 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2250 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2252 ],
- "I1": [ 2190 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2248 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2179 ],
- "I1": [ 2251 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2252 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2253 ],
- "I1": [ 2254 ],
- "I2": [ 2255 ],
- "I3": [ "0" ],
- "O": [ 2104 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2174 ],
- "I2": [ 2256 ],
- "I3": [ 2203 ],
- "O": [ 2253 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2257 ],
- "I1": [ 2189 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2256 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2205 ],
- "I1": [ 2154 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2257 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2186 ],
- "I2": [ 2258 ],
- "I3": [ 2203 ],
- "O": [ 2254 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1871 ],
- "I1": [ 2252 ],
- "I2": [ 2259 ],
- "I3": [ 1923 ],
- "O": [ 2258 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2260 ],
- "I1": [ 2250 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2259 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2261 ],
- "I1": [ 2244 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2260 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2262 ],
- "I1": [ 2210 ],
- "I2": [ 2121 ],
- "I3": [ 1879 ],
- "O": [ 2261 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1880 ],
- "I3": [ 2263 ],
- "O": [ 2262 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2194 ],
- "I2": [ 2264 ],
- "I3": [ 2203 ],
- "O": [ 2255 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2265 ],
- "I1": [ 2114 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2264 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2230 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2265 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2218 ],
- "I1": [ 2207 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2266 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2267 ],
- "I1": [ 2268 ],
- "I2": [ 2269 ],
- "I3": [ 1923 ],
- "O": [ 2270 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2172 ],
- "I1": [ 2108 ],
- "I2": [ 2185 ],
- "I3": [ 2191 ],
- "O": [ 2267 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2173 ],
- "I1": [ 2174 ],
- "I2": [ 2186 ],
- "I3": [ 2271 ],
- "O": [ 2268 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2192 ],
- "I1": [ 2193 ],
- "I2": [ 2194 ],
- "I3": [ 2175 ],
- "O": [ 2271 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2111 ],
- "I1": [ 2188 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2269 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2246 ],
- "I1": [ 2247 ],
- "I2": [ 1921 ],
- "I3": [ "0" ],
- "O": [ 2272 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2233 ],
- "I1": [ 2231 ],
- "I2": [ 2232 ],
- "I3": [ "0" ],
- "O": [ 2273 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2109 ],
- "I2": [ 2274 ],
- "I3": [ 2203 ],
- "O": [ 2098 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2204 ],
- "I1": [ 2275 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2274 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2276 ],
- "I1": [ 2277 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2275 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2278 ],
- "I1": [ 2279 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2277 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2280 ],
- "I1": [ 2281 ],
- "I2": [ 1879 ],
- "I3": [ "0" ],
- "O": [ 2279 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2282 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2281 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2283 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2280 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2121 ],
- "I1": [ 2262 ],
- "I2": [ 2284 ],
- "I3": [ 1879 ],
- "O": [ 2278 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2285 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2284 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1292
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2286 ],
- "I1": [ 2287 ],
- "I2": [ 2121 ],
- "I3": [ 1875 ],
- "O": [ 2276 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21503
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1676 ],
- "I1": [ 1670 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 2287 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2288 ],
- "I1": [ 1696 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 2286 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2261 ],
- "I1": [ 2289 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2290 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2284 ],
- "I1": [ 2280 ],
- "I2": [ 1879 ],
- "I3": [ "0" ],
- "O": [ 2289 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2291 ],
- "I1": [ 2281 ],
- "I2": [ 1879 ],
- "I3": [ "0" ],
- "O": [ 2292 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2288 ],
- "I2": [ 1938 ],
- "I3": [ "0" ],
- "O": [ 2291 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1696 ],
- "I1": [ 1676 ],
- "I2": [ 1879 ],
- "I3": [ 1938 ],
- "O": [ 2293 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2110 ],
- "I2": [ 2203 ],
- "I3": [ "0" ],
- "O": [ 2294 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13109
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2295 ],
- "I2": [ 2099 ],
- "I3": [ 2100 ],
- "O": [ 2296 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2108 ],
- "I2": [ 2297 ],
- "I3": [ 2203 ],
- "O": [ 2295 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2265 ],
- "I1": [ 2298 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2297 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2299 ],
- "I1": [ 2300 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2298 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4078
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2286 ],
- "I1": [ 2121 ],
- "I2": [ 2279 ],
- "I3": [ 1875 ],
- "O": [ 2300 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2208 ],
- "I1": [ 2278 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2299 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13109
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2301 ],
- "I2": [ 2099 ],
- "I3": [ 2100 ],
- "O": [ 2302 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2173 ],
- "I2": [ 2303 ],
- "I3": [ 2203 ],
- "O": [ 2301 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2249 ],
- "I1": [ 2304 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2303 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2260 ],
- "I1": [ 2305 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2304 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 2292 ],
- "I2": [ 1875 ],
- "I3": [ "0" ],
- "O": [ 2305 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13109
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2306 ],
- "I2": [ 2099 ],
- "I3": [ 2100 ],
- "O": [ 2307 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2185 ],
- "I2": [ 2308 ],
- "I3": [ 2203 ],
- "O": [ 2306 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2257 ],
- "I1": [ 2309 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2308 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2206 ],
- "I1": [ 2277 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2309 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13109
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2310 ],
- "I2": [ 2099 ],
- "I3": [ 2100 ],
- "O": [ 2311 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2175 ],
- "I2": [ 2312 ],
- "I3": [ "0" ],
- "O": [ 2310 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2313 ],
- "I1": [ 2314 ],
- "I2": [ 1923 ],
- "I3": [ 2203 ],
- "O": [ 2312 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2242 ],
- "I1": [ 2290 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 2314 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2237 ],
- "I2": [ 1871 ],
- "I3": [ "0" ],
- "O": [ 2313 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13109
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2315 ],
- "I2": [ 2099 ],
- "I3": [ 2100 ],
- "O": [ 2316 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 2193 ],
- "I2": [ 2317 ],
- "I3": [ 2203 ],
- "O": [ 2315 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2228 ],
- "I1": [ 2318 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 2317 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2299 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 2318 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 357 ],
- "I2": [ 356 ],
- "I3": [ 352 ],
- "O": [ 2319 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 357 ],
- "I2": [ 356 ],
- "I3": [ 352 ],
- "O": [ 2321 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2322 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2323 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2324 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2325 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2326 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2327 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2328 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2329 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2330 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2331 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2332 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2333 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2335 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2336 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2337 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2338 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2339 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2340 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2341 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2342 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2343 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2344 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2345 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2347 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2348 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2349 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2350 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2351 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2352 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2353 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2320 ],
- "I1": [ 2354 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2355 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2356 ],
- "I1": [ 2357 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 2358 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2359 ],
- "I1": [ 2360 ],
- "I2": [ 556 ],
- "I3": [ "0" ],
- "O": [ 2361 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2362 ],
- "I1": [ 17 ],
- "I2": [ 195 ],
- "I3": [ 2363 ],
- "O": [ 2364 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 476 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2362 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 482 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2363 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2362 ],
- "I1": [ 18 ],
- "I2": [ 198 ],
- "I3": [ 2363 ],
- "O": [ 2365 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2362 ],
- "I1": [ 13 ],
- "I2": [ 201 ],
- "I3": [ 2363 ],
- "O": [ 2366 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2362 ],
- "I1": [ 12 ],
- "I2": [ 202 ],
- "I3": [ 2363 ],
- "O": [ 2367 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2362 ],
- "I1": [ 19 ],
- "I2": [ 199 ],
- "I3": [ 2363 ],
- "O": [ 2368 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2362 ],
- "I1": [ 20 ],
- "I2": [ 200 ],
- "I3": [ 2363 ],
- "O": [ 2369 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ 2362 ],
- "I2": [ 1521 ],
- "I3": [ 2363 ],
- "O": [ 2370 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ 2362 ],
- "I2": [ 1526 ],
- "I3": [ 2363 ],
- "O": [ 2371 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 515 ],
- "I2": [ 1531 ],
- "I3": [ 2363 ],
- "O": [ 2372 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 515 ],
- "I2": [ 1536 ],
- "I3": [ 2363 ],
- "O": [ 2373 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 515 ],
- "I2": [ 1541 ],
- "I3": [ 2363 ],
- "O": [ 2374 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 515 ],
- "I2": [ 1546 ],
- "I3": [ 2363 ],
- "O": [ 2375 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 19 ],
- "I1": [ 515 ],
- "I2": [ 1551 ],
- "I3": [ 2363 ],
- "O": [ 2376 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ 515 ],
- "I2": [ 1556 ],
- "I3": [ 2363 ],
- "O": [ 2377 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ 515 ],
- "I2": [ 1561 ],
- "I3": [ 2363 ],
- "O": [ 2378 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ 515 ],
- "I2": [ 1566 ],
- "I3": [ 2363 ],
- "O": [ 2379 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1682 ],
- "I1": [ 1666 ],
- "I2": [ 1667 ],
- "I3": [ 1668 ],
- "O": [ 2380 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1476 ],
- "I1": [ 1460 ],
- "I2": [ 1461 ],
- "I3": [ 1462 ],
- "O": [ 2381 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 56788
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1485 ],
- "I1": [ 1486 ],
- "I2": [ 1483 ],
- "I3": [ 1484 ],
- "O": [ 2382 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2383 ],
- "I1": [ 2384 ],
- "I2": [ 2385 ],
- "I3": [ "0" ],
- "O": [ 2386 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1487 ],
- "I1": [ 1488 ],
- "I2": [ 1489 ],
- "I3": [ "0" ],
- "O": [ 2383 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2387 ],
- "I1": [ 2388 ],
- "I2": [ 2389 ],
- "I3": [ "0" ],
- "O": [ 2385 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1490 ],
- "I1": [ 1491 ],
- "I2": [ 1492 ],
- "I3": [ "0" ],
- "O": [ 2387 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2390 ],
- "I1": [ 2391 ],
- "I2": [ 2392 ],
- "I3": [ "0" ],
- "O": [ 2388 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1494 ],
- "I1": [ 347 ],
- "I2": [ 1495 ],
- "I3": [ 1493 ],
- "O": [ 2390 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2067 ],
- "I2": [ 2393 ],
- "I3": [ 2394 ],
- "O": [ 2391 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2395 ],
- "I1": [ 2396 ],
- "I2": [ 2397 ],
- "I3": [ "0" ],
- "O": [ 2392 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2398 ],
- "I1": [ 2399 ],
- "I2": [ 2400 ],
- "I3": [ "0" ],
- "O": [ 2389 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1502 ],
- "I1": [ 1503 ],
- "I2": [ 1504 ],
- "I3": [ "0" ],
- "O": [ 2398 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1496 ],
- "I1": [ 1497 ],
- "I2": [ 1498 ],
- "I3": [ "0" ],
- "O": [ 2399 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2401 ],
- "I1": [ 2402 ],
- "I2": [ 2403 ],
- "I3": [ "0" ],
- "O": [ 2400 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1499 ],
- "I1": [ 1501 ],
- "I2": [ 1500 ],
- "I3": [ "0" ],
- "O": [ 2384 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2404 ],
- "I1": [ 2405 ],
- "I2": [ 2406 ],
- "I3": [ "0" ],
- "O": [ 2407 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2387 ],
- "I1": [ 2388 ],
- "I2": [ 2389 ],
- "I3": [ "0" ],
- "O": [ 2404 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2408 ],
- "I1": [ 2409 ],
- "I2": [ 2410 ],
- "I3": [ "0" ],
- "O": [ 2406 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2390 ],
- "I1": [ 2391 ],
- "I2": [ 2392 ],
- "I3": [ "0" ],
- "O": [ 2408 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2411 ],
- "I1": [ 2412 ],
- "I2": [ 2413 ],
- "I3": [ "0" ],
- "O": [ 2409 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2393 ],
- "I1": [ 347 ],
- "I2": [ 2394 ],
- "I3": [ 2067 ],
- "O": [ 2411 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2061 ],
- "I2": [ 2414 ],
- "I3": [ 2415 ],
- "O": [ 2412 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2416 ],
- "I1": [ 2417 ],
- "I2": [ 2418 ],
- "I3": [ "0" ],
- "O": [ 2413 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2419 ],
- "I1": [ 2420 ],
- "I2": [ 2421 ],
- "I3": [ "0" ],
- "O": [ 2410 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2401 ],
- "I1": [ 2402 ],
- "I2": [ 2403 ],
- "I3": [ "0" ],
- "O": [ 2419 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2395 ],
- "I1": [ 2396 ],
- "I2": [ 2397 ],
- "I3": [ "0" ],
- "O": [ 2420 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2422 ],
- "I1": [ 2423 ],
- "I2": [ 2424 ],
- "I3": [ "0" ],
- "O": [ 2421 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2398 ],
- "I1": [ 2400 ],
- "I2": [ 2399 ],
- "I3": [ "0" ],
- "O": [ 2405 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2425 ],
- "I1": [ 2426 ],
- "I2": [ 2427 ],
- "I3": [ "0" ],
- "O": [ 2428 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2408 ],
- "I1": [ 2409 ],
- "I2": [ 2410 ],
- "I3": [ "0" ],
- "O": [ 2425 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2429 ],
- "I1": [ 2430 ],
- "I2": [ 2431 ],
- "I3": [ "0" ],
- "O": [ 2427 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2411 ],
- "I1": [ 2412 ],
- "I2": [ 2413 ],
- "I3": [ "0" ],
- "O": [ 2429 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2432 ],
- "I1": [ 2433 ],
- "I2": [ 2434 ],
- "I3": [ "0" ],
- "O": [ 2430 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2414 ],
- "I1": [ 347 ],
- "I2": [ 2415 ],
- "I3": [ 2061 ],
- "O": [ 2432 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2062 ],
- "I2": [ 2435 ],
- "I3": [ 2436 ],
- "O": [ 2433 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2437 ],
- "I1": [ 2438 ],
- "I2": [ 2439 ],
- "I3": [ "0" ],
- "O": [ 2434 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2440 ],
- "I1": [ 2441 ],
- "I2": [ 2442 ],
- "I3": [ "0" ],
- "O": [ 2431 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2422 ],
- "I1": [ 2423 ],
- "I2": [ 2424 ],
- "I3": [ "0" ],
- "O": [ 2440 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2416 ],
- "I1": [ 2417 ],
- "I2": [ 2418 ],
- "I3": [ "0" ],
- "O": [ 2441 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2443 ],
- "I1": [ 2444 ],
- "I2": [ 2445 ],
- "I3": [ "0" ],
- "O": [ 2442 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2419 ],
- "I1": [ 2421 ],
- "I2": [ 2420 ],
- "I3": [ "0" ],
- "O": [ 2426 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2446 ],
- "I1": [ 2447 ],
- "I2": [ 2448 ],
- "I3": [ "0" ],
- "O": [ 2449 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2429 ],
- "I1": [ 2430 ],
- "I2": [ 2431 ],
- "I3": [ "0" ],
- "O": [ 2446 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2450 ],
- "I1": [ 2451 ],
- "I2": [ 2452 ],
- "I3": [ "0" ],
- "O": [ 2448 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2432 ],
- "I1": [ 2433 ],
- "I2": [ 2434 ],
- "I3": [ "0" ],
- "O": [ 2450 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2453 ],
- "I1": [ 2454 ],
- "I2": [ 2455 ],
- "I3": [ "0" ],
- "O": [ 2451 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2435 ],
- "I1": [ 347 ],
- "I2": [ 2436 ],
- "I3": [ 2062 ],
- "O": [ 2453 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2064 ],
- "I2": [ 2456 ],
- "I3": [ 2457 ],
- "O": [ 2454 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2458 ],
- "I1": [ 2459 ],
- "I2": [ 2460 ],
- "I3": [ "0" ],
- "O": [ 2455 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2461 ],
- "I1": [ 2462 ],
- "I2": [ 2463 ],
- "I3": [ "0" ],
- "O": [ 2452 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2443 ],
- "I1": [ 2444 ],
- "I2": [ 2445 ],
- "I3": [ "0" ],
- "O": [ 2461 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2437 ],
- "I1": [ 2438 ],
- "I2": [ 2439 ],
- "I3": [ "0" ],
- "O": [ 2462 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 2465 ],
- "I2": [ 2466 ],
- "I3": [ "0" ],
- "O": [ 2463 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2440 ],
- "I1": [ 2442 ],
- "I2": [ 2441 ],
- "I3": [ "0" ],
- "O": [ 2447 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2467 ],
- "I1": [ 2468 ],
- "I2": [ 2469 ],
- "I3": [ "0" ],
- "O": [ 2470 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2450 ],
- "I1": [ 2451 ],
- "I2": [ 2452 ],
- "I3": [ "0" ],
- "O": [ 2467 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2472 ],
- "I2": [ 2473 ],
- "I3": [ "0" ],
- "O": [ 2469 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2453 ],
- "I1": [ 2454 ],
- "I2": [ 2455 ],
- "I3": [ "0" ],
- "O": [ 2471 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2474 ],
- "I1": [ 2475 ],
- "I2": [ 2476 ],
- "I3": [ "0" ],
- "O": [ 2472 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 347 ],
- "I2": [ 2457 ],
- "I3": [ 2064 ],
- "O": [ 2474 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2008 ],
- "I2": [ 2477 ],
- "I3": [ 2478 ],
- "O": [ 2475 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2479 ],
- "I1": [ 2480 ],
- "I2": [ 2481 ],
- "I3": [ "0" ],
- "O": [ 2476 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2482 ],
- "I1": [ 2483 ],
- "I2": [ 2484 ],
- "I3": [ "0" ],
- "O": [ 2473 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 2465 ],
- "I2": [ 2466 ],
- "I3": [ "0" ],
- "O": [ 2482 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2458 ],
- "I1": [ 2459 ],
- "I2": [ 2460 ],
- "I3": [ "0" ],
- "O": [ 2483 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2485 ],
- "I1": [ 2486 ],
- "I2": [ 2487 ],
- "I3": [ "0" ],
- "O": [ 2484 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2461 ],
- "I1": [ 2463 ],
- "I2": [ 2462 ],
- "I3": [ "0" ],
- "O": [ 2468 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2488 ],
- "I1": [ 2489 ],
- "I2": [ 2490 ],
- "I3": [ "0" ],
- "O": [ 2491 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2472 ],
- "I2": [ 2473 ],
- "I3": [ "0" ],
- "O": [ 2488 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2492 ],
- "I1": [ 2493 ],
- "I2": [ 2494 ],
- "I3": [ "0" ],
- "O": [ 2490 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2474 ],
- "I1": [ 2475 ],
- "I2": [ 2476 ],
- "I3": [ "0" ],
- "O": [ 2492 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2495 ],
- "I1": [ 2496 ],
- "I2": [ 2497 ],
- "I3": [ "0" ],
- "O": [ 2493 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2477 ],
- "I1": [ 347 ],
- "I2": [ 2478 ],
- "I3": [ 2008 ],
- "O": [ 2495 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2009 ],
- "I2": [ 2498 ],
- "I3": [ 2499 ],
- "O": [ 2496 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2500 ],
- "I1": [ 2501 ],
- "I2": [ 2502 ],
- "I3": [ "0" ],
- "O": [ 2497 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2503 ],
- "I1": [ 2504 ],
- "I2": [ 2505 ],
- "I3": [ "0" ],
- "O": [ 2494 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2485 ],
- "I1": [ 2486 ],
- "I2": [ 2487 ],
- "I3": [ "0" ],
- "O": [ 2503 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2479 ],
- "I1": [ 2480 ],
- "I2": [ 2481 ],
- "I3": [ "0" ],
- "O": [ 2504 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2506 ],
- "I1": [ 2507 ],
- "I2": [ 2508 ],
- "I3": [ "0" ],
- "O": [ 2505 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2482 ],
- "I1": [ 2484 ],
- "I2": [ 2483 ],
- "I3": [ "0" ],
- "O": [ 2489 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2509 ],
- "I1": [ 2510 ],
- "I2": [ 2511 ],
- "I3": [ "0" ],
- "O": [ 2512 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2492 ],
- "I1": [ 2493 ],
- "I2": [ 2494 ],
- "I3": [ "0" ],
- "O": [ 2509 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2513 ],
- "I1": [ 2514 ],
- "I2": [ 2515 ],
- "I3": [ "0" ],
- "O": [ 2511 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2495 ],
- "I1": [ 2496 ],
- "I2": [ 2497 ],
- "I3": [ "0" ],
- "O": [ 2513 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2516 ],
- "I1": [ 2517 ],
- "I2": [ 2518 ],
- "I3": [ "0" ],
- "O": [ 2514 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2498 ],
- "I1": [ 347 ],
- "I2": [ 2499 ],
- "I3": [ 2009 ],
- "O": [ 2516 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2012 ],
- "I2": [ 2519 ],
- "I3": [ 2520 ],
- "O": [ 2517 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2521 ],
- "I1": [ 2522 ],
- "I2": [ 2523 ],
- "I3": [ "0" ],
- "O": [ 2518 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2524 ],
- "I1": [ 2525 ],
- "I2": [ 2526 ],
- "I3": [ "0" ],
- "O": [ 2515 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2506 ],
- "I1": [ 2507 ],
- "I2": [ 2508 ],
- "I3": [ "0" ],
- "O": [ 2524 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2500 ],
- "I1": [ 2501 ],
- "I2": [ 2502 ],
- "I3": [ "0" ],
- "O": [ 2525 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2527 ],
- "I1": [ 2528 ],
- "I2": [ 2529 ],
- "I3": [ "0" ],
- "O": [ 2526 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2503 ],
- "I1": [ 2505 ],
- "I2": [ 2504 ],
- "I3": [ "0" ],
- "O": [ 2510 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2530 ],
- "I1": [ 2531 ],
- "I2": [ 2532 ],
- "I3": [ "0" ],
- "O": [ 2533 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2513 ],
- "I1": [ 2514 ],
- "I2": [ 2515 ],
- "I3": [ "0" ],
- "O": [ 2530 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2534 ],
- "I1": [ 2535 ],
- "I2": [ 2536 ],
- "I3": [ "0" ],
- "O": [ 2532 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2516 ],
- "I1": [ 2517 ],
- "I2": [ 2518 ],
- "I3": [ "0" ],
- "O": [ 2534 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2538 ],
- "I2": [ 2539 ],
- "I3": [ "0" ],
- "O": [ 2535 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2519 ],
- "I1": [ 347 ],
- "I2": [ 2520 ],
- "I3": [ 2012 ],
- "O": [ 2537 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2013 ],
- "I2": [ 2540 ],
- "I3": [ 2541 ],
- "O": [ 2538 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2542 ],
- "I1": [ 2543 ],
- "I2": [ 2544 ],
- "I3": [ "0" ],
- "O": [ 2539 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2545 ],
- "I1": [ 2546 ],
- "I2": [ 2547 ],
- "I3": [ "0" ],
- "O": [ 2536 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2527 ],
- "I1": [ 2528 ],
- "I2": [ 2529 ],
- "I3": [ "0" ],
- "O": [ 2545 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2521 ],
- "I1": [ 2522 ],
- "I2": [ 2523 ],
- "I3": [ "0" ],
- "O": [ 2546 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2548 ],
- "I1": [ 2549 ],
- "I2": [ 2550 ],
- "I3": [ "0" ],
- "O": [ 2547 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2524 ],
- "I1": [ 2526 ],
- "I2": [ 2525 ],
- "I3": [ "0" ],
- "O": [ 2531 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2551 ],
- "I1": [ 2552 ],
- "I2": [ 2553 ],
- "I3": [ "0" ],
- "O": [ 2554 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2534 ],
- "I1": [ 2535 ],
- "I2": [ 2536 ],
- "I3": [ "0" ],
- "O": [ 2551 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2555 ],
- "I1": [ 2556 ],
- "I2": [ 2557 ],
- "I3": [ "0" ],
- "O": [ 2553 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2538 ],
- "I2": [ 2539 ],
- "I3": [ "0" ],
- "O": [ 2555 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2558 ],
- "I1": [ 2559 ],
- "I2": [ 2560 ],
- "I3": [ "0" ],
- "O": [ 2556 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2540 ],
- "I1": [ 347 ],
- "I2": [ 2541 ],
- "I3": [ 2013 ],
- "O": [ 2558 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2003 ],
- "I2": [ 2561 ],
- "I3": [ 2562 ],
- "O": [ 2559 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2563 ],
- "I1": [ 2564 ],
- "I2": [ 2565 ],
- "I3": [ "0" ],
- "O": [ 2560 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2566 ],
- "I1": [ 2567 ],
- "I2": [ 2568 ],
- "I3": [ "0" ],
- "O": [ 2557 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2548 ],
- "I1": [ 2549 ],
- "I2": [ 2550 ],
- "I3": [ "0" ],
- "O": [ 2566 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2542 ],
- "I1": [ 2543 ],
- "I2": [ 2544 ],
- "I3": [ "0" ],
- "O": [ 2567 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2569 ],
- "I1": [ 2570 ],
- "I2": [ 2571 ],
- "I3": [ "0" ],
- "O": [ 2568 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2545 ],
- "I1": [ 2547 ],
- "I2": [ 2546 ],
- "I3": [ "0" ],
- "O": [ 2552 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2572 ],
- "I1": [ 2573 ],
- "I2": [ 2574 ],
- "I3": [ "0" ],
- "O": [ 2575 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2555 ],
- "I1": [ 2556 ],
- "I2": [ 2557 ],
- "I3": [ "0" ],
- "O": [ 2572 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2576 ],
- "I1": [ 2577 ],
- "I2": [ 2578 ],
- "I3": [ "0" ],
- "O": [ 2574 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2558 ],
- "I1": [ 2559 ],
- "I2": [ 2560 ],
- "I3": [ "0" ],
- "O": [ 2576 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2579 ],
- "I1": [ 2580 ],
- "I2": [ 2581 ],
- "I3": [ "0" ],
- "O": [ 2577 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2561 ],
- "I1": [ 347 ],
- "I2": [ 2562 ],
- "I3": [ 2003 ],
- "O": [ 2579 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1992 ],
- "I2": [ 2582 ],
- "I3": [ 2583 ],
- "O": [ 2580 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2584 ],
- "I1": [ 2585 ],
- "I2": [ 2586 ],
- "I3": [ "0" ],
- "O": [ 2581 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2587 ],
- "I1": [ 2588 ],
- "I2": [ 2589 ],
- "I3": [ "0" ],
- "O": [ 2578 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2569 ],
- "I1": [ 2570 ],
- "I2": [ 2571 ],
- "I3": [ "0" ],
- "O": [ 2587 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2563 ],
- "I1": [ 2564 ],
- "I2": [ 2565 ],
- "I3": [ "0" ],
- "O": [ 2588 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2590 ],
- "I1": [ 2591 ],
- "I2": [ 2592 ],
- "I3": [ "0" ],
- "O": [ 2589 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2566 ],
- "I1": [ 2568 ],
- "I2": [ 2567 ],
- "I3": [ "0" ],
- "O": [ 2573 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2593 ],
- "I1": [ 2594 ],
- "I2": [ 2595 ],
- "I3": [ "0" ],
- "O": [ 2596 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2576 ],
- "I1": [ 2577 ],
- "I2": [ 2578 ],
- "I3": [ "0" ],
- "O": [ 2593 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2597 ],
- "I1": [ 2598 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2595 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2579 ],
- "I1": [ 2580 ],
- "I2": [ 2581 ],
- "I3": [ "0" ],
- "O": [ 2597 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2600 ],
- "I1": [ 2601 ],
- "I2": [ 2602 ],
- "I3": [ "0" ],
- "O": [ 2598 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2582 ],
- "I1": [ 347 ],
- "I2": [ 2583 ],
- "I3": [ 1992 ],
- "O": [ 2600 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1993 ],
- "I2": [ 2603 ],
- "I3": [ 2604 ],
- "O": [ 2601 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2605 ],
- "I1": [ 2606 ],
- "I2": [ 2607 ],
- "I3": [ "0" ],
- "O": [ 2602 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2608 ],
- "I1": [ 2609 ],
- "I2": [ 2610 ],
- "I3": [ "0" ],
- "O": [ 2599 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2590 ],
- "I1": [ 2591 ],
- "I2": [ 2592 ],
- "I3": [ "0" ],
- "O": [ 2608 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2584 ],
- "I1": [ 2585 ],
- "I2": [ 2586 ],
- "I3": [ "0" ],
- "O": [ 2609 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2611 ],
- "I1": [ 2612 ],
- "I2": [ 2613 ],
- "I3": [ "0" ],
- "O": [ 2610 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2587 ],
- "I1": [ 2589 ],
- "I2": [ 2588 ],
- "I3": [ "0" ],
- "O": [ 2594 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2614 ],
- "I1": [ 2615 ],
- "I2": [ 2616 ],
- "I3": [ "0" ],
- "O": [ 2617 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2597 ],
- "I1": [ 2598 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2614 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2618 ],
- "I1": [ 2619 ],
- "I2": [ 2620 ],
- "I3": [ "0" ],
- "O": [ 2616 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2600 ],
- "I1": [ 2601 ],
- "I2": [ 2602 ],
- "I3": [ "0" ],
- "O": [ 2618 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2621 ],
- "I1": [ 2622 ],
- "I2": [ 2623 ],
- "I3": [ "0" ],
- "O": [ 2619 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2603 ],
- "I1": [ 347 ],
- "I2": [ 2604 ],
- "I3": [ 1993 ],
- "O": [ 2621 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1995 ],
- "I2": [ 2624 ],
- "I3": [ 2625 ],
- "O": [ 2622 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2626 ],
- "I1": [ 2627 ],
- "I2": [ 2628 ],
- "I3": [ "0" ],
- "O": [ 2623 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2629 ],
- "I1": [ 2630 ],
- "I2": [ 2631 ],
- "I3": [ "0" ],
- "O": [ 2620 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2611 ],
- "I1": [ 2612 ],
- "I2": [ 2613 ],
- "I3": [ "0" ],
- "O": [ 2629 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2605 ],
- "I1": [ 2606 ],
- "I2": [ 2607 ],
- "I3": [ "0" ],
- "O": [ 2630 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2632 ],
- "I1": [ 2633 ],
- "I2": [ 2634 ],
- "I3": [ "0" ],
- "O": [ 2631 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2608 ],
- "I1": [ 2610 ],
- "I2": [ 2609 ],
- "I3": [ "0" ],
- "O": [ 2615 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2635 ],
- "I1": [ 2636 ],
- "I2": [ 2637 ],
- "I3": [ "0" ],
- "O": [ 2638 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2618 ],
- "I1": [ 2619 ],
- "I2": [ 2620 ],
- "I3": [ "0" ],
- "O": [ 2635 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2639 ],
- "I1": [ 2640 ],
- "I2": [ 2641 ],
- "I3": [ "0" ],
- "O": [ 2637 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2621 ],
- "I1": [ 2622 ],
- "I2": [ 2623 ],
- "I3": [ "0" ],
- "O": [ 2639 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2642 ],
- "I1": [ 2643 ],
- "I2": [ 2644 ],
- "I3": [ "0" ],
- "O": [ 2640 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2624 ],
- "I1": [ 347 ],
- "I2": [ 2625 ],
- "I3": [ 1995 ],
- "O": [ 2642 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1883 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2643 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2647 ],
- "I1": [ 2648 ],
- "I2": [ 2649 ],
- "I3": [ "0" ],
- "O": [ 2644 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2650 ],
- "I1": [ 2651 ],
- "I2": [ 2652 ],
- "I3": [ "0" ],
- "O": [ 2641 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2632 ],
- "I1": [ 2633 ],
- "I2": [ 2634 ],
- "I3": [ "0" ],
- "O": [ 2650 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2626 ],
- "I1": [ 2627 ],
- "I2": [ 2628 ],
- "I3": [ "0" ],
- "O": [ 2651 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2653 ],
- "I1": [ 2654 ],
- "I2": [ 2655 ],
- "I3": [ "0" ],
- "O": [ 2652 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2629 ],
- "I1": [ 2631 ],
- "I2": [ 2630 ],
- "I3": [ "0" ],
- "O": [ 2636 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2656 ],
- "I1": [ 2657 ],
- "I2": [ 2658 ],
- "I3": [ "0" ],
- "O": [ 2659 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2639 ],
- "I1": [ 2640 ],
- "I2": [ 2641 ],
- "I3": [ "0" ],
- "O": [ 2656 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2660 ],
- "I1": [ 2661 ],
- "I2": [ 2662 ],
- "I3": [ "0" ],
- "O": [ 2658 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2642 ],
- "I1": [ 2643 ],
- "I2": [ 2644 ],
- "I3": [ "0" ],
- "O": [ 2660 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2663 ],
- "I2": [ 2664 ],
- "I3": [ "0" ],
- "O": [ 2661 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1883 ],
- "O": [ 2663 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1884 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2664 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30945
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2653 ],
- "I1": [ 2654 ],
- "I2": [ 2665 ],
- "I3": [ 2655 ],
- "O": [ 2662 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2647 ],
- "I1": [ 2648 ],
- "I2": [ 2649 ],
- "I3": [ "0" ],
- "O": [ 2665 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2650 ],
- "I1": [ 2652 ],
- "I2": [ 2651 ],
- "I3": [ "0" ],
- "O": [ 2657 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2666 ],
- "I1": [ 2667 ],
- "I2": [ 2668 ],
- "I3": [ "0" ],
- "O": [ 2669 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2660 ],
- "I1": [ 2661 ],
- "I2": [ 2662 ],
- "I3": [ "0" ],
- "O": [ 2666 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2670 ],
- "I2": [ 2671 ],
- "I3": [ "0" ],
- "O": [ 2668 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2664 ],
- "I2": [ 2663 ],
- "I3": [ "0" ],
- "O": [ 2670 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2672 ],
- "I2": [ 2673 ],
- "I3": [ "0" ],
- "O": [ 2671 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1884 ],
- "O": [ 2672 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1887 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2673 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32513
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2653 ],
- "I1": [ 2654 ],
- "I2": [ 2655 ],
- "I3": [ 2665 ],
- "O": [ 2667 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2674 ],
- "I1": [ 2667 ],
- "I2": [ 2675 ],
- "I3": [ "0" ],
- "O": [ 2676 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2671 ],
- "I2": [ 2670 ],
- "I3": [ "0" ],
- "O": [ 2674 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2677 ],
- "I2": [ 2678 ],
- "I3": [ "0" ],
- "O": [ 2675 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2673 ],
- "I2": [ 2672 ],
- "I3": [ "0" ],
- "O": [ 2677 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2679 ],
- "I2": [ 2680 ],
- "I3": [ "0" ],
- "O": [ 2678 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1887 ],
- "O": [ 2679 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1888 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2680 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2681 ],
- "I1": [ 2667 ],
- "I2": [ 2682 ],
- "I3": [ "0" ],
- "O": [ 2683 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2678 ],
- "I2": [ 2677 ],
- "I3": [ "0" ],
- "O": [ 2681 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2684 ],
- "I2": [ 2685 ],
- "I3": [ "0" ],
- "O": [ 2682 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2680 ],
- "I2": [ 2679 ],
- "I3": [ "0" ],
- "O": [ 2684 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2686 ],
- "I2": [ 2687 ],
- "I3": [ "0" ],
- "O": [ 2685 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1888 ],
- "O": [ 2686 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1893 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2687 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2688 ],
- "I1": [ 2667 ],
- "I2": [ 2689 ],
- "I3": [ "0" ],
- "O": [ 2690 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2685 ],
- "I2": [ 2684 ],
- "I3": [ "0" ],
- "O": [ 2688 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2691 ],
- "I2": [ 2692 ],
- "I3": [ "0" ],
- "O": [ 2689 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2687 ],
- "I2": [ 2686 ],
- "I3": [ "0" ],
- "O": [ 2691 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2693 ],
- "I2": [ 2694 ],
- "I3": [ "0" ],
- "O": [ 2692 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1893 ],
- "O": [ 2693 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1894 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2694 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2695 ],
- "I1": [ 2667 ],
- "I2": [ 2696 ],
- "I3": [ "0" ],
- "O": [ 2697 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2692 ],
- "I2": [ 2691 ],
- "I3": [ "0" ],
- "O": [ 2695 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2698 ],
- "I2": [ 2699 ],
- "I3": [ "0" ],
- "O": [ 2696 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2694 ],
- "I2": [ 2693 ],
- "I3": [ "0" ],
- "O": [ 2698 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2700 ],
- "I2": [ 2701 ],
- "I3": [ "0" ],
- "O": [ 2699 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1894 ],
- "O": [ 2700 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1897 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2701 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2702 ],
- "I1": [ 2667 ],
- "I2": [ 2703 ],
- "I3": [ "0" ],
- "O": [ 2704 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2699 ],
- "I2": [ 2698 ],
- "I3": [ "0" ],
- "O": [ 2702 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2705 ],
- "I2": [ 2706 ],
- "I3": [ "0" ],
- "O": [ 2703 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2701 ],
- "I2": [ 2700 ],
- "I3": [ "0" ],
- "O": [ 2705 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2707 ],
- "I2": [ 2708 ],
- "I3": [ "0" ],
- "O": [ 2706 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1897 ],
- "O": [ 2707 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1898 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2708 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2709 ],
- "I1": [ 2667 ],
- "I2": [ 2710 ],
- "I3": [ "0" ],
- "O": [ 2711 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2706 ],
- "I2": [ 2705 ],
- "I3": [ "0" ],
- "O": [ 2709 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2712 ],
- "I2": [ 2713 ],
- "I3": [ "0" ],
- "O": [ 2710 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2708 ],
- "I2": [ 2707 ],
- "I3": [ "0" ],
- "O": [ 2712 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2714 ],
- "I2": [ 2715 ],
- "I3": [ "0" ],
- "O": [ 2713 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1898 ],
- "O": [ 2714 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1905 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2715 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2716 ],
- "I1": [ 2667 ],
- "I2": [ 2717 ],
- "I3": [ "0" ],
- "O": [ 2718 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2713 ],
- "I2": [ 2712 ],
- "I3": [ "0" ],
- "O": [ 2716 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2719 ],
- "I2": [ 2720 ],
- "I3": [ "0" ],
- "O": [ 2717 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2715 ],
- "I2": [ 2714 ],
- "I3": [ "0" ],
- "O": [ 2719 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2721 ],
- "I2": [ 2722 ],
- "I3": [ "0" ],
- "O": [ 2720 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1905 ],
- "O": [ 2721 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1906 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2722 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2723 ],
- "I1": [ 2667 ],
- "I2": [ 2724 ],
- "I3": [ "0" ],
- "O": [ 2725 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2720 ],
- "I2": [ 2719 ],
- "I3": [ "0" ],
- "O": [ 2723 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2726 ],
- "I2": [ 2727 ],
- "I3": [ "0" ],
- "O": [ 2724 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2722 ],
- "I2": [ 2721 ],
- "I3": [ "0" ],
- "O": [ 2726 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2728 ],
- "I2": [ 2729 ],
- "I3": [ "0" ],
- "O": [ 2727 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1906 ],
- "O": [ 2728 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1909 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2729 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2730 ],
- "I1": [ 2667 ],
- "I2": [ 2731 ],
- "I3": [ "0" ],
- "O": [ 2732 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2727 ],
- "I2": [ 2726 ],
- "I3": [ "0" ],
- "O": [ 2730 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2733 ],
- "I2": [ 2734 ],
- "I3": [ "0" ],
- "O": [ 2731 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2729 ],
- "I2": [ 2728 ],
- "I3": [ "0" ],
- "O": [ 2733 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2735 ],
- "I2": [ 2736 ],
- "I3": [ "0" ],
- "O": [ 2734 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1909 ],
- "O": [ 2735 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1910 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2736 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2737 ],
- "I1": [ 2667 ],
- "I2": [ 2738 ],
- "I3": [ "0" ],
- "O": [ 2739 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2734 ],
- "I2": [ 2733 ],
- "I3": [ "0" ],
- "O": [ 2737 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2740 ],
- "I2": [ 2741 ],
- "I3": [ "0" ],
- "O": [ 2738 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2736 ],
- "I2": [ 2735 ],
- "I3": [ "0" ],
- "O": [ 2740 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2742 ],
- "I2": [ 2743 ],
- "I3": [ "0" ],
- "O": [ 2741 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1910 ],
- "O": [ 2742 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1915 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2743 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2744 ],
- "I1": [ 2667 ],
- "I2": [ 2745 ],
- "I3": [ "0" ],
- "O": [ 2746 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2741 ],
- "I2": [ 2740 ],
- "I3": [ "0" ],
- "O": [ 2744 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2747 ],
- "I2": [ 2748 ],
- "I3": [ "0" ],
- "O": [ 2745 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2743 ],
- "I2": [ 2742 ],
- "I3": [ "0" ],
- "O": [ 2747 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2749 ],
- "I2": [ 2750 ],
- "I3": [ "0" ],
- "O": [ 2748 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1915 ],
- "O": [ 2749 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1916 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2750 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2751 ],
- "I1": [ 2667 ],
- "I2": [ 2752 ],
- "I3": [ "0" ],
- "O": [ 2753 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2748 ],
- "I2": [ 2747 ],
- "I3": [ "0" ],
- "O": [ 2751 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2754 ],
- "I2": [ 2755 ],
- "I3": [ "0" ],
- "O": [ 2752 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2750 ],
- "I2": [ 2749 ],
- "I3": [ "0" ],
- "O": [ 2754 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2756 ],
- "I2": [ 2757 ],
- "I3": [ "0" ],
- "O": [ 2755 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1916 ],
- "O": [ 2756 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1919 ],
- "I2": [ 2645 ],
- "I3": [ 2646 ],
- "O": [ 2757 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6001
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2667 ],
- "I2": [ 2759 ],
- "I3": [ 2662 ],
- "O": [ 2760 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2755 ],
- "I2": [ 2754 ],
- "I3": [ "0" ],
- "O": [ 2758 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2761 ],
- "I1": [ 2762 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2759 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2757 ],
- "I2": [ 2756 ],
- "I3": [ "0" ],
- "O": [ 2761 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2763 ],
- "I2": [ 2764 ],
- "I3": [ 2645 ],
- "O": [ 2762 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 347 ],
- "I2": [ 2646 ],
- "I3": [ 1919 ],
- "O": [ 2763 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1920 ],
- "I2": [ 2646 ],
- "I3": [ "0" ],
- "O": [ 2764 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2383 ],
- "I1": [ 2385 ],
- "I2": [ 2384 ],
- "I3": [ "0" ],
- "O": [ 2765 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2404 ],
- "I1": [ 2406 ],
- "I2": [ 2405 ],
- "I3": [ "0" ],
- "O": [ 2766 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2425 ],
- "I1": [ 2427 ],
- "I2": [ 2426 ],
- "I3": [ "0" ],
- "O": [ 2767 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2446 ],
- "I1": [ 2448 ],
- "I2": [ 2447 ],
- "I3": [ "0" ],
- "O": [ 2768 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2467 ],
- "I1": [ 2469 ],
- "I2": [ 2468 ],
- "I3": [ "0" ],
- "O": [ 2769 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2488 ],
- "I1": [ 2490 ],
- "I2": [ 2489 ],
- "I3": [ "0" ],
- "O": [ 2770 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2509 ],
- "I1": [ 2511 ],
- "I2": [ 2510 ],
- "I3": [ "0" ],
- "O": [ 2771 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2530 ],
- "I1": [ 2532 ],
- "I2": [ 2531 ],
- "I3": [ "0" ],
- "O": [ 2772 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2551 ],
- "I1": [ 2553 ],
- "I2": [ 2552 ],
- "I3": [ "0" ],
- "O": [ 2773 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2572 ],
- "I1": [ 2574 ],
- "I2": [ 2573 ],
- "I3": [ "0" ],
- "O": [ 2774 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2593 ],
- "I1": [ 2595 ],
- "I2": [ 2594 ],
- "I3": [ "0" ],
- "O": [ 2775 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2614 ],
- "I1": [ 2616 ],
- "I2": [ 2615 ],
- "I3": [ "0" ],
- "O": [ 2776 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2635 ],
- "I1": [ 2637 ],
- "I2": [ 2636 ],
- "I3": [ "0" ],
- "O": [ 2777 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2656 ],
- "I1": [ 2658 ],
- "I2": [ 2657 ],
- "I3": [ "0" ],
- "O": [ 2778 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2666 ],
- "I1": [ 2668 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2779 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2674 ],
- "I1": [ 2675 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2780 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2681 ],
- "I1": [ 2682 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2781 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2688 ],
- "I1": [ 2689 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2782 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2695 ],
- "I1": [ 2696 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2783 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2702 ],
- "I1": [ 2703 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2784 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2709 ],
- "I1": [ 2710 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2785 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2716 ],
- "I1": [ 2717 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2786 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2723 ],
- "I1": [ 2724 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2787 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2730 ],
- "I1": [ 2731 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2788 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2737 ],
- "I1": [ 2738 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2789 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2744 ],
- "I1": [ 2745 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2790 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2751 ],
- "I1": [ 2752 ],
- "I2": [ 2667 ],
- "I3": [ "0" ],
- "O": [ 2791 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2759 ],
- "I2": [ 2662 ],
- "I3": [ 2667 ],
- "O": [ 2792 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57720
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2761 ],
- "I2": [ 2793 ],
- "I3": [ 2762 ],
- "O": [ 2794 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2667 ],
- "I1": [ 2795 ],
- "I2": [ 2796 ],
- "I3": [ 2644 ],
- "O": [ 2793 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19924
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2644 ],
- "I1": [ 2763 ],
- "I2": [ 2764 ],
- "I3": [ 2645 ],
- "O": [ 2795 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 1855 ],
- "I2": [ 2797 ],
- "I3": [ "0" ],
- "O": [ 2796 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5905
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2645 ],
- "I1": [ 2646 ],
- "I2": [ 347 ],
- "I3": [ 1920 ],
- "O": [ 2797 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 56788
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1687 ],
- "I1": [ 1688 ],
- "I2": [ 1685 ],
- "I3": [ 1686 ],
- "O": [ 2798 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2799 ],
- "I1": [ 2800 ],
- "I2": [ 2801 ],
- "I3": [ "0" ],
- "O": [ 2802 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1690 ],
- "I1": [ 1691 ],
- "I2": [ 1692 ],
- "I3": [ "0" ],
- "O": [ 2799 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2803 ],
- "I1": [ 2804 ],
- "I2": [ 2805 ],
- "I3": [ "0" ],
- "O": [ 2801 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1693 ],
- "I1": [ 1694 ],
- "I2": [ 1695 ],
- "I3": [ "0" ],
- "O": [ 2803 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2806 ],
- "I1": [ 2807 ],
- "I2": [ 2808 ],
- "I3": [ "0" ],
- "O": [ 2804 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1697 ],
- "I1": [ 347 ],
- "I2": [ 1698 ],
- "I3": [ 1696 ],
- "O": [ 2806 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2288 ],
- "I2": [ 2809 ],
- "I3": [ 2810 ],
- "O": [ 2807 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2811 ],
- "I1": [ 2812 ],
- "I2": [ 2813 ],
- "I3": [ "0" ],
- "O": [ 2808 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2814 ],
- "I1": [ 2815 ],
- "I2": [ 2816 ],
- "I3": [ "0" ],
- "O": [ 2805 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1705 ],
- "I1": [ 1706 ],
- "I2": [ 1707 ],
- "I3": [ "0" ],
- "O": [ 2814 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1699 ],
- "I1": [ 1700 ],
- "I2": [ 1701 ],
- "I3": [ "0" ],
- "O": [ 2815 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2817 ],
- "I1": [ 2818 ],
- "I2": [ 2819 ],
- "I3": [ "0" ],
- "O": [ 2816 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1702 ],
- "I1": [ 1704 ],
- "I2": [ 1703 ],
- "I3": [ "0" ],
- "O": [ 2800 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2821 ],
- "I2": [ 2822 ],
- "I3": [ "0" ],
- "O": [ 2823 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2803 ],
- "I1": [ 2804 ],
- "I2": [ 2805 ],
- "I3": [ "0" ],
- "O": [ 2820 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2824 ],
- "I1": [ 2825 ],
- "I2": [ 2826 ],
- "I3": [ "0" ],
- "O": [ 2822 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2806 ],
- "I1": [ 2807 ],
- "I2": [ 2808 ],
- "I3": [ "0" ],
- "O": [ 2824 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2827 ],
- "I1": [ 2828 ],
- "I2": [ 2829 ],
- "I3": [ "0" ],
- "O": [ 2825 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2809 ],
- "I1": [ 347 ],
- "I2": [ 2810 ],
- "I3": [ 2288 ],
- "O": [ 2827 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2282 ],
- "I2": [ 2830 ],
- "I3": [ 2831 ],
- "O": [ 2828 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2832 ],
- "I1": [ 2833 ],
- "I2": [ 2834 ],
- "I3": [ "0" ],
- "O": [ 2829 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2835 ],
- "I1": [ 2836 ],
- "I2": [ 2837 ],
- "I3": [ "0" ],
- "O": [ 2826 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2817 ],
- "I1": [ 2818 ],
- "I2": [ 2819 ],
- "I3": [ "0" ],
- "O": [ 2835 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2811 ],
- "I1": [ 2812 ],
- "I2": [ 2813 ],
- "I3": [ "0" ],
- "O": [ 2836 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2838 ],
- "I1": [ 2839 ],
- "I2": [ 2840 ],
- "I3": [ "0" ],
- "O": [ 2837 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2814 ],
- "I1": [ 2816 ],
- "I2": [ 2815 ],
- "I3": [ "0" ],
- "O": [ 2821 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2841 ],
- "I1": [ 2842 ],
- "I2": [ 2843 ],
- "I3": [ "0" ],
- "O": [ 2844 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2824 ],
- "I1": [ 2825 ],
- "I2": [ 2826 ],
- "I3": [ "0" ],
- "O": [ 2841 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2845 ],
- "I1": [ 2846 ],
- "I2": [ 2847 ],
- "I3": [ "0" ],
- "O": [ 2843 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2827 ],
- "I1": [ 2828 ],
- "I2": [ 2829 ],
- "I3": [ "0" ],
- "O": [ 2845 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2848 ],
- "I1": [ 2849 ],
- "I2": [ 2850 ],
- "I3": [ "0" ],
- "O": [ 2846 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2830 ],
- "I1": [ 347 ],
- "I2": [ 2831 ],
- "I3": [ 2282 ],
- "O": [ 2848 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2283 ],
- "I2": [ 2851 ],
- "I3": [ 2852 ],
- "O": [ 2849 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2853 ],
- "I1": [ 2854 ],
- "I2": [ 2855 ],
- "I3": [ "0" ],
- "O": [ 2850 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2856 ],
- "I1": [ 2857 ],
- "I2": [ 2858 ],
- "I3": [ "0" ],
- "O": [ 2847 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2838 ],
- "I1": [ 2839 ],
- "I2": [ 2840 ],
- "I3": [ "0" ],
- "O": [ 2856 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2832 ],
- "I1": [ 2833 ],
- "I2": [ 2834 ],
- "I3": [ "0" ],
- "O": [ 2857 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2859 ],
- "I1": [ 2860 ],
- "I2": [ 2861 ],
- "I3": [ "0" ],
- "O": [ 2858 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2835 ],
- "I1": [ 2837 ],
- "I2": [ 2836 ],
- "I3": [ "0" ],
- "O": [ 2842 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2862 ],
- "I1": [ 2863 ],
- "I2": [ 2864 ],
- "I3": [ "0" ],
- "O": [ 2865 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2845 ],
- "I1": [ 2846 ],
- "I2": [ 2847 ],
- "I3": [ "0" ],
- "O": [ 2862 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2866 ],
- "I1": [ 2867 ],
- "I2": [ 2868 ],
- "I3": [ "0" ],
- "O": [ 2864 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2848 ],
- "I1": [ 2849 ],
- "I2": [ 2850 ],
- "I3": [ "0" ],
- "O": [ 2866 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2869 ],
- "I1": [ 2870 ],
- "I2": [ 2871 ],
- "I3": [ "0" ],
- "O": [ 2867 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2851 ],
- "I1": [ 347 ],
- "I2": [ 2852 ],
- "I3": [ 2283 ],
- "O": [ 2869 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2285 ],
- "I2": [ 2872 ],
- "I3": [ 2873 ],
- "O": [ 2870 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2874 ],
- "I1": [ 2875 ],
- "I2": [ 2876 ],
- "I3": [ "0" ],
- "O": [ 2871 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2877 ],
- "I1": [ 2878 ],
- "I2": [ 2879 ],
- "I3": [ "0" ],
- "O": [ 2868 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2859 ],
- "I1": [ 2860 ],
- "I2": [ 2861 ],
- "I3": [ "0" ],
- "O": [ 2877 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2853 ],
- "I1": [ 2854 ],
- "I2": [ 2855 ],
- "I3": [ "0" ],
- "O": [ 2878 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2880 ],
- "I1": [ 2881 ],
- "I2": [ 2882 ],
- "I3": [ "0" ],
- "O": [ 2879 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2856 ],
- "I1": [ 2858 ],
- "I2": [ 2857 ],
- "I3": [ "0" ],
- "O": [ 2863 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2883 ],
- "I1": [ 2884 ],
- "I2": [ 2885 ],
- "I3": [ "0" ],
- "O": [ 2886 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2866 ],
- "I1": [ 2867 ],
- "I2": [ 2868 ],
- "I3": [ "0" ],
- "O": [ 2883 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 2888 ],
- "I2": [ 2889 ],
- "I3": [ "0" ],
- "O": [ 2885 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2869 ],
- "I1": [ 2870 ],
- "I2": [ 2871 ],
- "I3": [ "0" ],
- "O": [ 2887 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2890 ],
- "I1": [ 2891 ],
- "I2": [ 2892 ],
- "I3": [ "0" ],
- "O": [ 2888 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2872 ],
- "I1": [ 347 ],
- "I2": [ 2873 ],
- "I3": [ 2285 ],
- "O": [ 2890 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2263 ],
- "I2": [ 2893 ],
- "I3": [ 2894 ],
- "O": [ 2891 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2895 ],
- "I1": [ 2896 ],
- "I2": [ 2897 ],
- "I3": [ "0" ],
- "O": [ 2892 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2898 ],
- "I1": [ 2899 ],
- "I2": [ 2900 ],
- "I3": [ "0" ],
- "O": [ 2889 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2880 ],
- "I1": [ 2881 ],
- "I2": [ 2882 ],
- "I3": [ "0" ],
- "O": [ 2898 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2874 ],
- "I1": [ 2875 ],
- "I2": [ 2876 ],
- "I3": [ "0" ],
- "O": [ 2899 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2901 ],
- "I1": [ 2902 ],
- "I2": [ 2903 ],
- "I3": [ "0" ],
- "O": [ 2900 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2877 ],
- "I1": [ 2879 ],
- "I2": [ 2878 ],
- "I3": [ "0" ],
- "O": [ 2884 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2904 ],
- "I1": [ 2905 ],
- "I2": [ 2906 ],
- "I3": [ "0" ],
- "O": [ 2907 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 2888 ],
- "I2": [ 2889 ],
- "I3": [ "0" ],
- "O": [ 2904 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2908 ],
- "I1": [ 2909 ],
- "I2": [ 2910 ],
- "I3": [ "0" ],
- "O": [ 2906 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2890 ],
- "I1": [ 2891 ],
- "I2": [ 2892 ],
- "I3": [ "0" ],
- "O": [ 2908 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2911 ],
- "I1": [ 2912 ],
- "I2": [ 2913 ],
- "I3": [ "0" ],
- "O": [ 2909 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2893 ],
- "I1": [ 347 ],
- "I2": [ 2894 ],
- "I3": [ 2263 ],
- "O": [ 2911 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2211 ],
- "I2": [ 2914 ],
- "I3": [ 2915 ],
- "O": [ 2912 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2916 ],
- "I1": [ 2917 ],
- "I2": [ 2918 ],
- "I3": [ "0" ],
- "O": [ 2913 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2919 ],
- "I1": [ 2920 ],
- "I2": [ 2921 ],
- "I3": [ "0" ],
- "O": [ 2910 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2901 ],
- "I1": [ 2902 ],
- "I2": [ 2903 ],
- "I3": [ "0" ],
- "O": [ 2919 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2895 ],
- "I1": [ 2896 ],
- "I2": [ 2897 ],
- "I3": [ "0" ],
- "O": [ 2920 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2922 ],
- "I1": [ 2923 ],
- "I2": [ 2924 ],
- "I3": [ "0" ],
- "O": [ 2921 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2898 ],
- "I1": [ 2900 ],
- "I2": [ 2899 ],
- "I3": [ "0" ],
- "O": [ 2905 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2925 ],
- "I1": [ 2926 ],
- "I2": [ 2927 ],
- "I3": [ "0" ],
- "O": [ 2928 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2908 ],
- "I1": [ 2909 ],
- "I2": [ 2910 ],
- "I3": [ "0" ],
- "O": [ 2925 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2929 ],
- "I1": [ 2930 ],
- "I2": [ 2931 ],
- "I3": [ "0" ],
- "O": [ 2927 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2911 ],
- "I1": [ 2912 ],
- "I2": [ 2913 ],
- "I3": [ "0" ],
- "O": [ 2929 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2932 ],
- "I1": [ 2933 ],
- "I2": [ 2934 ],
- "I3": [ "0" ],
- "O": [ 2930 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 347 ],
- "I2": [ 2915 ],
- "I3": [ 2211 ],
- "O": [ 2932 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2212 ],
- "I2": [ 2935 ],
- "I3": [ 2936 ],
- "O": [ 2933 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2937 ],
- "I1": [ 2938 ],
- "I2": [ 2939 ],
- "I3": [ "0" ],
- "O": [ 2934 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2940 ],
- "I1": [ 2941 ],
- "I2": [ 2942 ],
- "I3": [ "0" ],
- "O": [ 2931 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2922 ],
- "I1": [ 2923 ],
- "I2": [ 2924 ],
- "I3": [ "0" ],
- "O": [ 2940 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2916 ],
- "I1": [ 2917 ],
- "I2": [ 2918 ],
- "I3": [ "0" ],
- "O": [ 2941 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2943 ],
- "I1": [ 2944 ],
- "I2": [ 2945 ],
- "I3": [ "0" ],
- "O": [ 2942 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2919 ],
- "I1": [ 2921 ],
- "I2": [ 2920 ],
- "I3": [ "0" ],
- "O": [ 2926 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2946 ],
- "I1": [ 2947 ],
- "I2": [ 2948 ],
- "I3": [ "0" ],
- "O": [ 2949 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2929 ],
- "I1": [ 2930 ],
- "I2": [ 2931 ],
- "I3": [ "0" ],
- "O": [ 2946 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2950 ],
- "I1": [ 2951 ],
- "I2": [ 2952 ],
- "I3": [ "0" ],
- "O": [ 2948 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2932 ],
- "I1": [ 2933 ],
- "I2": [ 2934 ],
- "I3": [ "0" ],
- "O": [ 2950 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2953 ],
- "I1": [ 2954 ],
- "I2": [ 2955 ],
- "I3": [ "0" ],
- "O": [ 2951 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2935 ],
- "I1": [ 347 ],
- "I2": [ 2936 ],
- "I3": [ 2212 ],
- "O": [ 2953 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2215 ],
- "I2": [ 2956 ],
- "I3": [ 2957 ],
- "O": [ 2954 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2958 ],
- "I1": [ 2959 ],
- "I2": [ 2960 ],
- "I3": [ "0" ],
- "O": [ 2955 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2961 ],
- "I1": [ 2962 ],
- "I2": [ 2963 ],
- "I3": [ "0" ],
- "O": [ 2952 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2943 ],
- "I1": [ 2944 ],
- "I2": [ 2945 ],
- "I3": [ "0" ],
- "O": [ 2961 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2937 ],
- "I1": [ 2938 ],
- "I2": [ 2939 ],
- "I3": [ "0" ],
- "O": [ 2962 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2964 ],
- "I1": [ 2965 ],
- "I2": [ 2966 ],
- "I3": [ "0" ],
- "O": [ 2963 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2940 ],
- "I1": [ 2942 ],
- "I2": [ 2941 ],
- "I3": [ "0" ],
- "O": [ 2947 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2967 ],
- "I1": [ 2968 ],
- "I2": [ 2969 ],
- "I3": [ "0" ],
- "O": [ 2970 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2950 ],
- "I1": [ 2951 ],
- "I2": [ 2952 ],
- "I3": [ "0" ],
- "O": [ 2967 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2971 ],
- "I1": [ 2972 ],
- "I2": [ 2973 ],
- "I3": [ "0" ],
- "O": [ 2969 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2953 ],
- "I1": [ 2954 ],
- "I2": [ 2955 ],
- "I3": [ "0" ],
- "O": [ 2971 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2974 ],
- "I1": [ 2975 ],
- "I2": [ 2976 ],
- "I3": [ "0" ],
- "O": [ 2972 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2956 ],
- "I1": [ 347 ],
- "I2": [ 2957 ],
- "I3": [ 2215 ],
- "O": [ 2974 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2216 ],
- "I2": [ 2977 ],
- "I3": [ 2978 ],
- "O": [ 2975 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2979 ],
- "I1": [ 2980 ],
- "I2": [ 2981 ],
- "I3": [ "0" ],
- "O": [ 2976 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2982 ],
- "I1": [ 2983 ],
- "I2": [ 2984 ],
- "I3": [ "0" ],
- "O": [ 2973 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2964 ],
- "I1": [ 2965 ],
- "I2": [ 2966 ],
- "I3": [ "0" ],
- "O": [ 2982 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2958 ],
- "I1": [ 2959 ],
- "I2": [ 2960 ],
- "I3": [ "0" ],
- "O": [ 2983 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2985 ],
- "I1": [ 2986 ],
- "I2": [ 2987 ],
- "I3": [ "0" ],
- "O": [ 2984 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2961 ],
- "I1": [ 2963 ],
- "I2": [ 2962 ],
- "I3": [ "0" ],
- "O": [ 2968 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2988 ],
- "I1": [ 2989 ],
- "I2": [ 2990 ],
- "I3": [ "0" ],
- "O": [ 2991 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2971 ],
- "I1": [ 2972 ],
- "I2": [ 2973 ],
- "I3": [ "0" ],
- "O": [ 2988 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2992 ],
- "I1": [ 2993 ],
- "I2": [ 2994 ],
- "I3": [ "0" ],
- "O": [ 2990 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2974 ],
- "I1": [ 2975 ],
- "I2": [ 2976 ],
- "I3": [ "0" ],
- "O": [ 2992 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2995 ],
- "I1": [ 2996 ],
- "I2": [ 2997 ],
- "I3": [ "0" ],
- "O": [ 2993 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2977 ],
- "I1": [ 347 ],
- "I2": [ 2978 ],
- "I3": [ 2216 ],
- "O": [ 2995 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2221 ],
- "I2": [ 2998 ],
- "I3": [ 2999 ],
- "O": [ 2996 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3000 ],
- "I1": [ 3001 ],
- "I2": [ 3002 ],
- "I3": [ "0" ],
- "O": [ 2997 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3003 ],
- "I1": [ 3004 ],
- "I2": [ 3005 ],
- "I3": [ "0" ],
- "O": [ 2994 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2985 ],
- "I1": [ 2986 ],
- "I2": [ 2987 ],
- "I3": [ "0" ],
- "O": [ 3003 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2979 ],
- "I1": [ 2980 ],
- "I2": [ 2981 ],
- "I3": [ "0" ],
- "O": [ 3004 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3006 ],
- "I1": [ 3007 ],
- "I2": [ 3008 ],
- "I3": [ "0" ],
- "O": [ 3005 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2982 ],
- "I1": [ 2984 ],
- "I2": [ 2983 ],
- "I3": [ "0" ],
- "O": [ 2989 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3009 ],
- "I1": [ 3010 ],
- "I2": [ 3011 ],
- "I3": [ "0" ],
- "O": [ 3012 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2992 ],
- "I1": [ 2993 ],
- "I2": [ 2994 ],
- "I3": [ "0" ],
- "O": [ 3009 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3013 ],
- "I1": [ 3014 ],
- "I2": [ 3015 ],
- "I3": [ "0" ],
- "O": [ 3011 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2995 ],
- "I1": [ 2996 ],
- "I2": [ 2997 ],
- "I3": [ "0" ],
- "O": [ 3013 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3016 ],
- "I1": [ 3017 ],
- "I2": [ 3018 ],
- "I3": [ "0" ],
- "O": [ 3014 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2998 ],
- "I1": [ 347 ],
- "I2": [ 2999 ],
- "I3": [ 2221 ],
- "O": [ 3016 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2222 ],
- "I2": [ 3019 ],
- "I3": [ 3020 ],
- "O": [ 3017 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3021 ],
- "I1": [ 3022 ],
- "I2": [ 3023 ],
- "I3": [ "0" ],
- "O": [ 3018 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3024 ],
- "I1": [ 3025 ],
- "I2": [ 3026 ],
- "I3": [ "0" ],
- "O": [ 3015 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3006 ],
- "I1": [ 3007 ],
- "I2": [ 3008 ],
- "I3": [ "0" ],
- "O": [ 3024 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3000 ],
- "I1": [ 3001 ],
- "I2": [ 3002 ],
- "I3": [ "0" ],
- "O": [ 3025 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3027 ],
- "I1": [ 3028 ],
- "I2": [ 3029 ],
- "I3": [ "0" ],
- "O": [ 3026 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3003 ],
- "I1": [ 3005 ],
- "I2": [ 3004 ],
- "I3": [ "0" ],
- "O": [ 3010 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3030 ],
- "I1": [ 3031 ],
- "I2": [ 3032 ],
- "I3": [ "0" ],
- "O": [ 3033 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3013 ],
- "I1": [ 3014 ],
- "I2": [ 3015 ],
- "I3": [ "0" ],
- "O": [ 3030 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3034 ],
- "I1": [ 3035 ],
- "I2": [ 3036 ],
- "I3": [ "0" ],
- "O": [ 3032 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3016 ],
- "I1": [ 3017 ],
- "I2": [ 3018 ],
- "I3": [ "0" ],
- "O": [ 3034 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3037 ],
- "I1": [ 3038 ],
- "I2": [ 3039 ],
- "I3": [ "0" ],
- "O": [ 3035 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3019 ],
- "I1": [ 347 ],
- "I2": [ 3020 ],
- "I3": [ 2222 ],
- "O": [ 3037 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2226 ],
- "I2": [ 3040 ],
- "I3": [ 3041 ],
- "O": [ 3038 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3043 ],
- "I2": [ 3044 ],
- "I3": [ "0" ],
- "O": [ 3039 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3045 ],
- "I1": [ 3046 ],
- "I2": [ 3047 ],
- "I3": [ "0" ],
- "O": [ 3036 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3027 ],
- "I1": [ 3028 ],
- "I2": [ 3029 ],
- "I3": [ "0" ],
- "O": [ 3045 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3021 ],
- "I1": [ 3022 ],
- "I2": [ 3023 ],
- "I3": [ "0" ],
- "O": [ 3046 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3048 ],
- "I1": [ 3049 ],
- "I2": [ 3050 ],
- "I3": [ "0" ],
- "O": [ 3047 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3024 ],
- "I1": [ 3026 ],
- "I2": [ 3025 ],
- "I3": [ "0" ],
- "O": [ 3031 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3051 ],
- "I1": [ 3052 ],
- "I2": [ 3053 ],
- "I3": [ "0" ],
- "O": [ 3054 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3034 ],
- "I1": [ 3035 ],
- "I2": [ 3036 ],
- "I3": [ "0" ],
- "O": [ 3051 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3055 ],
- "I1": [ 3056 ],
- "I2": [ 3057 ],
- "I3": [ "0" ],
- "O": [ 3053 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3037 ],
- "I1": [ 3038 ],
- "I2": [ 3039 ],
- "I3": [ "0" ],
- "O": [ 3055 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3058 ],
- "I1": [ 3059 ],
- "I2": [ 3060 ],
- "I3": [ "0" ],
- "O": [ 3056 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3040 ],
- "I1": [ 347 ],
- "I2": [ 3041 ],
- "I3": [ 2226 ],
- "O": [ 3058 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2225 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3059 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3063 ],
- "I1": [ 3064 ],
- "I2": [ 3065 ],
- "I3": [ "0" ],
- "O": [ 3060 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3066 ],
- "I1": [ 3067 ],
- "I2": [ 3068 ],
- "I3": [ "0" ],
- "O": [ 3057 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3048 ],
- "I1": [ 3049 ],
- "I2": [ 3050 ],
- "I3": [ "0" ],
- "O": [ 3066 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3043 ],
- "I2": [ 3044 ],
- "I3": [ "0" ],
- "O": [ 3067 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3069 ],
- "I1": [ 3070 ],
- "I2": [ 3071 ],
- "I3": [ "0" ],
- "O": [ 3068 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3045 ],
- "I1": [ 3047 ],
- "I2": [ 3046 ],
- "I3": [ "0" ],
- "O": [ 3052 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3072 ],
- "I1": [ 3073 ],
- "I2": [ 3074 ],
- "I3": [ "0" ],
- "O": [ 3075 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3055 ],
- "I1": [ 3056 ],
- "I2": [ 3057 ],
- "I3": [ "0" ],
- "O": [ 3072 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3076 ],
- "I1": [ 3077 ],
- "I2": [ 3078 ],
- "I3": [ "0" ],
- "O": [ 3074 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3058 ],
- "I1": [ 3059 ],
- "I2": [ 3060 ],
- "I3": [ "0" ],
- "O": [ 3076 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3079 ],
- "I2": [ 3080 ],
- "I3": [ "0" ],
- "O": [ 3077 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2225 ],
- "O": [ 3079 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2159 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3080 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30945
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3069 ],
- "I1": [ 3070 ],
- "I2": [ 3081 ],
- "I3": [ 3071 ],
- "O": [ 3078 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3063 ],
- "I1": [ 3064 ],
- "I2": [ 3065 ],
- "I3": [ "0" ],
- "O": [ 3081 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3066 ],
- "I1": [ 3068 ],
- "I2": [ 3067 ],
- "I3": [ "0" ],
- "O": [ 3073 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3082 ],
- "I1": [ 3083 ],
- "I2": [ 3084 ],
- "I3": [ "0" ],
- "O": [ 3085 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3076 ],
- "I1": [ 3077 ],
- "I2": [ 3078 ],
- "I3": [ "0" ],
- "O": [ 3082 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3086 ],
- "I2": [ 3087 ],
- "I3": [ "0" ],
- "O": [ 3084 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3080 ],
- "I2": [ 3079 ],
- "I3": [ "0" ],
- "O": [ 3086 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3088 ],
- "I2": [ 3089 ],
- "I3": [ "0" ],
- "O": [ 3087 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2159 ],
- "O": [ 3088 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2160 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3089 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32513
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3069 ],
- "I1": [ 3070 ],
- "I2": [ 3071 ],
- "I3": [ 3081 ],
- "O": [ 3083 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3090 ],
- "I1": [ 3083 ],
- "I2": [ 3091 ],
- "I3": [ "0" ],
- "O": [ 3092 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3087 ],
- "I2": [ 3086 ],
- "I3": [ "0" ],
- "O": [ 3090 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3093 ],
- "I2": [ 3094 ],
- "I3": [ "0" ],
- "O": [ 3091 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3089 ],
- "I2": [ 3088 ],
- "I3": [ "0" ],
- "O": [ 3093 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3095 ],
- "I2": [ 3096 ],
- "I3": [ "0" ],
- "O": [ 3094 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2160 ],
- "O": [ 3095 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2132 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3096 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3097 ],
- "I1": [ 3083 ],
- "I2": [ 3098 ],
- "I3": [ "0" ],
- "O": [ 3099 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3094 ],
- "I2": [ 3093 ],
- "I3": [ "0" ],
- "O": [ 3097 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3100 ],
- "I2": [ 3101 ],
- "I3": [ "0" ],
- "O": [ 3098 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3096 ],
- "I2": [ 3095 ],
- "I3": [ "0" ],
- "O": [ 3100 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3102 ],
- "I2": [ 3103 ],
- "I3": [ "0" ],
- "O": [ 3101 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2132 ],
- "O": [ 3102 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2133 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3103 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3104 ],
- "I1": [ 3083 ],
- "I2": [ 3105 ],
- "I3": [ "0" ],
- "O": [ 3106 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3101 ],
- "I2": [ 3100 ],
- "I3": [ "0" ],
- "O": [ 3104 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3107 ],
- "I2": [ 3108 ],
- "I3": [ "0" ],
- "O": [ 3105 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3103 ],
- "I2": [ 3102 ],
- "I3": [ "0" ],
- "O": [ 3107 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3109 ],
- "I2": [ 3110 ],
- "I3": [ "0" ],
- "O": [ 3108 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2133 ],
- "O": [ 3109 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2136 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3110 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3111 ],
- "I1": [ 3083 ],
- "I2": [ 3112 ],
- "I3": [ "0" ],
- "O": [ 3113 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3108 ],
- "I2": [ 3107 ],
- "I3": [ "0" ],
- "O": [ 3111 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3114 ],
- "I2": [ 3115 ],
- "I3": [ "0" ],
- "O": [ 3112 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3110 ],
- "I2": [ 3109 ],
- "I3": [ "0" ],
- "O": [ 3114 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3116 ],
- "I2": [ 3117 ],
- "I3": [ "0" ],
- "O": [ 3115 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2136 ],
- "O": [ 3116 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2137 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3117 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3118 ],
- "I1": [ 3083 ],
- "I2": [ 3119 ],
- "I3": [ "0" ],
- "O": [ 3120 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3115 ],
- "I2": [ 3114 ],
- "I3": [ "0" ],
- "O": [ 3118 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3121 ],
- "I2": [ 3122 ],
- "I3": [ "0" ],
- "O": [ 3119 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3117 ],
- "I2": [ 3116 ],
- "I3": [ "0" ],
- "O": [ 3121 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3123 ],
- "I2": [ 3124 ],
- "I3": [ "0" ],
- "O": [ 3122 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2137 ],
- "O": [ 3123 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2126 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3124 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3125 ],
- "I1": [ 3083 ],
- "I2": [ 3126 ],
- "I3": [ "0" ],
- "O": [ 3127 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3122 ],
- "I2": [ 3121 ],
- "I3": [ "0" ],
- "O": [ 3125 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3128 ],
- "I2": [ 3129 ],
- "I3": [ "0" ],
- "O": [ 3126 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3124 ],
- "I2": [ 3123 ],
- "I3": [ "0" ],
- "O": [ 3128 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3130 ],
- "I2": [ 3131 ],
- "I3": [ "0" ],
- "O": [ 3129 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2126 ],
- "O": [ 3130 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2127 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3131 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3132 ],
- "I1": [ 3083 ],
- "I2": [ 3133 ],
- "I3": [ "0" ],
- "O": [ 3134 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3129 ],
- "I2": [ 3128 ],
- "I3": [ "0" ],
- "O": [ 3132 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3135 ],
- "I2": [ 3136 ],
- "I3": [ "0" ],
- "O": [ 3133 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3131 ],
- "I2": [ 3130 ],
- "I3": [ "0" ],
- "O": [ 3135 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3137 ],
- "I2": [ 3138 ],
- "I3": [ "0" ],
- "O": [ 3136 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2127 ],
- "O": [ 3137 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2122 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3138 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3139 ],
- "I1": [ 3083 ],
- "I2": [ 3140 ],
- "I3": [ "0" ],
- "O": [ 3141 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3136 ],
- "I2": [ 3135 ],
- "I3": [ "0" ],
- "O": [ 3139 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3142 ],
- "I2": [ 3143 ],
- "I3": [ "0" ],
- "O": [ 3140 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3138 ],
- "I2": [ 3137 ],
- "I3": [ "0" ],
- "O": [ 3142 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3144 ],
- "I2": [ 3145 ],
- "I3": [ "0" ],
- "O": [ 3143 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2122 ],
- "O": [ 3144 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2123 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3145 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3146 ],
- "I1": [ 3083 ],
- "I2": [ 3147 ],
- "I3": [ "0" ],
- "O": [ 3148 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3143 ],
- "I2": [ 3142 ],
- "I3": [ "0" ],
- "O": [ 3146 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3149 ],
- "I2": [ 3150 ],
- "I3": [ "0" ],
- "O": [ 3147 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3145 ],
- "I2": [ 3144 ],
- "I3": [ "0" ],
- "O": [ 3149 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3151 ],
- "I2": [ 3152 ],
- "I3": [ "0" ],
- "O": [ 3150 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2123 ],
- "O": [ 3151 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2142 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3152 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3153 ],
- "I1": [ 3083 ],
- "I2": [ 3154 ],
- "I3": [ "0" ],
- "O": [ 3155 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3150 ],
- "I2": [ 3149 ],
- "I3": [ "0" ],
- "O": [ 3153 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3156 ],
- "I2": [ 3157 ],
- "I3": [ "0" ],
- "O": [ 3154 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3152 ],
- "I2": [ 3151 ],
- "I3": [ "0" ],
- "O": [ 3156 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3158 ],
- "I2": [ 3159 ],
- "I3": [ "0" ],
- "O": [ 3157 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2142 ],
- "O": [ 3158 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2143 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3159 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3160 ],
- "I1": [ 3083 ],
- "I2": [ 3161 ],
- "I3": [ "0" ],
- "O": [ 3162 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3157 ],
- "I2": [ 3156 ],
- "I3": [ "0" ],
- "O": [ 3160 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3163 ],
- "I2": [ 3164 ],
- "I3": [ "0" ],
- "O": [ 3161 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3159 ],
- "I2": [ 3158 ],
- "I3": [ "0" ],
- "O": [ 3163 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3165 ],
- "I2": [ 3166 ],
- "I3": [ "0" ],
- "O": [ 3164 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2143 ],
- "O": [ 3165 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2146 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3166 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3167 ],
- "I1": [ 3083 ],
- "I2": [ 3168 ],
- "I3": [ "0" ],
- "O": [ 3169 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3164 ],
- "I2": [ 3163 ],
- "I3": [ "0" ],
- "O": [ 3167 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3170 ],
- "I2": [ 3171 ],
- "I3": [ "0" ],
- "O": [ 3168 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3166 ],
- "I2": [ 3165 ],
- "I3": [ "0" ],
- "O": [ 3170 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3172 ],
- "I2": [ 3173 ],
- "I3": [ "0" ],
- "O": [ 3171 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2146 ],
- "O": [ 3172 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2147 ],
- "I2": [ 3061 ],
- "I3": [ 3062 ],
- "O": [ 3173 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3174 ],
- "I1": [ 3083 ],
- "I2": [ 3175 ],
- "I3": [ "0" ],
- "O": [ 3176 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3171 ],
- "I2": [ 3170 ],
- "I3": [ "0" ],
- "O": [ 3174 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3177 ],
- "I2": [ 3178 ],
- "I3": [ "0" ],
- "O": [ 3175 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3173 ],
- "I2": [ 3172 ],
- "I3": [ "0" ],
- "O": [ 3177 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3179 ],
- "I2": [ 3180 ],
- "I3": [ 3061 ],
- "O": [ 3178 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 347 ],
- "I2": [ 3062 ],
- "I3": [ 2147 ],
- "O": [ 3179 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2149 ],
- "I2": [ 3062 ],
- "I3": [ "0" ],
- "O": [ 3180 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2799 ],
- "I1": [ 2801 ],
- "I2": [ 2800 ],
- "I3": [ "0" ],
- "O": [ 3181 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2822 ],
- "I2": [ 2821 ],
- "I3": [ "0" ],
- "O": [ 3182 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2841 ],
- "I1": [ 2843 ],
- "I2": [ 2842 ],
- "I3": [ "0" ],
- "O": [ 3183 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2862 ],
- "I1": [ 2864 ],
- "I2": [ 2863 ],
- "I3": [ "0" ],
- "O": [ 3184 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2883 ],
- "I1": [ 2885 ],
- "I2": [ 2884 ],
- "I3": [ "0" ],
- "O": [ 3185 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2904 ],
- "I1": [ 2906 ],
- "I2": [ 2905 ],
- "I3": [ "0" ],
- "O": [ 3186 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2925 ],
- "I1": [ 2927 ],
- "I2": [ 2926 ],
- "I3": [ "0" ],
- "O": [ 3187 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2946 ],
- "I1": [ 2948 ],
- "I2": [ 2947 ],
- "I3": [ "0" ],
- "O": [ 3188 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2967 ],
- "I1": [ 2969 ],
- "I2": [ 2968 ],
- "I3": [ "0" ],
- "O": [ 3189 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2988 ],
- "I1": [ 2990 ],
- "I2": [ 2989 ],
- "I3": [ "0" ],
- "O": [ 3190 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3009 ],
- "I1": [ 3011 ],
- "I2": [ 3010 ],
- "I3": [ "0" ],
- "O": [ 3191 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3030 ],
- "I1": [ 3032 ],
- "I2": [ 3031 ],
- "I3": [ "0" ],
- "O": [ 3192 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3051 ],
- "I1": [ 3053 ],
- "I2": [ 3052 ],
- "I3": [ "0" ],
- "O": [ 3193 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3072 ],
- "I1": [ 3074 ],
- "I2": [ 3073 ],
- "I3": [ "0" ],
- "O": [ 3194 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3082 ],
- "I1": [ 3084 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3195 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3090 ],
- "I1": [ 3091 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3196 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3097 ],
- "I1": [ 3098 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3197 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3104 ],
- "I1": [ 3105 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3198 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3111 ],
- "I1": [ 3112 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3199 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3118 ],
- "I1": [ 3119 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3200 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3125 ],
- "I1": [ 3126 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3201 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3132 ],
- "I1": [ 3133 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3202 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3139 ],
- "I1": [ 3140 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3203 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3146 ],
- "I1": [ 3147 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3204 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3153 ],
- "I1": [ 3154 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3205 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3160 ],
- "I1": [ 3161 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3206 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3167 ],
- "I1": [ 3168 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3207 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3174 ],
- "I1": [ 3175 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3208 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3209 ],
- "I1": [ 3083 ],
- "I2": [ 3210 ],
- "I3": [ 3211 ],
- "O": [ 3212 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3177 ],
- "I2": [ 3178 ],
- "I3": [ "0" ],
- "O": [ 3209 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19924
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3060 ],
- "I1": [ 3179 ],
- "I2": [ 3180 ],
- "I3": [ 3061 ],
- "O": [ 3210 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 347 ],
- "I1": [ 2097 ],
- "I2": [ 3060 ],
- "I3": [ 3213 ],
- "O": [ 3211 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5905
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3061 ],
- "I1": [ 3062 ],
- "I2": [ 347 ],
- "I3": [ 2149 ],
- "O": [ 3213 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2362 ],
- "I1": [ 2363 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3214 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 515 ],
- "I1": [ 2363 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3215 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ 3217 ],
- "I3": [ "0" ],
- "O": [ 3218 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ 3219 ],
- "I3": [ "0" ],
- "O": [ 3220 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ 3221 ],
- "I3": [ "0" ],
- "O": [ 3222 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ 3223 ],
- "I3": [ "0" ],
- "O": [ 3224 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ 3225 ],
- "I3": [ "0" ],
- "O": [ 3226 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ 3227 ],
- "I3": [ "0" ],
- "O": [ 3228 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ 3229 ],
- "I3": [ "0" ],
- "O": [ 3230 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3216 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3231 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3232 ],
- "I1": [ 358 ],
- "I2": [ 3233 ],
- "I3": [ "0" ],
- "O": [ 3234 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3232 ],
- "I1": [ 358 ],
- "I2": [ 3235 ],
- "I3": [ "0" ],
- "O": [ 3236 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3232 ],
- "I1": [ 358 ],
- "I2": [ 3237 ],
- "I3": [ "0" ],
- "O": [ 3238 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3232 ],
- "I1": [ 358 ],
- "I2": [ 3239 ],
- "I3": [ "0" ],
- "O": [ 3240 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3232 ],
- "I1": [ 358 ],
- "I2": [ 3241 ],
- "I3": [ "0" ],
- "O": [ 3242 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3232 ],
- "I1": [ 358 ],
- "I2": [ 3243 ],
- "I3": [ "0" ],
- "O": [ 3244 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3232 ],
- "I1": [ 358 ],
- "I2": [ 3245 ],
- "I3": [ "0" ],
- "O": [ 3246 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 3232 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3247 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 26 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3248 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3249 ],
- "I1": [ 3250 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3251 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3252 ],
- "I2": [ 3253 ],
- "I3": [ 142 ],
- "O": [ 3250 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3254 ],
- "I3": [ "0" ],
- "O": [ 3249 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3255 ],
- "I1": [ 3256 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3257 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3258 ],
- "I2": [ 3259 ],
- "I3": [ 142 ],
- "O": [ 3256 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3260 ],
- "I3": [ "0" ],
- "O": [ 3255 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3261 ],
- "I1": [ 3262 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3263 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3264 ],
- "I2": [ 3265 ],
- "I3": [ 142 ],
- "O": [ 3262 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3266 ],
- "I3": [ "0" ],
- "O": [ 3261 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3267 ],
- "I1": [ 3268 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3269 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3270 ],
- "I2": [ 3271 ],
- "I3": [ 142 ],
- "O": [ 3268 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3272 ],
- "I3": [ "0" ],
- "O": [ 3267 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3273 ],
- "I1": [ 3274 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3275 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3276 ],
- "I2": [ 3277 ],
- "I3": [ 142 ],
- "O": [ 3274 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3278 ],
- "I3": [ "0" ],
- "O": [ 3273 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3279 ],
- "I1": [ 3280 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3281 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3282 ],
- "I2": [ 3283 ],
- "I3": [ 142 ],
- "O": [ 3280 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3284 ],
- "I3": [ "0" ],
- "O": [ 3279 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3285 ],
- "I1": [ 3286 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3287 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3288 ],
- "I2": [ 3289 ],
- "I3": [ 142 ],
- "O": [ 3286 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3290 ],
- "I3": [ "0" ],
- "O": [ 3285 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3291 ],
- "I1": [ 3292 ],
- "I2": [ 135 ],
- "I3": [ 137 ],
- "O": [ 3293 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 3294 ],
- "I2": [ 3295 ],
- "I3": [ 142 ],
- "O": [ 3292 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 174 ],
- "I2": [ 3296 ],
- "I3": [ "0" ],
- "O": [ 3291 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3297 ],
- "I3": [ "0" ],
- "O": [ 3298 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3299 ],
- "I1": [ 3300 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3297 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3254 ],
- "I1": [ 3252 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3299 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3301 ],
- "I3": [ "0" ],
- "O": [ 3302 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3303 ],
- "I1": [ 3304 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3301 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3260 ],
- "I1": [ 3258 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3303 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3305 ],
- "I3": [ "0" ],
- "O": [ 3306 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3307 ],
- "I1": [ 3308 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3305 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3266 ],
- "I1": [ 3264 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3307 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3309 ],
- "I3": [ "0" ],
- "O": [ 3310 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3311 ],
- "I1": [ 3312 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3309 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3272 ],
- "I1": [ 3270 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3311 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3313 ],
- "I3": [ "0" ],
- "O": [ 3314 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3315 ],
- "I1": [ 3316 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3313 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3278 ],
- "I1": [ 3276 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3315 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3317 ],
- "I3": [ "0" ],
- "O": [ 3318 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3319 ],
- "I1": [ 3320 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3317 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3284 ],
- "I1": [ 3282 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3319 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3321 ],
- "I3": [ "0" ],
- "O": [ 3322 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3323 ],
- "I1": [ 3324 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3321 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3290 ],
- "I1": [ 3288 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3323 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 137 ],
- "I2": [ 3325 ],
- "I3": [ "0" ],
- "O": [ 3326 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3327 ],
- "I1": [ 3328 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 3325 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3296 ],
- "I1": [ 3294 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 3327 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3250 ],
- "I1": [ 137 ],
- "I2": [ 3329 ],
- "I3": [ 135 ],
- "O": [ 3330 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3249 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3329 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3256 ],
- "I1": [ 137 ],
- "I2": [ 3331 ],
- "I3": [ 135 ],
- "O": [ 3332 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3255 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3331 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3262 ],
- "I1": [ 137 ],
- "I2": [ 3333 ],
- "I3": [ 135 ],
- "O": [ 3334 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3261 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3333 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3268 ],
- "I1": [ 137 ],
- "I2": [ 3335 ],
- "I3": [ 135 ],
- "O": [ 3336 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3267 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3335 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3274 ],
- "I1": [ 137 ],
- "I2": [ 3337 ],
- "I3": [ 135 ],
- "O": [ 3338 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3273 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3337 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3280 ],
- "I1": [ 137 ],
- "I2": [ 3339 ],
- "I3": [ 135 ],
- "O": [ 3340 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3279 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3339 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3286 ],
- "I1": [ 137 ],
- "I2": [ 3341 ],
- "I3": [ 135 ],
- "O": [ 3342 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3285 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3341 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3292 ],
- "I1": [ 137 ],
- "I2": [ 3343 ],
- "I3": [ 135 ],
- "O": [ 3344 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3291 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3343 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3345 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3301 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3346 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3305 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3347 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3309 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3348 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3313 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3349 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3317 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3350 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3321 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3351 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3325 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3352 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3249 ],
- "I1": [ 3250 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3353 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3255 ],
- "I1": [ 3256 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3354 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3261 ],
- "I1": [ 3262 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3355 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3267 ],
- "I1": [ 3268 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3356 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3273 ],
- "I1": [ 3274 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3357 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3279 ],
- "I1": [ 3280 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3358 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3285 ],
- "I1": [ 3286 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3359 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3291 ],
- "I1": [ 3292 ],
- "I2": [ 137 ],
- "I3": [ 135 ],
- "O": [ 3360 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3297 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3361 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3301 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3362 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3305 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3363 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3309 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3364 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3313 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3365 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3317 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3366 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3321 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3367 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 3325 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 3368 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3250 ],
- "I1": [ 137 ],
- "I2": [ 3329 ],
- "I3": [ 135 ],
- "O": [ 3369 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3256 ],
- "I1": [ 137 ],
- "I2": [ 3331 ],
- "I3": [ 135 ],
- "O": [ 3370 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3262 ],
- "I1": [ 137 ],
- "I2": [ 3333 ],
- "I3": [ 135 ],
- "O": [ 3371 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3268 ],
- "I1": [ 137 ],
- "I2": [ 3335 ],
- "I3": [ 135 ],
- "O": [ 3372 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3274 ],
- "I1": [ 137 ],
- "I2": [ 3337 ],
- "I3": [ 135 ],
- "O": [ 3373 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3280 ],
- "I1": [ 137 ],
- "I2": [ 3339 ],
- "I3": [ 135 ],
- "O": [ 3374 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3286 ],
- "I1": [ 137 ],
- "I2": [ 3341 ],
- "I3": [ 135 ],
- "O": [ 3375 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3292 ],
- "I1": [ 137 ],
- "I2": [ 3343 ],
- "I3": [ 135 ],
- "O": [ 3376 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3297 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3377 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3301 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3378 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3305 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3379 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3309 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3380 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3313 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3381 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3317 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3382 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3321 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3383 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 3325 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 3384 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3385 ],
- "I1": [ 3386 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3388 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3389 ],
- "I1": [ 3390 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3386 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3392 ],
- "I1": [ 3393 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3385 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3394 ],
- "I1": [ 3395 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3396 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3397 ],
- "I1": [ 3398 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3395 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3399 ],
- "I1": [ 3400 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3394 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3401 ],
- "I1": [ 3402 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3403 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3404 ],
- "I1": [ 3405 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3402 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3406 ],
- "I1": [ 3407 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3401 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3408 ],
- "I1": [ 3409 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3410 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3411 ],
- "I1": [ 3412 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3409 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3413 ],
- "I1": [ 3414 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3408 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3415 ],
- "I1": [ 3416 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3417 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3418 ],
- "I1": [ 3419 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3416 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3420 ],
- "I1": [ 3421 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3415 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3422 ],
- "I1": [ 3423 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3424 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3425 ],
- "I1": [ 3426 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3423 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3427 ],
- "I1": [ 3428 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3422 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3429 ],
- "I1": [ 3430 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3431 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3432 ],
- "I1": [ 3433 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3430 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3434 ],
- "I1": [ 3435 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3429 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3436 ],
- "I1": [ 3437 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3438 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3439 ],
- "I1": [ 3440 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3437 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3441 ],
- "I1": [ 3442 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3436 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3443 ],
- "I1": [ 3444 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3445 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3446 ],
- "I1": [ 3447 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3444 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3448 ],
- "I1": [ 3449 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3443 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3450 ],
- "I1": [ 3451 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3452 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3453 ],
- "I1": [ 3454 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3451 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3455 ],
- "I1": [ 3456 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3450 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3457 ],
- "I1": [ 3458 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3459 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3460 ],
- "I1": [ 3461 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3458 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3462 ],
- "I1": [ 3463 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3457 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3464 ],
- "I1": [ 3465 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3466 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3467 ],
- "I1": [ 3468 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3465 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3469 ],
- "I1": [ 3470 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3464 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3471 ],
- "I1": [ 3472 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3473 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3474 ],
- "I1": [ 3475 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3472 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3476 ],
- "I1": [ 3477 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3471 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3478 ],
- "I1": [ 3479 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3480 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3481 ],
- "I1": [ 3482 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3479 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3483 ],
- "I1": [ 3484 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3478 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3485 ],
- "I1": [ 3486 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3487 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3488 ],
- "I1": [ 3489 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3486 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3490 ],
- "I1": [ 3491 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3485 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3492 ],
- "I1": [ 3493 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3494 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3495 ],
- "I1": [ 3496 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3493 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3497 ],
- "I1": [ 3498 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3492 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3499 ],
- "I1": [ 3500 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3501 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3390 ],
- "I1": [ 3393 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3500 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3389 ],
- "I1": [ 3392 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3499 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3502 ],
- "I1": [ 3503 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3504 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3398 ],
- "I1": [ 3399 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3503 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3400 ],
- "I1": [ 3397 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3502 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3505 ],
- "I1": [ 3506 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3507 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3405 ],
- "I1": [ 3406 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3506 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3407 ],
- "I1": [ 3404 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3505 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3508 ],
- "I1": [ 3509 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3510 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3412 ],
- "I1": [ 3413 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3509 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3414 ],
- "I1": [ 3411 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3508 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3511 ],
- "I1": [ 3512 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3513 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3419 ],
- "I1": [ 3420 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3512 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3421 ],
- "I1": [ 3418 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3511 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3514 ],
- "I1": [ 3515 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3516 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3426 ],
- "I1": [ 3427 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3515 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3428 ],
- "I1": [ 3425 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3514 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3517 ],
- "I1": [ 3518 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3519 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3433 ],
- "I1": [ 3434 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3518 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3435 ],
- "I1": [ 3432 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3517 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3520 ],
- "I1": [ 3521 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3522 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3440 ],
- "I1": [ 3441 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3521 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3442 ],
- "I1": [ 3439 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3520 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3523 ],
- "I1": [ 3524 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3525 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3447 ],
- "I1": [ 3448 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3524 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3449 ],
- "I1": [ 3446 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3523 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3526 ],
- "I1": [ 3527 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3528 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3454 ],
- "I1": [ 3455 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3527 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3456 ],
- "I1": [ 3453 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3526 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3529 ],
- "I1": [ 3530 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3531 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3461 ],
- "I1": [ 3462 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3530 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3463 ],
- "I1": [ 3460 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3529 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3532 ],
- "I1": [ 3533 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3534 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3468 ],
- "I1": [ 3469 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3533 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3470 ],
- "I1": [ 3467 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3532 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3535 ],
- "I1": [ 3536 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3537 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3475 ],
- "I1": [ 3476 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3536 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3477 ],
- "I1": [ 3474 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3535 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3538 ],
- "I1": [ 3539 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3540 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3482 ],
- "I1": [ 3483 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3539 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3484 ],
- "I1": [ 3481 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3538 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3541 ],
- "I1": [ 3542 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3543 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3489 ],
- "I1": [ 3490 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3542 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3491 ],
- "I1": [ 3488 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3541 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3544 ],
- "I1": [ 3545 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3546 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3496 ],
- "I1": [ 3497 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3545 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3498 ],
- "I1": [ 3495 ],
- "I2": [ 3391 ],
- "I3": [ "0" ],
- "O": [ 3544 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3385 ],
- "I1": [ 3386 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3547 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3394 ],
- "I1": [ 3395 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3548 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3401 ],
- "I1": [ 3402 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3549 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3408 ],
- "I1": [ 3409 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3550 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3415 ],
- "I1": [ 3416 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3551 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3422 ],
- "I1": [ 3423 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3552 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3429 ],
- "I1": [ 3430 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3553 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3436 ],
- "I1": [ 3437 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3554 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3443 ],
- "I1": [ 3444 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3555 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3450 ],
- "I1": [ 3451 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3556 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3457 ],
- "I1": [ 3458 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3557 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3464 ],
- "I1": [ 3465 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3558 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3471 ],
- "I1": [ 3472 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3559 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3478 ],
- "I1": [ 3479 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3560 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3485 ],
- "I1": [ 3486 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3561 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3492 ],
- "I1": [ 3493 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3562 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3499 ],
- "I1": [ 3500 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3563 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3502 ],
- "I1": [ 3503 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3564 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3505 ],
- "I1": [ 3506 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3565 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3508 ],
- "I1": [ 3509 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3566 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3511 ],
- "I1": [ 3512 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3567 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3514 ],
- "I1": [ 3515 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3568 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3517 ],
- "I1": [ 3518 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3569 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3520 ],
- "I1": [ 3521 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3570 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3523 ],
- "I1": [ 3524 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3571 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3526 ],
- "I1": [ 3527 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3572 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3529 ],
- "I1": [ 3530 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3573 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3532 ],
- "I1": [ 3533 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3574 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3535 ],
- "I1": [ 3536 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3575 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3538 ],
- "I1": [ 3539 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3576 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3541 ],
- "I1": [ 3542 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3577 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3544 ],
- "I1": [ 3545 ],
- "I2": [ 3387 ],
- "I3": [ "0" ],
- "O": [ 3578 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 11 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3579 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3580 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3581 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3582 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3583 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 257 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3584 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$26999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3585 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3586 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3587 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 310 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3588 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3589 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3590 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3591 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 373 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3592 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 377 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3593 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3594 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 390 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3595 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 247 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3596 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3597 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3598 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 248 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3599 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3600 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 393 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3601 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3602 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 35056
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 43 ],
- "I1": [ 50 ],
- "I2": [ 51 ],
- "I3": [ 30 ],
- "O": [ 3603 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 29 ],
- "I2": [ 23 ],
- "I3": [ 3603 ],
- "O": [ 27 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 377 ],
- "I1": [ 373 ],
- "I2": [ 382 ],
- "I3": [ 379 ],
- "O": [ 3604 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 366 ],
- "I2": [ 390 ],
- "I3": [ 388 ],
- "O": [ 3605 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 381 ],
- "I2": [ 393 ],
- "I3": [ 360 ],
- "O": [ 3606 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3604 ],
- "I1": [ 3605 ],
- "I2": [ 3606 ],
- "I3": [ 250 ],
- "O": [ 245 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14899
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3607 ],
- "I1": [ 530 ],
- "I2": [ 73 ],
- "I3": [ 61 ],
- "O": [ 3608 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 482 ],
- "I1": [ 481 ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 3609 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3610 ],
- "I1": [ 3608 ],
- "I2": [ 3609 ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4078
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 193 ],
- "I2": [ 1575 ],
- "I3": [ 194 ],
- "O": [ 3611 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 772 ],
- "I1": [ 3611 ],
- "I2": [ 3612 ],
- "I3": [ 11 ],
- "O": [ 3613 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 775 ],
- "I2": [ 1580 ],
- "I3": [ 194 ],
- "O": [ 3614 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 778 ],
- "I1": [ 3614 ],
- "I2": [ 3615 ],
- "I3": [ 11 ],
- "O": [ 3616 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3617 ],
- "I2": [ 1585 ],
- "I3": [ 194 ],
- "O": [ 3618 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 781 ],
- "I1": [ 3618 ],
- "I2": [ 3619 ],
- "I3": [ 11 ],
- "O": [ 3620 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3621 ],
- "I2": [ 1590 ],
- "I3": [ 194 ],
- "O": [ 3622 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 784 ],
- "I1": [ 3622 ],
- "I2": [ 3623 ],
- "I3": [ 11 ],
- "O": [ 3624 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3625 ],
- "I2": [ 1595 ],
- "I3": [ 194 ],
- "O": [ 3626 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 787 ],
- "I1": [ 3626 ],
- "I2": [ 3627 ],
- "I3": [ 11 ],
- "O": [ 3628 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3629 ],
- "I2": [ 1600 ],
- "I3": [ 194 ],
- "O": [ 3630 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 790 ],
- "I1": [ 3630 ],
- "I2": [ 3631 ],
- "I3": [ 11 ],
- "O": [ 3632 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3633 ],
- "I2": [ 1605 ],
- "I3": [ 194 ],
- "O": [ 3634 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 793 ],
- "I1": [ 3634 ],
- "I2": [ 3635 ],
- "I3": [ 11 ],
- "O": [ 3636 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3637 ],
- "I2": [ 1610 ],
- "I3": [ 194 ],
- "O": [ 3638 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 796 ],
- "I1": [ 3638 ],
- "I2": [ 3639 ],
- "I3": [ 11 ],
- "O": [ 3640 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3641 ],
- "I2": [ 1615 ],
- "I3": [ 194 ],
- "O": [ 3642 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 799 ],
- "I1": [ 3642 ],
- "I2": [ 3643 ],
- "I3": [ 11 ],
- "O": [ 3644 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3645 ],
- "I2": [ 1620 ],
- "I3": [ 194 ],
- "O": [ 3646 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 802 ],
- "I1": [ 3646 ],
- "I2": [ 3647 ],
- "I3": [ 11 ],
- "O": [ 3648 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3649 ],
- "I2": [ 1625 ],
- "I3": [ 194 ],
- "O": [ 3650 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 805 ],
- "I1": [ 3650 ],
- "I2": [ 3651 ],
- "I3": [ 11 ],
- "O": [ 3652 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3653 ],
- "I2": [ 1630 ],
- "I3": [ 194 ],
- "O": [ 3654 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 808 ],
- "I1": [ 3654 ],
- "I2": [ 3655 ],
- "I3": [ 11 ],
- "O": [ 3656 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3657 ],
- "I2": [ 1635 ],
- "I3": [ 194 ],
- "O": [ 3658 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 811 ],
- "I1": [ 3658 ],
- "I2": [ 3659 ],
- "I3": [ 11 ],
- "O": [ 3660 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3661 ],
- "I2": [ 1640 ],
- "I3": [ 194 ],
- "O": [ 3662 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 814 ],
- "I1": [ 3662 ],
- "I2": [ 3663 ],
- "I3": [ 11 ],
- "O": [ 3664 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 3665 ],
- "I2": [ 1645 ],
- "I3": [ 194 ],
- "O": [ 3666 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 817 ],
- "I1": [ 3666 ],
- "I2": [ 3667 ],
- "I3": [ 11 ],
- "O": [ 3668 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14899
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3669 ],
- "I1": [ 1139 ],
- "I2": [ 73 ],
- "I3": [ 61 ],
- "O": [ 3670 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 482 ],
- "I1": [ 481 ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 3671 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3672 ],
- "I1": [ 3670 ],
- "I2": [ 3671 ],
- "I3": [ "0" ],
- "O": [ 3673 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14899
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3674 ],
- "I1": [ 1142 ],
- "I2": [ 73 ],
- "I3": [ 61 ],
- "O": [ 3675 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 482 ],
- "I1": [ 481 ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 3676 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3677 ],
- "I1": [ 3675 ],
- "I2": [ 3676 ],
- "I3": [ "0" ],
- "O": [ 3678 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43580
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3679 ],
- "I1": [ 1655 ],
- "I2": [ 1683 ],
- "I3": [ 343 ],
- "O": [ 3680 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 3680 ],
- "I2": [ 3681 ],
- "I3": [ 1648 ],
- "O": [ 3682 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43580
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3683 ],
- "I1": [ 1684 ],
- "I2": [ 1689 ],
- "I3": [ 343 ],
- "O": [ 3684 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 3684 ],
- "I2": [ 3685 ],
- "I3": [ 1648 ],
- "O": [ 3686 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 49151
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2027 ],
- "I1": [ 1864 ],
- "I2": [ 2024 ],
- "I3": [ 2025 ],
- "O": [ 3687 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3687 ],
- "I1": [ 1921 ],
- "I2": [ 2050 ],
- "I3": [ 2052 ],
- "O": [ 3688 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57582
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1982 ],
- "I1": [ 1862 ],
- "I2": [ 2045 ],
- "I3": [ 3688 ],
- "O": [ 1856 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16288
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1967 ],
- "I1": [ 1855 ],
- "I2": [ 1871 ],
- "I3": [ 1872 ],
- "O": [ 3689 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1869 ],
- "I1": [ 1974 ],
- "I2": [ 1871 ],
- "I3": [ 3689 ],
- "O": [ 1970 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2070 ],
- "I1": [ 2075 ],
- "I2": [ 1948 ],
- "I3": [ 1922 ],
- "O": [ 3690 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13253
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3690 ],
- "I1": [ 1855 ],
- "I2": [ 1921 ],
- "I3": [ 1856 ],
- "O": [ 3691 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2093 ],
- "I1": [ 2094 ],
- "I2": [ 1947 ],
- "I3": [ 1922 ],
- "O": [ 3692 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13253
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3692 ],
- "I1": [ 1855 ],
- "I2": [ 1921 ],
- "I3": [ 1856 ],
- "O": [ 3693 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2095 ],
- "I1": [ 2096 ],
- "I2": [ 1976 ],
- "I3": [ 1922 ],
- "O": [ 3694 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13253
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3694 ],
- "I1": [ 1855 ],
- "I2": [ 1921 ],
- "I3": [ 1856 ],
- "O": [ 3695 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2167 ],
- "I1": [ 2164 ],
- "I2": [ 1875 ],
- "I3": [ 1872 ],
- "O": [ 3696 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 2165 ],
- "I2": [ 1875 ],
- "I3": [ 3696 ],
- "O": [ 2163 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2109 ],
- "I1": [ 2110 ],
- "I2": [ 2248 ],
- "I3": [ 1923 ],
- "O": [ 3697 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2198 ],
- "I1": [ 2199 ],
- "I2": [ 2253 ],
- "I3": [ 3697 ],
- "O": [ 3698 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2254 ],
- "I1": [ 2255 ],
- "I2": [ 2272 ],
- "I3": [ 2273 ],
- "O": [ 3699 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2270 ],
- "I1": [ 3698 ],
- "I2": [ 3699 ],
- "I3": [ 2097 ],
- "O": [ 2100 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2121 ],
- "I1": [ 2293 ],
- "I2": [ 2292 ],
- "I3": [ 1875 ],
- "O": [ 3700 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2290 ],
- "I1": [ 3700 ],
- "I2": [ 1872 ],
- "I3": [ "0" ],
- "O": [ 3701 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44287
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2241 ],
- "I1": [ 3701 ],
- "I2": [ 1871 ],
- "I3": [ 1923 ],
- "O": [ 3702 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3702 ],
- "I1": [ 2294 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3703 ]
- }
- },
- "$abc$24763$auto$blifparse.cc:492:parse_blif$27090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13109
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 3703 ],
- "I2": [ 2099 ],
- "I3": [ 2100 ],
- "O": [ 3704 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 277 ],
- "I3": [ "0" ],
- "O": [ 1138 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 287 ],
- "I3": [ 3705 ],
- "O": [ 1141 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 277 ],
- "CO": [ 3706 ],
- "I0": [ "0" ],
- "I1": [ 269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 273 ],
- "I3": [ 3706 ],
- "O": [ 488 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3706 ],
- "CO": [ 3707 ],
- "I0": [ "0" ],
- "I1": [ 273 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 261 ],
- "I3": [ 3707 ],
- "O": [ 494 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3707 ],
- "CO": [ 3708 ],
- "I0": [ "0" ],
- "I1": [ 261 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 281 ],
- "I3": [ 3708 ],
- "O": [ 506 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3708 ],
- "CO": [ 3709 ],
- "I0": [ "0" ],
- "I1": [ 281 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 271 ],
- "I3": [ 3709 ],
- "O": [ 477 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3709 ],
- "CO": [ 3710 ],
- "I0": [ "0" ],
- "I1": [ 271 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 285 ],
- "I3": [ 3710 ],
- "O": [ 528 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3710 ],
- "CO": [ 3711 ],
- "I0": [ "0" ],
- "I1": [ 285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 275 ],
- "I3": [ 3711 ],
- "O": [ 501 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3711 ],
- "CO": [ 3712 ],
- "I0": [ "0" ],
- "I1": [ 275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 283 ],
- "I3": [ 3712 ],
- "O": [ 520 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3712 ],
- "CO": [ 3713 ],
- "I0": [ "0" ],
- "I1": [ 283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 279 ],
- "I3": [ 3713 ],
- "O": [ 529 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3713 ],
- "CO": [ 3705 ],
- "I0": [ "0" ],
- "I1": [ 279 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 303 ],
- "I2": [ 277 ],
- "I3": [ "0" ],
- "O": [ 3669 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3714 ],
- "I0": [ 303 ],
- "I1": [ 277 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 287 ],
- "I3": [ 3715 ],
- "O": [ 3674 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 269 ],
- "I3": [ 3714 ],
- "O": [ 516 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3714 ],
- "CO": [ 3716 ],
- "I0": [ "0" ],
- "I1": [ 269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 273 ],
- "I3": [ 3716 ],
- "O": [ 489 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3716 ],
- "CO": [ 3717 ],
- "I0": [ "0" ],
- "I1": [ 273 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 261 ],
- "I3": [ 3717 ],
- "O": [ 495 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3717 ],
- "CO": [ 3718 ],
- "I0": [ "0" ],
- "I1": [ 261 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 281 ],
- "I3": [ 3718 ],
- "O": [ 507 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3718 ],
- "CO": [ 3719 ],
- "I0": [ "0" ],
- "I1": [ 281 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 271 ],
- "I3": [ 3719 ],
- "O": [ 483 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3719 ],
- "CO": [ 3720 ],
- "I0": [ "0" ],
- "I1": [ 271 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 285 ],
- "I3": [ 3720 ],
- "O": [ 527 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3720 ],
- "CO": [ 3721 ],
- "I0": [ "0" ],
- "I1": [ 285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 275 ],
- "I3": [ 3721 ],
- "O": [ 502 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3721 ],
- "CO": [ 3722 ],
- "I0": [ "0" ],
- "I1": [ 275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 283 ],
- "I3": [ 3722 ],
- "O": [ 521 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3722 ],
- "CO": [ 3723 ],
- "I0": [ "0" ],
- "I1": [ 283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 279 ],
- "I3": [ 3723 ],
- "O": [ 3607 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3723 ],
- "CO": [ 3715 ],
- "I0": [ "0" ],
- "I1": [ 279 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 277 ],
- "I3": [ "0" ],
- "O": [ 3672 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 287 ],
- "I3": [ 3724 ],
- "O": [ 3677 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 269 ],
- "CO": [ 3725 ],
- "I0": [ "0" ],
- "I1": [ 273 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 261 ],
- "I3": [ 3725 ],
- "O": [ 496 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3725 ],
- "CO": [ 3726 ],
- "I0": [ "0" ],
- "I1": [ 261 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 281 ],
- "I3": [ 3726 ],
- "O": [ 508 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3726 ],
- "CO": [ 3727 ],
- "I0": [ "0" ],
- "I1": [ 281 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 271 ],
- "I3": [ 3727 ],
- "O": [ 484 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3727 ],
- "CO": [ 3728 ],
- "I0": [ "0" ],
- "I1": [ 271 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 285 ],
- "I3": [ 3728 ],
- "O": [ 526 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3728 ],
- "CO": [ 3729 ],
- "I0": [ "0" ],
- "I1": [ 285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 275 ],
- "I3": [ 3729 ],
- "O": [ 503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3729 ],
- "CO": [ 3730 ],
- "I0": [ "0" ],
- "I1": [ 275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 283 ],
- "I3": [ 3730 ],
- "O": [ 522 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3730 ],
- "CO": [ 3731 ],
- "I0": [ "0" ],
- "I1": [ 283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 279 ],
- "I3": [ 3731 ],
- "O": [ 3610 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3731 ],
- "CO": [ 3724 ],
- "I0": [ "0" ],
- "I1": [ 279 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 165 ],
- "I3": [ "0" ],
- "O": [ 1147 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1046 ],
- "I3": [ 3732 ],
- "O": [ 1108 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3732 ],
- "CO": [ 3733 ],
- "I0": [ "0" ],
- "I1": [ 1046 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1055 ],
- "I3": [ 3733 ],
- "O": [ 1111 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3733 ],
- "CO": [ 3734 ],
- "I0": [ "0" ],
- "I1": [ 1055 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1064 ],
- "I3": [ 3734 ],
- "O": [ 1114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3734 ],
- "CO": [ 3735 ],
- "I0": [ "0" ],
- "I1": [ 1064 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1073 ],
- "I3": [ 3735 ],
- "O": [ 1117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3735 ],
- "CO": [ 3736 ],
- "I0": [ "0" ],
- "I1": [ 1073 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1082 ],
- "I3": [ 3736 ],
- "O": [ 1120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3736 ],
- "CO": [ 3737 ],
- "I0": [ "0" ],
- "I1": [ 1082 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1091 ],
- "I3": [ 3737 ],
- "O": [ 1123 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 165 ],
- "CO": [ 3738 ],
- "I0": [ "0" ],
- "I1": [ 141 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 974 ],
- "I3": [ 3738 ],
- "O": [ 1153 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3738 ],
- "CO": [ 3739 ],
- "I0": [ "0" ],
- "I1": [ 974 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 983 ],
- "I3": [ 3739 ],
- "O": [ 1156 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3739 ],
- "CO": [ 3740 ],
- "I0": [ "0" ],
- "I1": [ 983 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 992 ],
- "I3": [ 3740 ],
- "O": [ 1159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3740 ],
- "CO": [ 3741 ],
- "I0": [ "0" ],
- "I1": [ 992 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1001 ],
- "I3": [ 3741 ],
- "O": [ 1162 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3741 ],
- "CO": [ 3742 ],
- "I0": [ "0" ],
- "I1": [ 1001 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1010 ],
- "I3": [ 3742 ],
- "O": [ 1165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3742 ],
- "CO": [ 3743 ],
- "I0": [ "0" ],
- "I1": [ 1010 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1019 ],
- "I3": [ 3743 ],
- "O": [ 1168 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3743 ],
- "CO": [ 3744 ],
- "I0": [ "0" ],
- "I1": [ 1019 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1028 ],
- "I3": [ 3744 ],
- "O": [ 1101 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3744 ],
- "CO": [ 3745 ],
- "I0": [ "0" ],
- "I1": [ 1028 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1037 ],
- "I3": [ 3745 ],
- "O": [ 1105 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3745 ],
- "CO": [ 3732 ],
- "I0": [ "0" ],
- "I1": [ 1037 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 536 ],
- "I2": [ 535 ],
- "I3": [ "0" ],
- "O": [ 3746 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3747 ],
- "I0": [ 536 ],
- "I1": [ 535 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 534 ],
- "I3": [ 3747 ],
- "O": [ 3748 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3747 ],
- "CO": [ 3749 ],
- "I0": [ "0" ],
- "I1": [ 534 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 533 ],
- "I3": [ 3749 ],
- "O": [ 3750 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3749 ],
- "CO": [ 3751 ],
- "I0": [ "0" ],
- "I1": [ 533 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 532 ],
- "I3": [ 3751 ],
- "O": [ 3752 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 680 ],
- "I2": [ 615 ],
- "I3": [ "0" ],
- "O": [ 3753 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3754 ],
- "I0": [ 680 ],
- "I1": [ 615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 710 ],
- "I2": [ 635 ],
- "I3": [ 3755 ],
- "O": [ 3756 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3755 ],
- "CO": [ 3757 ],
- "I0": [ 710 ],
- "I1": [ 635 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 713 ],
- "I2": [ 637 ],
- "I3": [ 3757 ],
- "O": [ 3758 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3757 ],
- "CO": [ 3759 ],
- "I0": [ 713 ],
- "I1": [ 637 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 716 ],
- "I2": [ 639 ],
- "I3": [ 3759 ],
- "O": [ 3760 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3759 ],
- "CO": [ 3761 ],
- "I0": [ 716 ],
- "I1": [ 639 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 719 ],
- "I2": [ 641 ],
- "I3": [ 3761 ],
- "O": [ 3762 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3761 ],
- "CO": [ 3763 ],
- "I0": [ 719 ],
- "I1": [ 641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 722 ],
- "I2": [ 643 ],
- "I3": [ 3763 ],
- "O": [ 3764 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3763 ],
- "CO": [ 3765 ],
- "I0": [ 722 ],
- "I1": [ 643 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 725 ],
- "I2": [ 645 ],
- "I3": [ 3765 ],
- "O": [ 3766 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3765 ],
- "CO": [ 3767 ],
- "I0": [ 725 ],
- "I1": [ 645 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 728 ],
- "I2": [ 647 ],
- "I3": [ 3767 ],
- "O": [ 3768 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 683 ],
- "I2": [ 617 ],
- "I3": [ 3754 ],
- "O": [ 3769 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3754 ],
- "CO": [ 3770 ],
- "I0": [ 683 ],
- "I1": [ 617 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 686 ],
- "I2": [ 619 ],
- "I3": [ 3770 ],
- "O": [ 3771 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3770 ],
- "CO": [ 3772 ],
- "I0": [ 686 ],
- "I1": [ 619 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 689 ],
- "I2": [ 621 ],
- "I3": [ 3772 ],
- "O": [ 3773 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3772 ],
- "CO": [ 3774 ],
- "I0": [ 689 ],
- "I1": [ 621 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 692 ],
- "I2": [ 623 ],
- "I3": [ 3774 ],
- "O": [ 3775 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3774 ],
- "CO": [ 3776 ],
- "I0": [ 692 ],
- "I1": [ 623 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 695 ],
- "I2": [ 625 ],
- "I3": [ 3776 ],
- "O": [ 3777 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3776 ],
- "CO": [ 3778 ],
- "I0": [ 695 ],
- "I1": [ 625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 698 ],
- "I2": [ 627 ],
- "I3": [ 3778 ],
- "O": [ 3779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3778 ],
- "CO": [ 3780 ],
- "I0": [ 698 ],
- "I1": [ 627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 701 ],
- "I2": [ 629 ],
- "I3": [ 3780 ],
- "O": [ 3781 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3780 ],
- "CO": [ 3782 ],
- "I0": [ 701 ],
- "I1": [ 629 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 704 ],
- "I2": [ 631 ],
- "I3": [ 3782 ],
- "O": [ 3783 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3782 ],
- "CO": [ 3784 ],
- "I0": [ 704 ],
- "I1": [ 631 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 707 ],
- "I2": [ 633 ],
- "I3": [ 3784 ],
- "O": [ 3785 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3784 ],
- "CO": [ 3755 ],
- "I0": [ 707 ],
- "I1": [ 633 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3786 ],
- "I0": [ 680 ],
- "I1": [ 614 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 710 ],
- "I2": [ 634 ],
- "I3": [ 3787 ],
- "O": [ 1411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3787 ],
- "CO": [ 3788 ],
- "I0": [ 710 ],
- "I1": [ 634 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 713 ],
- "I2": [ 636 ],
- "I3": [ 3788 ],
- "O": [ 1413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3788 ],
- "CO": [ 3789 ],
- "I0": [ 713 ],
- "I1": [ 636 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 716 ],
- "I2": [ 638 ],
- "I3": [ 3789 ],
- "O": [ 1415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3789 ],
- "CO": [ 3790 ],
- "I0": [ 716 ],
- "I1": [ 638 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 719 ],
- "I2": [ 640 ],
- "I3": [ 3790 ],
- "O": [ 1417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3790 ],
- "CO": [ 3791 ],
- "I0": [ 719 ],
- "I1": [ 640 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 722 ],
- "I2": [ 642 ],
- "I3": [ 3791 ],
- "O": [ 1419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3791 ],
- "CO": [ 3792 ],
- "I0": [ 722 ],
- "I1": [ 642 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 725 ],
- "I2": [ 644 ],
- "I3": [ 3792 ],
- "O": [ 1421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3792 ],
- "CO": [ 3793 ],
- "I0": [ 725 ],
- "I1": [ 644 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 728 ],
- "I2": [ 646 ],
- "I3": [ 3793 ],
- "O": [ 1423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 683 ],
- "I2": [ 616 ],
- "I3": [ 3786 ],
- "O": [ 1393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3786 ],
- "CO": [ 3794 ],
- "I0": [ 683 ],
- "I1": [ 616 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 686 ],
- "I2": [ 618 ],
- "I3": [ 3794 ],
- "O": [ 1395 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3794 ],
- "CO": [ 3795 ],
- "I0": [ 686 ],
- "I1": [ 618 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 689 ],
- "I2": [ 620 ],
- "I3": [ 3795 ],
- "O": [ 1397 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3795 ],
- "CO": [ 3796 ],
- "I0": [ 689 ],
- "I1": [ 620 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 692 ],
- "I2": [ 622 ],
- "I3": [ 3796 ],
- "O": [ 1399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3796 ],
- "CO": [ 3797 ],
- "I0": [ 692 ],
- "I1": [ 622 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 695 ],
- "I2": [ 624 ],
- "I3": [ 3797 ],
- "O": [ 1401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3797 ],
- "CO": [ 3798 ],
- "I0": [ 695 ],
- "I1": [ 624 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 698 ],
- "I2": [ 626 ],
- "I3": [ 3798 ],
- "O": [ 1403 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3798 ],
- "CO": [ 3799 ],
- "I0": [ 698 ],
- "I1": [ 626 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 701 ],
- "I2": [ 628 ],
- "I3": [ 3799 ],
- "O": [ 1405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3799 ],
- "CO": [ 3800 ],
- "I0": [ 701 ],
- "I1": [ 628 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 704 ],
- "I2": [ 630 ],
- "I3": [ 3800 ],
- "O": [ 1407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3800 ],
- "CO": [ 3801 ],
- "I0": [ 704 ],
- "I1": [ 630 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 707 ],
- "I2": [ 632 ],
- "I3": [ 3801 ],
- "O": [ 1409 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3801 ],
- "CO": [ 3787 ],
- "I0": [ 707 ],
- "I1": [ 632 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3802 ],
- "I2": [ 593 ],
- "I3": [ "0" ],
- "O": [ 3803 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3804 ],
- "I0": [ 3802 ],
- "I1": [ 593 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3805 ],
- "I2": [ 595 ],
- "I3": [ 3804 ],
- "O": [ 3806 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3804 ],
- "CO": [ 3807 ],
- "I0": [ 3805 ],
- "I1": [ 595 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3808 ],
- "I2": [ 597 ],
- "I3": [ 3807 ],
- "O": [ 3809 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3807 ],
- "CO": [ 3810 ],
- "I0": [ 3808 ],
- "I1": [ 597 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3811 ],
- "I2": [ 599 ],
- "I3": [ 3810 ],
- "O": [ 3812 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3810 ],
- "CO": [ 3813 ],
- "I0": [ 3811 ],
- "I1": [ 599 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3814 ],
- "I2": [ 601 ],
- "I3": [ 3813 ],
- "O": [ 3815 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3813 ],
- "CO": [ 3816 ],
- "I0": [ 3814 ],
- "I1": [ 601 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3817 ],
- "I2": [ 603 ],
- "I3": [ 3816 ],
- "O": [ 3818 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3816 ],
- "CO": [ 3819 ],
- "I0": [ 3817 ],
- "I1": [ 603 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3820 ],
- "I2": [ 605 ],
- "I3": [ 3819 ],
- "O": [ 3821 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3819 ],
- "CO": [ 3822 ],
- "I0": [ 3820 ],
- "I1": [ 605 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3823 ],
- "I2": [ 607 ],
- "I3": [ 3822 ],
- "O": [ 3824 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3822 ],
- "CO": [ 3825 ],
- "I0": [ 3823 ],
- "I1": [ 607 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3826 ],
- "I2": [ 609 ],
- "I3": [ 3825 ],
- "O": [ 3827 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3802 ],
- "I2": [ 594 ],
- "I3": [ "0" ],
- "O": [ 3828 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3829 ],
- "I0": [ 3802 ],
- "I1": [ 594 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3805 ],
- "I2": [ 596 ],
- "I3": [ 3829 ],
- "O": [ 3830 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3829 ],
- "CO": [ 3831 ],
- "I0": [ 3805 ],
- "I1": [ 596 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3808 ],
- "I2": [ 598 ],
- "I3": [ 3831 ],
- "O": [ 3832 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3831 ],
- "CO": [ 3833 ],
- "I0": [ 3808 ],
- "I1": [ 598 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3811 ],
- "I2": [ 600 ],
- "I3": [ 3833 ],
- "O": [ 3834 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3833 ],
- "CO": [ 3835 ],
- "I0": [ 3811 ],
- "I1": [ 600 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3814 ],
- "I2": [ 602 ],
- "I3": [ 3835 ],
- "O": [ 3836 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3835 ],
- "CO": [ 3837 ],
- "I0": [ 3814 ],
- "I1": [ 602 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3817 ],
- "I2": [ 604 ],
- "I3": [ 3837 ],
- "O": [ 3838 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3837 ],
- "CO": [ 3839 ],
- "I0": [ 3817 ],
- "I1": [ 604 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3820 ],
- "I2": [ 606 ],
- "I3": [ 3839 ],
- "O": [ 3840 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3839 ],
- "CO": [ 3841 ],
- "I0": [ 3820 ],
- "I1": [ 606 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3823 ],
- "I2": [ 608 ],
- "I3": [ 3841 ],
- "O": [ 3842 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3841 ],
- "CO": [ 3843 ],
- "I0": [ 3823 ],
- "I1": [ 608 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3826 ],
- "I2": [ 610 ],
- "I3": [ 3843 ],
- "O": [ 3844 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3845 ],
- "I2": [ 559 ],
- "I3": [ "0" ],
- "O": [ 3846 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3847 ],
- "I0": [ 3845 ],
- "I1": [ 559 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3848 ],
- "I2": [ 579 ],
- "I3": [ 3849 ],
- "O": [ 3850 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3849 ],
- "CO": [ 3851 ],
- "I0": [ 3848 ],
- "I1": [ 579 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3852 ],
- "I2": [ 581 ],
- "I3": [ 3851 ],
- "O": [ 3853 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3851 ],
- "CO": [ 3854 ],
- "I0": [ 3852 ],
- "I1": [ 581 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3855 ],
- "I2": [ 583 ],
- "I3": [ 3854 ],
- "O": [ 3856 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3854 ],
- "CO": [ 3857 ],
- "I0": [ 3855 ],
- "I1": [ 583 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3858 ],
- "I2": [ 585 ],
- "I3": [ 3857 ],
- "O": [ 3859 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3857 ],
- "CO": [ 3860 ],
- "I0": [ 3858 ],
- "I1": [ 585 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3861 ],
- "I2": [ 587 ],
- "I3": [ 3860 ],
- "O": [ 3862 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3860 ],
- "CO": [ 3863 ],
- "I0": [ 3861 ],
- "I1": [ 587 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3864 ],
- "I2": [ 589 ],
- "I3": [ 3863 ],
- "O": [ 3865 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3863 ],
- "CO": [ 3866 ],
- "I0": [ 3864 ],
- "I1": [ 589 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3867 ],
- "I2": [ 591 ],
- "I3": [ 3866 ],
- "O": [ 3868 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3869 ],
- "I2": [ 561 ],
- "I3": [ 3847 ],
- "O": [ 3870 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3847 ],
- "CO": [ 3871 ],
- "I0": [ 3869 ],
- "I1": [ 561 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3872 ],
- "I2": [ 563 ],
- "I3": [ 3871 ],
- "O": [ 3873 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3871 ],
- "CO": [ 3874 ],
- "I0": [ 3872 ],
- "I1": [ 563 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3875 ],
- "I2": [ 565 ],
- "I3": [ 3874 ],
- "O": [ 3876 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3874 ],
- "CO": [ 3877 ],
- "I0": [ 3875 ],
- "I1": [ 565 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3878 ],
- "I2": [ 567 ],
- "I3": [ 3877 ],
- "O": [ 3879 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3877 ],
- "CO": [ 3880 ],
- "I0": [ 3878 ],
- "I1": [ 567 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3881 ],
- "I2": [ 569 ],
- "I3": [ 3880 ],
- "O": [ 3882 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3880 ],
- "CO": [ 3883 ],
- "I0": [ 3881 ],
- "I1": [ 569 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3884 ],
- "I2": [ 571 ],
- "I3": [ 3883 ],
- "O": [ 3885 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3883 ],
- "CO": [ 3886 ],
- "I0": [ 3884 ],
- "I1": [ 571 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3887 ],
- "I2": [ 573 ],
- "I3": [ 3886 ],
- "O": [ 3888 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3886 ],
- "CO": [ 3889 ],
- "I0": [ 3887 ],
- "I1": [ 573 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3890 ],
- "I2": [ 575 ],
- "I3": [ 3889 ],
- "O": [ 3891 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3889 ],
- "CO": [ 3892 ],
- "I0": [ 3890 ],
- "I1": [ 575 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3893 ],
- "I2": [ 577 ],
- "I3": [ 3892 ],
- "O": [ 3894 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3892 ],
- "CO": [ 3849 ],
- "I0": [ 3893 ],
- "I1": [ 577 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3895 ],
- "I0": [ 558 ],
- "I1": [ 3845 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 578 ],
- "I2": [ 3848 ],
- "I3": [ 3896 ],
- "O": [ 3897 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3896 ],
- "CO": [ 3898 ],
- "I0": [ 578 ],
- "I1": [ 3848 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 580 ],
- "I2": [ 3852 ],
- "I3": [ 3898 ],
- "O": [ 3899 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3898 ],
- "CO": [ 3900 ],
- "I0": [ 580 ],
- "I1": [ 3852 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 582 ],
- "I2": [ 3855 ],
- "I3": [ 3900 ],
- "O": [ 3901 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3900 ],
- "CO": [ 3902 ],
- "I0": [ 582 ],
- "I1": [ 3855 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 584 ],
- "I2": [ 3858 ],
- "I3": [ 3902 ],
- "O": [ 3903 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3902 ],
- "CO": [ 3904 ],
- "I0": [ 584 ],
- "I1": [ 3858 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 586 ],
- "I2": [ 3861 ],
- "I3": [ 3904 ],
- "O": [ 3905 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3904 ],
- "CO": [ 3906 ],
- "I0": [ 586 ],
- "I1": [ 3861 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 588 ],
- "I2": [ 3864 ],
- "I3": [ 3906 ],
- "O": [ 3907 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3906 ],
- "CO": [ 3908 ],
- "I0": [ 588 ],
- "I1": [ 3864 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 590 ],
- "I2": [ 3867 ],
- "I3": [ 3908 ],
- "O": [ 3909 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 560 ],
- "I2": [ 3869 ],
- "I3": [ 3895 ],
- "O": [ 3910 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3895 ],
- "CO": [ 3911 ],
- "I0": [ 560 ],
- "I1": [ 3869 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 562 ],
- "I2": [ 3872 ],
- "I3": [ 3911 ],
- "O": [ 3912 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3911 ],
- "CO": [ 3913 ],
- "I0": [ 562 ],
- "I1": [ 3872 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 564 ],
- "I2": [ 3875 ],
- "I3": [ 3913 ],
- "O": [ 3914 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3913 ],
- "CO": [ 3915 ],
- "I0": [ 564 ],
- "I1": [ 3875 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 566 ],
- "I2": [ 3878 ],
- "I3": [ 3915 ],
- "O": [ 3916 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3915 ],
- "CO": [ 3917 ],
- "I0": [ 566 ],
- "I1": [ 3878 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 568 ],
- "I2": [ 3881 ],
- "I3": [ 3917 ],
- "O": [ 3918 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3917 ],
- "CO": [ 3919 ],
- "I0": [ 568 ],
- "I1": [ 3881 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 570 ],
- "I2": [ 3884 ],
- "I3": [ 3919 ],
- "O": [ 3920 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3919 ],
- "CO": [ 3921 ],
- "I0": [ 570 ],
- "I1": [ 3884 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 572 ],
- "I2": [ 3887 ],
- "I3": [ 3921 ],
- "O": [ 3922 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3921 ],
- "CO": [ 3923 ],
- "I0": [ 572 ],
- "I1": [ 3887 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 574 ],
- "I2": [ 3890 ],
- "I3": [ 3923 ],
- "O": [ 3924 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3923 ],
- "CO": [ 3925 ],
- "I0": [ 574 ],
- "I1": [ 3890 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 576 ],
- "I2": [ 3893 ],
- "I3": [ 3925 ],
- "O": [ 3926 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3925 ],
- "CO": [ 3896 ],
- "I0": [ 576 ],
- "I1": [ 3893 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 213 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 420 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 213 ],
- "CO": [ 3927 ],
- "I0": [ 214 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 215 ],
- "I2": [ "1" ],
- "I3": [ 3927 ],
- "O": [ 442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3927 ],
- "CO": [ 3928 ],
- "I0": [ 215 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 216 ],
- "I2": [ "1" ],
- "I3": [ 3928 ],
- "O": [ 432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3928 ],
- "CO": [ 3929 ],
- "I0": [ 216 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 209 ],
- "I2": [ "1" ],
- "I3": [ 3929 ],
- "O": [ 412 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3929 ],
- "CO": [ 3930 ],
- "I0": [ 209 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 210 ],
- "I2": [ "1" ],
- "I3": [ 3930 ],
- "O": [ 424 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3930 ],
- "CO": [ 3931 ],
- "I0": [ 210 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 211 ],
- "I2": [ "1" ],
- "I3": [ 3931 ],
- "O": [ 435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3931 ],
- "CO": [ 3932 ],
- "I0": [ 211 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 212 ],
- "I2": [ "1" ],
- "I3": [ 3932 ],
- "O": [ 444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3933 ],
- "I0": [ 191 ],
- "I1": [ 3596 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3933 ],
- "CO": [ 3934 ],
- "I0": [ 373 ],
- "I1": [ 3593 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3934 ],
- "CO": [ 3935 ],
- "I0": [ 379 ],
- "I1": [ 3597 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3935 ],
- "CO": [ 3936 ],
- "I0": [ 366 ],
- "I1": [ 3598 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3936 ],
- "CO": [ 3937 ],
- "I0": [ 249 ],
- "I1": [ 3599 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 388 ],
- "I2": [ 3595 ],
- "I3": [ 3937 ],
- "O": [ 133 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3937 ],
- "CO": [ 3938 ],
- "I0": [ 388 ],
- "I1": [ 3595 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 381 ],
- "I2": [ 3600 ],
- "I3": [ 3938 ],
- "O": [ 132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3938 ],
- "CO": [ 3939 ],
- "I0": [ 381 ],
- "I1": [ 3600 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 360 ],
- "I2": [ 3601 ],
- "I3": [ 3939 ],
- "O": [ 131 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 241 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 862 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 241 ],
- "CO": [ 3940 ],
- "I0": [ 94 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 97 ],
- "I2": [ "1" ],
- "I3": [ 3940 ],
- "O": [ 865 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3940 ],
- "CO": [ 3941 ],
- "I0": [ 97 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 100 ],
- "I2": [ "1" ],
- "I3": [ 3941 ],
- "O": [ 867 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3941 ],
- "CO": [ 3942 ],
- "I0": [ 100 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 103 ],
- "I2": [ "1" ],
- "I3": [ 3942 ],
- "O": [ 869 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3942 ],
- "CO": [ 3943 ],
- "I0": [ 103 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 106 ],
- "I2": [ "1" ],
- "I3": [ 3943 ],
- "O": [ 871 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3943 ],
- "CO": [ 3944 ],
- "I0": [ 106 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 109 ],
- "I2": [ "1" ],
- "I3": [ 3944 ],
- "O": [ 873 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3944 ],
- "CO": [ 3945 ],
- "I0": [ 109 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 112 ],
- "I2": [ "1" ],
- "I3": [ 3945 ],
- "O": [ 875 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3945 ],
- "CO": [ 3946 ],
- "I0": [ 112 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 115 ],
- "I2": [ "1" ],
- "I3": [ 3946 ],
- "O": [ 877 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3946 ],
- "CO": [ 3947 ],
- "I0": [ 115 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 118 ],
- "I2": [ "1" ],
- "I3": [ 3947 ],
- "O": [ 879 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 191 ],
- "I3": [ "0" ],
- "O": [ 3948 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 191 ],
- "CO": [ 3949 ],
- "I0": [ "0" ],
- "I1": [ 373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 379 ],
- "I3": [ 3949 ],
- "O": [ 3950 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3949 ],
- "CO": [ 3951 ],
- "I0": [ "0" ],
- "I1": [ 379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 366 ],
- "I3": [ 3951 ],
- "O": [ 3952 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3951 ],
- "CO": [ 3953 ],
- "I0": [ "0" ],
- "I1": [ 366 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 249 ],
- "I3": [ 3953 ],
- "O": [ 3954 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3953 ],
- "CO": [ 3955 ],
- "I0": [ "0" ],
- "I1": [ 249 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 388 ],
- "I3": [ 3955 ],
- "O": [ 3956 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3955 ],
- "CO": [ 3957 ],
- "I0": [ "0" ],
- "I1": [ 388 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 381 ],
- "I3": [ 3957 ],
- "O": [ 3958 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3957 ],
- "CO": [ 3959 ],
- "I0": [ "0" ],
- "I1": [ 381 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 360 ],
- "I3": [ 3959 ],
- "O": [ 3960 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3961 ],
- "I3": [ 3962 ],
- "O": [ 3641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3962 ],
- "CO": [ 3963 ],
- "I0": [ "0" ],
- "I1": [ 3961 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3964 ],
- "I3": [ 3963 ],
- "O": [ 3645 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3963 ],
- "CO": [ 3965 ],
- "I0": [ "0" ],
- "I1": [ 3964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3966 ],
- "I3": [ 3965 ],
- "O": [ 3649 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3965 ],
- "CO": [ 3967 ],
- "I0": [ "0" ],
- "I1": [ 3966 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3968 ],
- "I3": [ 3967 ],
- "O": [ 3653 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3967 ],
- "CO": [ 3969 ],
- "I0": [ "0" ],
- "I1": [ 3968 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3970 ],
- "I3": [ 3969 ],
- "O": [ 3657 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3969 ],
- "CO": [ 3971 ],
- "I0": [ "0" ],
- "I1": [ 3970 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3972 ],
- "I3": [ 3971 ],
- "O": [ 3661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3971 ],
- "CO": [ 3973 ],
- "I0": [ "0" ],
- "I1": [ 3972 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3974 ],
- "I3": [ 3973 ],
- "O": [ 3665 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 773 ],
- "CO": [ 3975 ],
- "I0": [ "0" ],
- "I1": [ 774 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3976 ],
- "I3": [ 3975 ],
- "O": [ 3617 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3975 ],
- "CO": [ 3977 ],
- "I0": [ "0" ],
- "I1": [ 3976 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3978 ],
- "I3": [ 3977 ],
- "O": [ 3621 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3977 ],
- "CO": [ 3979 ],
- "I0": [ "0" ],
- "I1": [ 3978 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3980 ],
- "I3": [ 3979 ],
- "O": [ 3625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3979 ],
- "CO": [ 3981 ],
- "I0": [ "0" ],
- "I1": [ 3980 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3982 ],
- "I3": [ 3981 ],
- "O": [ 3629 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3981 ],
- "CO": [ 3983 ],
- "I0": [ "0" ],
- "I1": [ 3982 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3984 ],
- "I3": [ 3983 ],
- "O": [ 3633 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3983 ],
- "CO": [ 3985 ],
- "I0": [ "0" ],
- "I1": [ 3984 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3986 ],
- "I3": [ 3985 ],
- "O": [ 3637 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3985 ],
- "CO": [ 3962 ],
- "I0": [ "0" ],
- "I1": [ 3986 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 213 ],
- "I3": [ "0" ],
- "O": [ 415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 213 ],
- "CO": [ 3987 ],
- "I0": [ "0" ],
- "I1": [ 214 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 215 ],
- "I3": [ 3987 ],
- "O": [ 437 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3987 ],
- "CO": [ 3988 ],
- "I0": [ "0" ],
- "I1": [ 215 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 216 ],
- "I3": [ 3988 ],
- "O": [ 427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3988 ],
- "CO": [ 3989 ],
- "I0": [ "0" ],
- "I1": [ 216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 209 ],
- "I3": [ 3989 ],
- "O": [ 407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3989 ],
- "CO": [ 3990 ],
- "I0": [ "0" ],
- "I1": [ 209 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 210 ],
- "I3": [ 3990 ],
- "O": [ 403 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3990 ],
- "CO": [ 3991 ],
- "I0": [ "0" ],
- "I1": [ 210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 211 ],
- "I3": [ 3991 ],
- "O": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3991 ],
- "CO": [ 3992 ],
- "I0": [ "0" ],
- "I1": [ 211 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 212 ],
- "I3": [ 3992 ],
- "O": [ 439 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 251 ],
- "I3": [ "0" ],
- "O": [ 885 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 251 ],
- "CO": [ 3993 ],
- "I0": [ "0" ],
- "I1": [ 887 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3994 ],
- "I3": [ 3993 ],
- "O": [ 889 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3993 ],
- "CO": [ 3995 ],
- "I0": [ "0" ],
- "I1": [ 3994 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3996 ],
- "I3": [ 3995 ],
- "O": [ 891 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3995 ],
- "CO": [ 3997 ],
- "I0": [ "0" ],
- "I1": [ 3996 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3998 ],
- "I3": [ 3997 ],
- "O": [ 893 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3997 ],
- "CO": [ 3999 ],
- "I0": [ "0" ],
- "I1": [ 3998 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4000 ],
- "I3": [ 3999 ],
- "O": [ 895 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3999 ],
- "CO": [ 4001 ],
- "I0": [ "0" ],
- "I1": [ 4000 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4002 ],
- "I3": [ 4001 ],
- "O": [ 897 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4001 ],
- "CO": [ 4003 ],
- "I0": [ "0" ],
- "I1": [ 4002 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4004 ],
- "I3": [ 4003 ],
- "O": [ 899 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4003 ],
- "CO": [ 4005 ],
- "I0": [ "0" ],
- "I1": [ 4004 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4006 ],
- "I3": [ 4005 ],
- "O": [ 901 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4007 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 903 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4008 ],
- "I2": [ "0" ],
- "I3": [ 4009 ],
- "O": [ 924 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4009 ],
- "CO": [ 4010 ],
- "I0": [ 4008 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4011 ],
- "I2": [ "0" ],
- "I3": [ 4010 ],
- "O": [ 929 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4010 ],
- "CO": [ 4012 ],
- "I0": [ 4011 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4013 ],
- "I2": [ "0" ],
- "I3": [ 4012 ],
- "O": [ 934 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4012 ],
- "CO": [ 4014 ],
- "I0": [ 4013 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4015 ],
- "I2": [ "0" ],
- "I3": [ 4014 ],
- "O": [ 939 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4014 ],
- "CO": [ 4016 ],
- "I0": [ 4015 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4017 ],
- "I2": [ "0" ],
- "I3": [ 4016 ],
- "O": [ 944 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4016 ],
- "CO": [ 4018 ],
- "I0": [ 4017 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4019 ],
- "I2": [ "0" ],
- "I3": [ 4018 ],
- "O": [ 949 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4018 ],
- "CO": [ 4020 ],
- "I0": [ 4019 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4021 ],
- "I2": [ "0" ],
- "I3": [ 4020 ],
- "O": [ 954 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4022 ],
- "I0": [ 905 ],
- "I1": [ 84 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4023 ],
- "I2": [ 3583 ],
- "I3": [ 4022 ],
- "O": [ 907 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4022 ],
- "CO": [ 4024 ],
- "I0": [ 4023 ],
- "I1": [ 3583 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4025 ],
- "I2": [ "0" ],
- "I3": [ 4024 ],
- "O": [ 909 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4024 ],
- "CO": [ 4026 ],
- "I0": [ 4025 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4027 ],
- "I2": [ "0" ],
- "I3": [ 4026 ],
- "O": [ 911 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4026 ],
- "CO": [ 4028 ],
- "I0": [ 4027 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4029 ],
- "I2": [ "0" ],
- "I3": [ 4028 ],
- "O": [ 913 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4028 ],
- "CO": [ 4030 ],
- "I0": [ 4029 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4031 ],
- "I2": [ "0" ],
- "I3": [ 4030 ],
- "O": [ 915 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4030 ],
- "CO": [ 4032 ],
- "I0": [ 4031 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4033 ],
- "I2": [ "0" ],
- "I3": [ 4032 ],
- "O": [ 917 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4032 ],
- "CO": [ 4034 ],
- "I0": [ 4033 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4035 ],
- "I2": [ "0" ],
- "I3": [ 4034 ],
- "O": [ 919 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4034 ],
- "CO": [ 4009 ],
- "I0": [ 4035 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 247 ],
- "I3": [ "0" ],
- "O": [ 368 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 247 ],
- "CO": [ 4036 ],
- "I0": [ "0" ],
- "I1": [ 377 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 382 ],
- "I3": [ 4036 ],
- "O": [ 383 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4036 ],
- "CO": [ 4037 ],
- "I0": [ "0" ],
- "I1": [ 382 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 370 ],
- "I3": [ 4037 ],
- "O": [ 371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4037 ],
- "CO": [ 4038 ],
- "I0": [ "0" ],
- "I1": [ 370 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 248 ],
- "I3": [ 4038 ],
- "O": [ 389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4038 ],
- "CO": [ 4039 ],
- "I0": [ "0" ],
- "I1": [ 248 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 390 ],
- "I3": [ 4039 ],
- "O": [ 391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4039 ],
- "CO": [ 4040 ],
- "I0": [ "0" ],
- "I1": [ 390 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 384 ],
- "I3": [ 4040 ],
- "O": [ 385 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4040 ],
- "CO": [ 4041 ],
- "I0": [ "0" ],
- "I1": [ 384 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 393 ],
- "I3": [ 4041 ],
- "O": [ 394 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 820 ],
- "I3": [ "0" ],
- "O": [ 821 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 820 ],
- "CO": [ 4042 ],
- "I0": [ "0" ],
- "I1": [ 825 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 829 ],
- "I3": [ 4042 ],
- "O": [ 830 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4042 ],
- "CO": [ 4043 ],
- "I0": [ "0" ],
- "I1": [ 829 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 834 ],
- "I3": [ 4043 ],
- "O": [ 835 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4043 ],
- "CO": [ 4044 ],
- "I0": [ "0" ],
- "I1": [ 834 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 839 ],
- "I3": [ 4044 ],
- "O": [ 840 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4044 ],
- "CO": [ 4045 ],
- "I0": [ "0" ],
- "I1": [ 839 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 844 ],
- "I3": [ 4045 ],
- "O": [ 845 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4045 ],
- "CO": [ 4046 ],
- "I0": [ "0" ],
- "I1": [ 844 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 849 ],
- "I3": [ 4046 ],
- "O": [ 850 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4046 ],
- "CO": [ 4047 ],
- "I0": [ "0" ],
- "I1": [ 849 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 854 ],
- "I3": [ 4047 ],
- "O": [ 855 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4047 ],
- "CO": [ 4048 ],
- "I0": [ "0" ],
- "I1": [ 854 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 859 ],
- "I3": [ 4048 ],
- "O": [ 860 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1738.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 140 ],
- "I3": [ "1" ],
- "O": [ 137 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1738.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 140 ],
- "CO": [ 4049 ],
- "I0": [ "0" ],
- "I1": [ 139 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1738.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ "1" ],
- "I3": [ 4049 ],
- "O": [ 1323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 976 ],
- "I3": [ "0" ],
- "O": [ 1320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1066 ],
- "I3": [ 4050 ],
- "O": [ 1341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4050 ],
- "CO": [ 4051 ],
- "I0": [ "0" ],
- "I1": [ 1066 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1075 ],
- "I3": [ 4051 ],
- "O": [ 1343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4051 ],
- "CO": [ 4052 ],
- "I0": [ "0" ],
- "I1": [ 1075 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1084 ],
- "I3": [ 4052 ],
- "O": [ 1345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4052 ],
- "CO": [ 4053 ],
- "I0": [ "0" ],
- "I1": [ 1084 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1093 ],
- "I3": [ 4053 ],
- "O": [ 1347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 976 ],
- "CO": [ 4054 ],
- "I0": [ "0" ],
- "I1": [ 985 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 994 ],
- "I3": [ 4054 ],
- "O": [ 1325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4054 ],
- "CO": [ 4055 ],
- "I0": [ "0" ],
- "I1": [ 994 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1003 ],
- "I3": [ 4055 ],
- "O": [ 1327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4055 ],
- "CO": [ 4056 ],
- "I0": [ "0" ],
- "I1": [ 1003 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1012 ],
- "I3": [ 4056 ],
- "O": [ 1329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4056 ],
- "CO": [ 4057 ],
- "I0": [ "0" ],
- "I1": [ 1012 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1021 ],
- "I3": [ 4057 ],
- "O": [ 1331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4057 ],
- "CO": [ 4058 ],
- "I0": [ "0" ],
- "I1": [ 1021 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1030 ],
- "I3": [ 4058 ],
- "O": [ 1333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4058 ],
- "CO": [ 4059 ],
- "I0": [ "0" ],
- "I1": [ 1030 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1039 ],
- "I3": [ 4059 ],
- "O": [ 1335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4059 ],
- "CO": [ 4060 ],
- "I0": [ "0" ],
- "I1": [ 1039 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1048 ],
- "I3": [ 4060 ],
- "O": [ 1337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4060 ],
- "CO": [ 4061 ],
- "I0": [ "0" ],
- "I1": [ 1048 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1057 ],
- "I3": [ 4061 ],
- "O": [ 1339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4061 ],
- "CO": [ 4050 ],
- "I0": [ "0" ],
- "I1": [ 1057 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4062 ],
- "I2": [ 2323 ],
- "I3": [ "0" ],
- "O": [ 4063 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4064 ],
- "I0": [ 4062 ],
- "I1": [ 2323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4065 ],
- "I2": [ 2343 ],
- "I3": [ 4066 ],
- "O": [ 4067 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4066 ],
- "CO": [ 4068 ],
- "I0": [ 4065 ],
- "I1": [ 2343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4069 ],
- "I2": [ 2345 ],
- "I3": [ 4068 ],
- "O": [ 4070 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4068 ],
- "CO": [ 4071 ],
- "I0": [ 4069 ],
- "I1": [ 2345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4072 ],
- "I2": [ 2347 ],
- "I3": [ 4071 ],
- "O": [ 4073 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4071 ],
- "CO": [ 4074 ],
- "I0": [ 4072 ],
- "I1": [ 2347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4075 ],
- "I2": [ 2349 ],
- "I3": [ 4074 ],
- "O": [ 4076 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4074 ],
- "CO": [ 4077 ],
- "I0": [ 4075 ],
- "I1": [ 2349 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4078 ],
- "I2": [ 2351 ],
- "I3": [ 4077 ],
- "O": [ 4079 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4077 ],
- "CO": [ 4080 ],
- "I0": [ 4078 ],
- "I1": [ 2351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4081 ],
- "I2": [ 2353 ],
- "I3": [ 4080 ],
- "O": [ 4082 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4080 ],
- "CO": [ 4083 ],
- "I0": [ 4081 ],
- "I1": [ 2353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4084 ],
- "I2": [ 2355 ],
- "I3": [ 4083 ],
- "O": [ 4085 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4086 ],
- "I2": [ 2325 ],
- "I3": [ 4064 ],
- "O": [ 4087 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4064 ],
- "CO": [ 4088 ],
- "I0": [ 4086 ],
- "I1": [ 2325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4089 ],
- "I2": [ 2327 ],
- "I3": [ 4088 ],
- "O": [ 4090 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4088 ],
- "CO": [ 4091 ],
- "I0": [ 4089 ],
- "I1": [ 2327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4092 ],
- "I2": [ 2329 ],
- "I3": [ 4091 ],
- "O": [ 4093 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4091 ],
- "CO": [ 4094 ],
- "I0": [ 4092 ],
- "I1": [ 2329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4095 ],
- "I2": [ 2331 ],
- "I3": [ 4094 ],
- "O": [ 4096 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4094 ],
- "CO": [ 4097 ],
- "I0": [ 4095 ],
- "I1": [ 2331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4098 ],
- "I2": [ 2333 ],
- "I3": [ 4097 ],
- "O": [ 4099 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4097 ],
- "CO": [ 4100 ],
- "I0": [ 4098 ],
- "I1": [ 2333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4101 ],
- "I2": [ 2335 ],
- "I3": [ 4100 ],
- "O": [ 4102 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4100 ],
- "CO": [ 4103 ],
- "I0": [ 4101 ],
- "I1": [ 2335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4104 ],
- "I2": [ 2337 ],
- "I3": [ 4103 ],
- "O": [ 4105 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4103 ],
- "CO": [ 4106 ],
- "I0": [ 4104 ],
- "I1": [ 2337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4107 ],
- "I2": [ 2339 ],
- "I3": [ 4106 ],
- "O": [ 4108 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4106 ],
- "CO": [ 4109 ],
- "I0": [ 4107 ],
- "I1": [ 2339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4110 ],
- "I2": [ 2341 ],
- "I3": [ 4109 ],
- "O": [ 4111 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4109 ],
- "CO": [ 4066 ],
- "I0": [ 4110 ],
- "I1": [ 2341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4062 ],
- "I2": [ 2322 ],
- "I3": [ "0" ],
- "O": [ 4112 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4113 ],
- "I0": [ 4062 ],
- "I1": [ 2322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4065 ],
- "I2": [ 2342 ],
- "I3": [ 4114 ],
- "O": [ 4115 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4114 ],
- "CO": [ 4116 ],
- "I0": [ 4065 ],
- "I1": [ 2342 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4069 ],
- "I2": [ 2344 ],
- "I3": [ 4116 ],
- "O": [ 4117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4116 ],
- "CO": [ 4118 ],
- "I0": [ 4069 ],
- "I1": [ 2344 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4072 ],
- "I2": [ 2346 ],
- "I3": [ 4118 ],
- "O": [ 4119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4118 ],
- "CO": [ 4120 ],
- "I0": [ 4072 ],
- "I1": [ 2346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4075 ],
- "I2": [ 2348 ],
- "I3": [ 4120 ],
- "O": [ 4121 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4120 ],
- "CO": [ 4122 ],
- "I0": [ 4075 ],
- "I1": [ 2348 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4078 ],
- "I2": [ 2350 ],
- "I3": [ 4122 ],
- "O": [ 4123 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4122 ],
- "CO": [ 4124 ],
- "I0": [ 4078 ],
- "I1": [ 2350 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4081 ],
- "I2": [ 2352 ],
- "I3": [ 4124 ],
- "O": [ 4125 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4124 ],
- "CO": [ 4126 ],
- "I0": [ 4081 ],
- "I1": [ 2352 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4084 ],
- "I2": [ 2354 ],
- "I3": [ 4126 ],
- "O": [ 4127 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4086 ],
- "I2": [ 2324 ],
- "I3": [ 4113 ],
- "O": [ 4128 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4113 ],
- "CO": [ 4129 ],
- "I0": [ 4086 ],
- "I1": [ 2324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4089 ],
- "I2": [ 2326 ],
- "I3": [ 4129 ],
- "O": [ 4130 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4129 ],
- "CO": [ 4131 ],
- "I0": [ 4089 ],
- "I1": [ 2326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4092 ],
- "I2": [ 2328 ],
- "I3": [ 4131 ],
- "O": [ 4132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4131 ],
- "CO": [ 4133 ],
- "I0": [ 4092 ],
- "I1": [ 2328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4095 ],
- "I2": [ 2330 ],
- "I3": [ 4133 ],
- "O": [ 4134 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4133 ],
- "CO": [ 4135 ],
- "I0": [ 4095 ],
- "I1": [ 2330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4098 ],
- "I2": [ 2332 ],
- "I3": [ 4135 ],
- "O": [ 4136 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4135 ],
- "CO": [ 4137 ],
- "I0": [ 4098 ],
- "I1": [ 2332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4101 ],
- "I2": [ 2334 ],
- "I3": [ 4137 ],
- "O": [ 4138 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4137 ],
- "CO": [ 4139 ],
- "I0": [ 4101 ],
- "I1": [ 2334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4104 ],
- "I2": [ 2336 ],
- "I3": [ 4139 ],
- "O": [ 4140 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4139 ],
- "CO": [ 4141 ],
- "I0": [ 4104 ],
- "I1": [ 2336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4107 ],
- "I2": [ 2338 ],
- "I3": [ 4141 ],
- "O": [ 4142 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4141 ],
- "CO": [ 4143 ],
- "I0": [ 4107 ],
- "I1": [ 2338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4110 ],
- "I2": [ 2340 ],
- "I3": [ 4143 ],
- "O": [ 4144 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4143 ],
- "CO": [ 4114 ],
- "I0": [ 4110 ],
- "I1": [ 2340 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1464 ],
- "I2": [ 195 ],
- "I3": [ "0" ],
- "O": [ 1435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4145 ],
- "I0": [ 1464 ],
- "I1": [ 195 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2013 ],
- "I2": [ 1541 ],
- "I3": [ 4146 ],
- "O": [ 1537 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4146 ],
- "CO": [ 4147 ],
- "I0": [ 2013 ],
- "I1": [ 1541 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2003 ],
- "I2": [ 1546 ],
- "I3": [ 4147 ],
- "O": [ 1542 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4147 ],
- "CO": [ 4148 ],
- "I0": [ 2003 ],
- "I1": [ 1546 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1992 ],
- "I2": [ 1551 ],
- "I3": [ 4148 ],
- "O": [ 1547 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4148 ],
- "CO": [ 4149 ],
- "I0": [ 1992 ],
- "I1": [ 1551 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1993 ],
- "I2": [ 1556 ],
- "I3": [ 4149 ],
- "O": [ 1552 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4149 ],
- "CO": [ 4150 ],
- "I0": [ 1993 ],
- "I1": [ 1556 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1995 ],
- "I2": [ 1561 ],
- "I3": [ 4150 ],
- "O": [ 1557 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4150 ],
- "CO": [ 4151 ],
- "I0": [ 1995 ],
- "I1": [ 1561 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1883 ],
- "I2": [ 1566 ],
- "I3": [ 4151 ],
- "O": [ 1562 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4151 ],
- "CO": [ 4152 ],
- "I0": [ 1883 ],
- "I1": [ 1566 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1884 ],
- "I2": [ 766 ],
- "I3": [ 4152 ],
- "O": [ 1567 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4152 ],
- "CO": [ 4153 ],
- "I0": [ 1884 ],
- "I1": [ 766 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1887 ],
- "I2": [ 1575 ],
- "I3": [ 4153 ],
- "O": [ 1571 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4153 ],
- "CO": [ 4154 ],
- "I0": [ 1887 ],
- "I1": [ 1575 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1888 ],
- "I2": [ 1580 ],
- "I3": [ 4154 ],
- "O": [ 1576 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4154 ],
- "CO": [ 4155 ],
- "I0": [ 1888 ],
- "I1": [ 1580 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1893 ],
- "I2": [ 1585 ],
- "I3": [ 4155 ],
- "O": [ 1581 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4155 ],
- "CO": [ 4156 ],
- "I0": [ 1893 ],
- "I1": [ 1585 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1470 ],
- "I2": [ 198 ],
- "I3": [ 4145 ],
- "O": [ 1442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4145 ],
- "CO": [ 4157 ],
- "I0": [ 1470 ],
- "I1": [ 198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1894 ],
- "I2": [ 1590 ],
- "I3": [ 4156 ],
- "O": [ 1586 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4156 ],
- "CO": [ 4158 ],
- "I0": [ 1894 ],
- "I1": [ 1590 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1897 ],
- "I2": [ 1595 ],
- "I3": [ 4158 ],
- "O": [ 1591 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4158 ],
- "CO": [ 4159 ],
- "I0": [ 1897 ],
- "I1": [ 1595 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1898 ],
- "I2": [ 1600 ],
- "I3": [ 4159 ],
- "O": [ 1596 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4159 ],
- "CO": [ 4160 ],
- "I0": [ 1898 ],
- "I1": [ 1600 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1905 ],
- "I2": [ 1605 ],
- "I3": [ 4160 ],
- "O": [ 1601 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4160 ],
- "CO": [ 4161 ],
- "I0": [ 1905 ],
- "I1": [ 1605 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1906 ],
- "I2": [ 1610 ],
- "I3": [ 4161 ],
- "O": [ 1606 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4161 ],
- "CO": [ 4162 ],
- "I0": [ 1906 ],
- "I1": [ 1610 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1909 ],
- "I2": [ 1615 ],
- "I3": [ 4162 ],
- "O": [ 1611 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4162 ],
- "CO": [ 4163 ],
- "I0": [ 1909 ],
- "I1": [ 1615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1910 ],
- "I2": [ 1620 ],
- "I3": [ 4163 ],
- "O": [ 1616 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4163 ],
- "CO": [ 4164 ],
- "I0": [ 1910 ],
- "I1": [ 1620 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1915 ],
- "I2": [ 1625 ],
- "I3": [ 4164 ],
- "O": [ 1621 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4164 ],
- "CO": [ 4165 ],
- "I0": [ 1915 ],
- "I1": [ 1625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1916 ],
- "I2": [ 1630 ],
- "I3": [ 4165 ],
- "O": [ 1626 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4165 ],
- "CO": [ 4166 ],
- "I0": [ 1916 ],
- "I1": [ 1630 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1919 ],
- "I2": [ 1635 ],
- "I3": [ 4166 ],
- "O": [ 1631 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4166 ],
- "CO": [ 4167 ],
- "I0": [ 1919 ],
- "I1": [ 1635 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1493 ],
- "I2": [ 201 ],
- "I3": [ 4157 ],
- "O": [ 1477 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4157 ],
- "CO": [ 4168 ],
- "I0": [ 1493 ],
- "I1": [ 201 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1920 ],
- "I2": [ 1640 ],
- "I3": [ 4167 ],
- "O": [ 1636 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4167 ],
- "CO": [ 4169 ],
- "I0": [ 1920 ],
- "I1": [ 1640 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1855 ],
- "I2": [ 1645 ],
- "I3": [ 4169 ],
- "O": [ 1641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2067 ],
- "I2": [ 202 ],
- "I3": [ 4168 ],
- "O": [ 1505 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4168 ],
- "CO": [ 4170 ],
- "I0": [ 2067 ],
- "I1": [ 202 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2061 ],
- "I2": [ 199 ],
- "I3": [ 4170 ],
- "O": [ 1509 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4170 ],
- "CO": [ 4171 ],
- "I0": [ 2061 ],
- "I1": [ 199 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2062 ],
- "I2": [ 200 ],
- "I3": [ 4171 ],
- "O": [ 1513 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4171 ],
- "CO": [ 4172 ],
- "I0": [ 2062 ],
- "I1": [ 200 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2064 ],
- "I2": [ 1521 ],
- "I3": [ 4172 ],
- "O": [ 1517 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4172 ],
- "CO": [ 4173 ],
- "I0": [ 2064 ],
- "I1": [ 1521 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2008 ],
- "I2": [ 1526 ],
- "I3": [ 4173 ],
- "O": [ 1522 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4173 ],
- "CO": [ 4174 ],
- "I0": [ 2008 ],
- "I1": [ 1526 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2009 ],
- "I2": [ 1531 ],
- "I3": [ 4174 ],
- "O": [ 1527 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4174 ],
- "CO": [ 4175 ],
- "I0": [ 2009 ],
- "I1": [ 1531 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2012 ],
- "I2": [ 1536 ],
- "I3": [ 4175 ],
- "O": [ 1532 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4175 ],
- "CO": [ 4146 ],
- "I0": [ 2012 ],
- "I1": [ 1536 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1670 ],
- "I2": [ 1651 ],
- "I3": [ "0" ],
- "O": [ 1647 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4176 ],
- "I0": [ 1670 ],
- "I1": [ 1651 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2215 ],
- "I2": [ 1747 ],
- "I3": [ 4177 ],
- "O": [ 1744 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4177 ],
- "CO": [ 4178 ],
- "I0": [ 2215 ],
- "I1": [ 1747 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2216 ],
- "I2": [ 1752 ],
- "I3": [ 4178 ],
- "O": [ 1749 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4178 ],
- "CO": [ 4179 ],
- "I0": [ 2216 ],
- "I1": [ 1752 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2221 ],
- "I2": [ 1757 ],
- "I3": [ 4179 ],
- "O": [ 1754 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4179 ],
- "CO": [ 4180 ],
- "I0": [ 2221 ],
- "I1": [ 1757 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2222 ],
- "I2": [ 1762 ],
- "I3": [ 4180 ],
- "O": [ 1759 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4180 ],
- "CO": [ 4181 ],
- "I0": [ 2222 ],
- "I1": [ 1762 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2226 ],
- "I2": [ 1767 ],
- "I3": [ 4181 ],
- "O": [ 1764 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4181 ],
- "CO": [ 4182 ],
- "I0": [ 2226 ],
- "I1": [ 1767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2225 ],
- "I2": [ 1772 ],
- "I3": [ 4182 ],
- "O": [ 1769 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4182 ],
- "CO": [ 4183 ],
- "I0": [ 2225 ],
- "I1": [ 1772 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2159 ],
- "I2": [ 1777 ],
- "I3": [ 4183 ],
- "O": [ 1774 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4183 ],
- "CO": [ 4184 ],
- "I0": [ 2159 ],
- "I1": [ 1777 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2160 ],
- "I2": [ 1782 ],
- "I3": [ 4184 ],
- "O": [ 1779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4184 ],
- "CO": [ 4185 ],
- "I0": [ 2160 ],
- "I1": [ 1782 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2132 ],
- "I2": [ 1787 ],
- "I3": [ 4185 ],
- "O": [ 1784 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4185 ],
- "CO": [ 4186 ],
- "I0": [ 2132 ],
- "I1": [ 1787 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2133 ],
- "I2": [ 1792 ],
- "I3": [ 4186 ],
- "O": [ 1789 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4186 ],
- "CO": [ 4187 ],
- "I0": [ 2133 ],
- "I1": [ 1792 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1676 ],
- "I2": [ 3679 ],
- "I3": [ 4176 ],
- "O": [ 3681 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4176 ],
- "CO": [ 4188 ],
- "I0": [ 1676 ],
- "I1": [ 3679 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2136 ],
- "I2": [ 1797 ],
- "I3": [ 4187 ],
- "O": [ 1794 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4187 ],
- "CO": [ 4189 ],
- "I0": [ 2136 ],
- "I1": [ 1797 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2137 ],
- "I2": [ 1802 ],
- "I3": [ 4189 ],
- "O": [ 1799 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4189 ],
- "CO": [ 4190 ],
- "I0": [ 2137 ],
- "I1": [ 1802 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2126 ],
- "I2": [ 1807 ],
- "I3": [ 4190 ],
- "O": [ 1804 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4190 ],
- "CO": [ 4191 ],
- "I0": [ 2126 ],
- "I1": [ 1807 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2127 ],
- "I2": [ 1812 ],
- "I3": [ 4191 ],
- "O": [ 1809 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4191 ],
- "CO": [ 4192 ],
- "I0": [ 2127 ],
- "I1": [ 1812 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2122 ],
- "I2": [ 1817 ],
- "I3": [ 4192 ],
- "O": [ 1814 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4192 ],
- "CO": [ 4193 ],
- "I0": [ 2122 ],
- "I1": [ 1817 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2123 ],
- "I2": [ 1822 ],
- "I3": [ 4193 ],
- "O": [ 1819 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4193 ],
- "CO": [ 4194 ],
- "I0": [ 2123 ],
- "I1": [ 1822 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2142 ],
- "I2": [ 1827 ],
- "I3": [ 4194 ],
- "O": [ 1824 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4194 ],
- "CO": [ 4195 ],
- "I0": [ 2142 ],
- "I1": [ 1827 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2143 ],
- "I2": [ 1832 ],
- "I3": [ 4195 ],
- "O": [ 1829 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4195 ],
- "CO": [ 4196 ],
- "I0": [ 2143 ],
- "I1": [ 1832 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2146 ],
- "I2": [ 1837 ],
- "I3": [ 4196 ],
- "O": [ 1834 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4196 ],
- "CO": [ 4197 ],
- "I0": [ 2146 ],
- "I1": [ 1837 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2147 ],
- "I2": [ 1842 ],
- "I3": [ 4197 ],
- "O": [ 1839 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4197 ],
- "CO": [ 4198 ],
- "I0": [ 2147 ],
- "I1": [ 1842 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1696 ],
- "I2": [ 3683 ],
- "I3": [ 4188 ],
- "O": [ 3685 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4188 ],
- "CO": [ 4199 ],
- "I0": [ 1696 ],
- "I1": [ 3683 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2149 ],
- "I2": [ 1847 ],
- "I3": [ 4198 ],
- "O": [ 1844 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4198 ],
- "CO": [ 4200 ],
- "I0": [ 2149 ],
- "I1": [ 1847 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2097 ],
- "I2": [ 1852 ],
- "I3": [ 4200 ],
- "O": [ 1849 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2288 ],
- "I2": [ 1712 ],
- "I3": [ 4199 ],
- "O": [ 1709 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4199 ],
- "CO": [ 4201 ],
- "I0": [ 2288 ],
- "I1": [ 1712 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2282 ],
- "I2": [ 1717 ],
- "I3": [ 4201 ],
- "O": [ 1714 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4201 ],
- "CO": [ 4202 ],
- "I0": [ 2282 ],
- "I1": [ 1717 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2283 ],
- "I2": [ 1722 ],
- "I3": [ 4202 ],
- "O": [ 1719 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4202 ],
- "CO": [ 4203 ],
- "I0": [ 2283 ],
- "I1": [ 1722 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2285 ],
- "I2": [ 1727 ],
- "I3": [ 4203 ],
- "O": [ 1724 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4203 ],
- "CO": [ 4204 ],
- "I0": [ 2285 ],
- "I1": [ 1727 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2263 ],
- "I2": [ 1732 ],
- "I3": [ 4204 ],
- "O": [ 1729 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4204 ],
- "CO": [ 4205 ],
- "I0": [ 2263 ],
- "I1": [ 1732 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2211 ],
- "I2": [ 1737 ],
- "I3": [ 4205 ],
- "O": [ 1734 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4205 ],
- "CO": [ 4206 ],
- "I0": [ 2211 ],
- "I1": [ 1737 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2212 ],
- "I2": [ 1742 ],
- "I3": [ 4206 ],
- "O": [ 1739 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4206 ],
- "CO": [ 4177 ],
- "I0": [ 2212 ],
- "I1": [ 1742 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2381 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1439 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2772 ],
- "I2": [ 2512 ],
- "I3": [ 4207 ],
- "O": [ 1540 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4207 ],
- "CO": [ 4208 ],
- "I0": [ 2772 ],
- "I1": [ 2512 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2773 ],
- "I2": [ 2533 ],
- "I3": [ 4208 ],
- "O": [ 1545 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4208 ],
- "CO": [ 4209 ],
- "I0": [ 2773 ],
- "I1": [ 2533 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2774 ],
- "I2": [ 2554 ],
- "I3": [ 4209 ],
- "O": [ 1550 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4209 ],
- "CO": [ 4210 ],
- "I0": [ 2774 ],
- "I1": [ 2554 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2775 ],
- "I2": [ 2575 ],
- "I3": [ 4210 ],
- "O": [ 1555 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4210 ],
- "CO": [ 4211 ],
- "I0": [ 2775 ],
- "I1": [ 2575 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2776 ],
- "I2": [ 2596 ],
- "I3": [ 4211 ],
- "O": [ 1560 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4211 ],
- "CO": [ 4212 ],
- "I0": [ 2776 ],
- "I1": [ 2596 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2777 ],
- "I2": [ 2617 ],
- "I3": [ 4212 ],
- "O": [ 1565 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4212 ],
- "CO": [ 4213 ],
- "I0": [ 2777 ],
- "I1": [ 2617 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2778 ],
- "I2": [ 2638 ],
- "I3": [ 4213 ],
- "O": [ 1570 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4213 ],
- "CO": [ 4214 ],
- "I0": [ 2778 ],
- "I1": [ 2638 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2779 ],
- "I2": [ 2659 ],
- "I3": [ 4214 ],
- "O": [ 1574 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4214 ],
- "CO": [ 4215 ],
- "I0": [ 2779 ],
- "I1": [ 2659 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2780 ],
- "I2": [ 2669 ],
- "I3": [ 4215 ],
- "O": [ 1579 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4215 ],
- "CO": [ 4216 ],
- "I0": [ 2780 ],
- "I1": [ 2669 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2781 ],
- "I2": [ 2676 ],
- "I3": [ 4216 ],
- "O": [ 1584 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4216 ],
- "CO": [ 4217 ],
- "I0": [ 2781 ],
- "I1": [ 2676 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2782 ],
- "I2": [ 2683 ],
- "I3": [ 4217 ],
- "O": [ 1589 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4217 ],
- "CO": [ 4218 ],
- "I0": [ 2782 ],
- "I1": [ 2683 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2783 ],
- "I2": [ 2690 ],
- "I3": [ 4218 ],
- "O": [ 1594 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4218 ],
- "CO": [ 4219 ],
- "I0": [ 2783 ],
- "I1": [ 2690 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2784 ],
- "I2": [ 2697 ],
- "I3": [ 4219 ],
- "O": [ 1599 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4219 ],
- "CO": [ 4220 ],
- "I0": [ 2784 ],
- "I1": [ 2697 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2785 ],
- "I2": [ 2704 ],
- "I3": [ 4220 ],
- "O": [ 1604 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4220 ],
- "CO": [ 4221 ],
- "I0": [ 2785 ],
- "I1": [ 2704 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2786 ],
- "I2": [ 2711 ],
- "I3": [ 4221 ],
- "O": [ 1609 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4221 ],
- "CO": [ 4222 ],
- "I0": [ 2786 ],
- "I1": [ 2711 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2787 ],
- "I2": [ 2718 ],
- "I3": [ 4222 ],
- "O": [ 1614 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4222 ],
- "CO": [ 4223 ],
- "I0": [ 2787 ],
- "I1": [ 2718 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2788 ],
- "I2": [ 2725 ],
- "I3": [ 4223 ],
- "O": [ 1619 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4223 ],
- "CO": [ 4224 ],
- "I0": [ 2788 ],
- "I1": [ 2725 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2789 ],
- "I2": [ 2732 ],
- "I3": [ 4224 ],
- "O": [ 1624 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4224 ],
- "CO": [ 4225 ],
- "I0": [ 2789 ],
- "I1": [ 2732 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2790 ],
- "I2": [ 2739 ],
- "I3": [ 4225 ],
- "O": [ 1629 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4225 ],
- "CO": [ 4226 ],
- "I0": [ 2790 ],
- "I1": [ 2739 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2791 ],
- "I2": [ 2746 ],
- "I3": [ 4226 ],
- "O": [ 1634 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4226 ],
- "CO": [ 4227 ],
- "I0": [ 2791 ],
- "I1": [ 2746 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4228 ],
- "I0": [ 1482 ],
- "I1": [ 1481 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2792 ],
- "I2": [ 2753 ],
- "I3": [ 4227 ],
- "O": [ 1639 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4227 ],
- "CO": [ 4229 ],
- "I0": [ 2792 ],
- "I1": [ 2753 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2794 ],
- "I2": [ 2760 ],
- "I3": [ 4229 ],
- "O": [ 1644 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2765 ],
- "I2": [ 2382 ],
- "I3": [ 4228 ],
- "O": [ 1508 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4228 ],
- "CO": [ 4230 ],
- "I0": [ 2765 ],
- "I1": [ 2382 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2766 ],
- "I2": [ 2386 ],
- "I3": [ 4230 ],
- "O": [ 1512 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4230 ],
- "CO": [ 4231 ],
- "I0": [ 2766 ],
- "I1": [ 2386 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2767 ],
- "I2": [ 2407 ],
- "I3": [ 4231 ],
- "O": [ 1516 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4231 ],
- "CO": [ 4232 ],
- "I0": [ 2767 ],
- "I1": [ 2407 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2768 ],
- "I2": [ 2428 ],
- "I3": [ 4232 ],
- "O": [ 1520 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4232 ],
- "CO": [ 4233 ],
- "I0": [ 2768 ],
- "I1": [ 2428 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2769 ],
- "I2": [ 2449 ],
- "I3": [ 4233 ],
- "O": [ 1525 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4233 ],
- "CO": [ 4234 ],
- "I0": [ 2769 ],
- "I1": [ 2449 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2770 ],
- "I2": [ 2470 ],
- "I3": [ 4234 ],
- "O": [ 1530 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4234 ],
- "CO": [ 4235 ],
- "I0": [ 2770 ],
- "I1": [ 2470 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2771 ],
- "I2": [ 2491 ],
- "I3": [ 4235 ],
- "O": [ 1535 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3325.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4235 ],
- "CO": [ 4207 ],
- "I0": [ 2771 ],
- "I1": [ 2491 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2380 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1650 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3188 ],
- "I2": [ 2928 ],
- "I3": [ 4236 ],
- "O": [ 1746 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4236 ],
- "CO": [ 4237 ],
- "I0": [ 3188 ],
- "I1": [ 2928 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3189 ],
- "I2": [ 2949 ],
- "I3": [ 4237 ],
- "O": [ 1751 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4237 ],
- "CO": [ 4238 ],
- "I0": [ 3189 ],
- "I1": [ 2949 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3190 ],
- "I2": [ 2970 ],
- "I3": [ 4238 ],
- "O": [ 1756 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4238 ],
- "CO": [ 4239 ],
- "I0": [ 3190 ],
- "I1": [ 2970 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3191 ],
- "I2": [ 2991 ],
- "I3": [ 4239 ],
- "O": [ 1761 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4239 ],
- "CO": [ 4240 ],
- "I0": [ 3191 ],
- "I1": [ 2991 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3192 ],
- "I2": [ 3012 ],
- "I3": [ 4240 ],
- "O": [ 1766 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4240 ],
- "CO": [ 4241 ],
- "I0": [ 3192 ],
- "I1": [ 3012 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3193 ],
- "I2": [ 3033 ],
- "I3": [ 4241 ],
- "O": [ 1771 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4241 ],
- "CO": [ 4242 ],
- "I0": [ 3193 ],
- "I1": [ 3033 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3194 ],
- "I2": [ 3054 ],
- "I3": [ 4242 ],
- "O": [ 1776 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4242 ],
- "CO": [ 4243 ],
- "I0": [ 3194 ],
- "I1": [ 3054 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3195 ],
- "I2": [ 3075 ],
- "I3": [ 4243 ],
- "O": [ 1781 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4243 ],
- "CO": [ 4244 ],
- "I0": [ 3195 ],
- "I1": [ 3075 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3196 ],
- "I2": [ 3085 ],
- "I3": [ 4244 ],
- "O": [ 1786 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4244 ],
- "CO": [ 4245 ],
- "I0": [ 3196 ],
- "I1": [ 3085 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3197 ],
- "I2": [ 3092 ],
- "I3": [ 4245 ],
- "O": [ 1791 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4245 ],
- "CO": [ 4246 ],
- "I0": [ 3197 ],
- "I1": [ 3092 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3198 ],
- "I2": [ 3099 ],
- "I3": [ 4246 ],
- "O": [ 1796 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4246 ],
- "CO": [ 4247 ],
- "I0": [ 3198 ],
- "I1": [ 3099 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3199 ],
- "I2": [ 3106 ],
- "I3": [ 4247 ],
- "O": [ 1801 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4247 ],
- "CO": [ 4248 ],
- "I0": [ 3199 ],
- "I1": [ 3106 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3200 ],
- "I2": [ 3113 ],
- "I3": [ 4248 ],
- "O": [ 1806 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4248 ],
- "CO": [ 4249 ],
- "I0": [ 3200 ],
- "I1": [ 3113 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3201 ],
- "I2": [ 3120 ],
- "I3": [ 4249 ],
- "O": [ 1811 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4249 ],
- "CO": [ 4250 ],
- "I0": [ 3201 ],
- "I1": [ 3120 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3202 ],
- "I2": [ 3127 ],
- "I3": [ 4250 ],
- "O": [ 1816 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4250 ],
- "CO": [ 4251 ],
- "I0": [ 3202 ],
- "I1": [ 3127 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3203 ],
- "I2": [ 3134 ],
- "I3": [ 4251 ],
- "O": [ 1821 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4251 ],
- "CO": [ 4252 ],
- "I0": [ 3203 ],
- "I1": [ 3134 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3204 ],
- "I2": [ 3141 ],
- "I3": [ 4252 ],
- "O": [ 1826 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4252 ],
- "CO": [ 4253 ],
- "I0": [ 3204 ],
- "I1": [ 3141 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3205 ],
- "I2": [ 3148 ],
- "I3": [ 4253 ],
- "O": [ 1831 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4253 ],
- "CO": [ 4254 ],
- "I0": [ 3205 ],
- "I1": [ 3148 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3206 ],
- "I2": [ 3155 ],
- "I3": [ 4254 ],
- "O": [ 1836 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4254 ],
- "CO": [ 4255 ],
- "I0": [ 3206 ],
- "I1": [ 3155 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3207 ],
- "I2": [ 3162 ],
- "I3": [ 4255 ],
- "O": [ 1841 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4255 ],
- "CO": [ 4256 ],
- "I0": [ 3207 ],
- "I1": [ 3162 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4257 ],
- "I0": [ 1689 ],
- "I1": [ 1684 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3208 ],
- "I2": [ 3169 ],
- "I3": [ 4256 ],
- "O": [ 1846 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4256 ],
- "CO": [ 4258 ],
- "I0": [ 3208 ],
- "I1": [ 3169 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3212 ],
- "I2": [ 3176 ],
- "I3": [ 4258 ],
- "O": [ 1851 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3181 ],
- "I2": [ 2798 ],
- "I3": [ 4257 ],
- "O": [ 1711 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4257 ],
- "CO": [ 4259 ],
- "I0": [ 3181 ],
- "I1": [ 2798 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3182 ],
- "I2": [ 2802 ],
- "I3": [ 4259 ],
- "O": [ 1716 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4259 ],
- "CO": [ 4260 ],
- "I0": [ 3182 ],
- "I1": [ 2802 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3183 ],
- "I2": [ 2823 ],
- "I3": [ 4260 ],
- "O": [ 1721 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4260 ],
- "CO": [ 4261 ],
- "I0": [ 3183 ],
- "I1": [ 2823 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3184 ],
- "I2": [ 2844 ],
- "I3": [ 4261 ],
- "O": [ 1726 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4261 ],
- "CO": [ 4262 ],
- "I0": [ 3184 ],
- "I1": [ 2844 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3185 ],
- "I2": [ 2865 ],
- "I3": [ 4262 ],
- "O": [ 1731 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4262 ],
- "CO": [ 4263 ],
- "I0": [ 3185 ],
- "I1": [ 2865 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3186 ],
- "I2": [ 2886 ],
- "I3": [ 4263 ],
- "O": [ 1736 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4263 ],
- "CO": [ 4264 ],
- "I0": [ 3186 ],
- "I1": [ 2886 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3187 ],
- "I2": [ 2907 ],
- "I3": [ 4264 ],
- "O": [ 1741 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3496.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4264 ],
- "CO": [ 4236 ],
- "I0": [ 3187 ],
- "I1": [ 2907 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10333": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2372 ],
- "Q": [ 1180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10334": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2373 ],
- "Q": [ 1188 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10335": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2374 ],
- "Q": [ 1194 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10336": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2375 ],
- "Q": [ 1200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2376 ],
- "Q": [ 1206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2377 ],
- "Q": [ 1212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2378 ],
- "Q": [ 1218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2379 ],
- "Q": [ 1224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2364 ],
- "Q": [ 1176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2365 ],
- "Q": [ 1185 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2366 ],
- "Q": [ 1191 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2367 ],
- "Q": [ 1197 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2368 ],
- "Q": [ 1203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2369 ],
- "Q": [ 1209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2370 ],
- "Q": [ 1215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2371 ],
- "Q": [ 1221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10595": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 413 ],
- "Q": [ 769 ],
- "R": [ 401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10598": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3215 ],
- "Q": [ 1182 ],
- "R": [ 465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10649": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 134 ],
- "Q": [ 220 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10650": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3948 ],
- "E": [ 203 ],
- "Q": [ 191 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10651": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3592 ],
- "E": [ 192 ],
- "Q": [ 373 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10652": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3950 ],
- "E": [ 203 ],
- "Q": [ 379 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10653": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3952 ],
- "E": [ 203 ],
- "Q": [ 366 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10654": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3954 ],
- "E": [ 203 ],
- "Q": [ 249 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10655": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3956 ],
- "E": [ 203 ],
- "Q": [ 388 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10656": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3958 ],
- "E": [ 203 ],
- "Q": [ 381 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10657": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3960 ],
- "E": [ 203 ],
- "Q": [ 360 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10658": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 418 ],
- "Q": [ 213 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10659": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 421 ],
- "Q": [ 214 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10660": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 440 ],
- "Q": [ 215 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10661": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 430 ],
- "Q": [ 216 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10662": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "Q": [ 209 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10663": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 423 ],
- "Q": [ 210 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10664": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 433 ],
- "Q": [ 211 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10665": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 443 ],
- "Q": [ 212 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10666": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 10 ],
- "E": [ 205 ],
- "Q": [ 221 ],
- "R": [ 11 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10668": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 764 ],
- "E": [ 219 ],
- "Q": [ 4265 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10669": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3613 ],
- "E": [ 217 ],
- "Q": [ 773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10670": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3616 ],
- "E": [ 217 ],
- "Q": [ 774 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10671": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3620 ],
- "E": [ 217 ],
- "Q": [ 3976 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10672": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3624 ],
- "E": [ 217 ],
- "Q": [ 3978 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10673": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3628 ],
- "E": [ 217 ],
- "Q": [ 3980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10674": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3632 ],
- "E": [ 217 ],
- "Q": [ 3982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10675": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3636 ],
- "E": [ 217 ],
- "Q": [ 3984 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10676": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3640 ],
- "E": [ 217 ],
- "Q": [ 3986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10677": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3644 ],
- "E": [ 217 ],
- "Q": [ 3961 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10678": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3648 ],
- "E": [ 217 ],
- "Q": [ 3964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10679": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3652 ],
- "E": [ 217 ],
- "Q": [ 3966 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10680": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3656 ],
- "E": [ 217 ],
- "Q": [ 3968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10681": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3660 ],
- "E": [ 217 ],
- "Q": [ 3970 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10682": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3664 ],
- "E": [ 217 ],
- "Q": [ 3972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10683": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3668 ],
- "E": [ 217 ],
- "Q": [ 3974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10684": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4265 ],
- "E": [ 222 ],
- "Q": [ 167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10685": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 773 ],
- "E": [ 222 ],
- "Q": [ 156 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10686": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 774 ],
- "E": [ 222 ],
- "Q": [ 977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10687": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3976 ],
- "E": [ 222 ],
- "Q": [ 986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10688": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3978 ],
- "E": [ 222 ],
- "Q": [ 995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10689": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3980 ],
- "E": [ 222 ],
- "Q": [ 1004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10690": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3982 ],
- "E": [ 222 ],
- "Q": [ 1013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10691": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3984 ],
- "E": [ 222 ],
- "Q": [ 1022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10692": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3986 ],
- "E": [ 222 ],
- "Q": [ 1031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10693": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3961 ],
- "E": [ 222 ],
- "Q": [ 1040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10694": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3964 ],
- "E": [ 222 ],
- "Q": [ 1049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10695": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3966 ],
- "E": [ 222 ],
- "Q": [ 1058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10696": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3968 ],
- "E": [ 222 ],
- "Q": [ 1067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10697": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3970 ],
- "E": [ 222 ],
- "Q": [ 1076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10698": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3972 ],
- "E": [ 222 ],
- "Q": [ 1085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10699": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3974 ],
- "E": [ 222 ],
- "Q": [ 1094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10700": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 222 ],
- "E": [ 223 ],
- "Q": [ 160 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10701": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 227 ],
- "E": [ 226 ],
- "Q": [ 93 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 233 ],
- "E": [ 225 ],
- "Q": [ 238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 234 ],
- "E": [ 225 ],
- "Q": [ 236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 124 ],
- "E": [ 225 ],
- "Q": [ 123 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 121 ],
- "E": [ 225 ],
- "Q": [ 120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 127 ],
- "E": [ 225 ],
- "Q": [ 126 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 130 ],
- "E": [ 225 ],
- "Q": [ 129 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 822 ],
- "E": [ 225 ],
- "Q": [ 819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 826 ],
- "E": [ 225 ],
- "Q": [ 824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10710": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 831 ],
- "E": [ 225 ],
- "Q": [ 828 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10711": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 836 ],
- "E": [ 225 ],
- "Q": [ 833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10712": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 841 ],
- "E": [ 225 ],
- "Q": [ 838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10713": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 846 ],
- "E": [ 225 ],
- "Q": [ 843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10714": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 851 ],
- "E": [ 225 ],
- "Q": [ 848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10715": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 856 ],
- "E": [ 225 ],
- "Q": [ 853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10716": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 861 ],
- "E": [ 225 ],
- "Q": [ 858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10717": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 863 ],
- "E": [ 225 ],
- "Q": [ 243 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10718": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 864 ],
- "E": [ 225 ],
- "Q": [ 92 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10719": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 866 ],
- "E": [ 225 ],
- "Q": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10720": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 868 ],
- "E": [ 225 ],
- "Q": [ 99 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10721": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 870 ],
- "E": [ 225 ],
- "Q": [ 102 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10722": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 872 ],
- "E": [ 225 ],
- "Q": [ 105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10723": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 874 ],
- "E": [ 225 ],
- "Q": [ 108 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10724": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 876 ],
- "E": [ 225 ],
- "Q": [ 111 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10725": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 878 ],
- "E": [ 225 ],
- "Q": [ 114 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10726": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 880 ],
- "E": [ 225 ],
- "Q": [ 117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10727": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 923 ],
- "E": [ 225 ],
- "Q": [ 922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10728": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 928 ],
- "E": [ 225 ],
- "Q": [ 927 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10729": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 225 ],
- "Q": [ 932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10730": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 938 ],
- "E": [ 225 ],
- "Q": [ 937 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10731": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 943 ],
- "E": [ 225 ],
- "Q": [ 942 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10732": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 948 ],
- "E": [ 225 ],
- "Q": [ 947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10733": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 953 ],
- "E": [ 225 ],
- "Q": [ 952 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10734": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 245 ],
- "E": [ 228 ],
- "Q": [ 244 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10735": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1431 ],
- "E": [ 246 ],
- "Q": [ 237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10736": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1434 ],
- "E": [ 246 ],
- "Q": [ 235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10737": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2361 ],
- "E": [ 246 ],
- "Q": [ 122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10738": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 557 ],
- "E": [ 246 ],
- "Q": [ 119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10739": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 613 ],
- "E": [ 246 ],
- "Q": [ 125 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10740": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 650 ],
- "E": [ 246 ],
- "Q": [ 128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10741": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2358 ],
- "E": [ 246 ],
- "Q": [ 818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10742": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 970 ],
- "E": [ 246 ],
- "Q": [ 823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10743": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1288 ],
- "E": [ 246 ],
- "Q": [ 827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10744": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1175 ],
- "E": [ 246 ],
- "Q": [ 832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10745": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1172 ],
- "E": [ 246 ],
- "Q": [ 837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10746": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1145 ],
- "E": [ 246 ],
- "Q": [ 842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10747": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1137 ],
- "E": [ 246 ],
- "Q": [ 847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10748": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1134 ],
- "E": [ 246 ],
- "Q": [ 852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10749": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1131 ],
- "E": [ 246 ],
- "Q": [ 857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10750": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1128 ],
- "E": [ 246 ],
- "Q": [ 242 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10751": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 973 ],
- "E": [ 246 ],
- "Q": [ 91 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10752": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 967 ],
- "E": [ 246 ],
- "Q": [ 95 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10753": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 964 ],
- "E": [ 246 ],
- "Q": [ 98 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10754": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 961 ],
- "E": [ 246 ],
- "Q": [ 101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10755": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 958 ],
- "E": [ 246 ],
- "Q": [ 104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10756": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 761 ],
- "E": [ 246 ],
- "Q": [ 107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10757": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 758 ],
- "E": [ 246 ],
- "Q": [ 110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10758": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 755 ],
- "E": [ 246 ],
- "Q": [ 113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10759": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 752 ],
- "E": [ 246 ],
- "Q": [ 116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10760": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 749 ],
- "E": [ 246 ],
- "Q": [ 921 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10761": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 746 ],
- "E": [ 246 ],
- "Q": [ 926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10762": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 743 ],
- "E": [ 246 ],
- "Q": [ 931 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10763": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 740 ],
- "E": [ 246 ],
- "Q": [ 936 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10764": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 737 ],
- "E": [ 246 ],
- "Q": [ 941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10765": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 734 ],
- "E": [ 246 ],
- "Q": [ 946 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10766": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 731 ],
- "E": [ 246 ],
- "Q": [ 951 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10767": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 367 ],
- "Q": [ 247 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10768": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 376 ],
- "Q": [ 377 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10769": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 881 ],
- "Q": [ 382 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10770": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 369 ],
- "Q": [ 370 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10771": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 882 ],
- "Q": [ 248 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10772": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 883 ],
- "Q": [ 390 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10773": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 884 ],
- "Q": [ 384 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10774": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 392 ],
- "Q": [ 393 ],
- "R": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10775": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 233 ],
- "E": [ 255 ],
- "Q": [ 80 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10776": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 234 ],
- "E": [ 255 ],
- "Q": [ 81 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10777": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 124 ],
- "E": [ 255 ],
- "Q": [ 83 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10778": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 121 ],
- "E": [ 255 ],
- "Q": [ 85 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10779": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 127 ],
- "E": [ 255 ],
- "Q": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10780": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 130 ],
- "E": [ 255 ],
- "Q": [ 87 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10781": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 886 ],
- "E": [ 253 ],
- "Q": [ 251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10782": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 888 ],
- "E": [ 254 ],
- "Q": [ 887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10783": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 890 ],
- "E": [ 253 ],
- "Q": [ 3994 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10784": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 892 ],
- "E": [ 253 ],
- "Q": [ 3996 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10785": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 894 ],
- "E": [ 253 ],
- "Q": [ 3998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10786": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 896 ],
- "E": [ 253 ],
- "Q": [ 4000 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10787": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 898 ],
- "E": [ 253 ],
- "Q": [ 4002 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10788": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 900 ],
- "E": [ 253 ],
- "Q": [ 4004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10789": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 902 ],
- "E": [ 253 ],
- "Q": [ 4006 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10790": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 904 ],
- "E": [ 253 ],
- "Q": [ 4007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10791": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 94 ],
- "E": [ 255 ],
- "Q": [ 4266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10792": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 906 ],
- "E": [ 256 ],
- "Q": [ 905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10793": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 908 ],
- "E": [ 253 ],
- "Q": [ 4023 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10794": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 910 ],
- "E": [ 253 ],
- "Q": [ 4025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10795": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 912 ],
- "E": [ 253 ],
- "Q": [ 4027 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10796": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 914 ],
- "E": [ 253 ],
- "Q": [ 4029 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10797": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 916 ],
- "E": [ 253 ],
- "Q": [ 4031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10798": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 918 ],
- "E": [ 253 ],
- "Q": [ 4033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10799": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 920 ],
- "E": [ 253 ],
- "Q": [ 4035 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10800": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 925 ],
- "E": [ 253 ],
- "Q": [ 4008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10801": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 930 ],
- "E": [ 253 ],
- "Q": [ 4011 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10802": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 935 ],
- "E": [ 253 ],
- "Q": [ 4013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10803": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 940 ],
- "E": [ 253 ],
- "Q": [ 4015 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10804": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 945 ],
- "E": [ 253 ],
- "Q": [ 4017 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10805": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 950 ],
- "E": [ 253 ],
- "Q": [ 4019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10806": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 955 ],
- "E": [ 253 ],
- "Q": [ 4021 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10807": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:123|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 231 ],
- "E": [ 225 ],
- "Q": [ 224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 545 ],
- "Q": [ 548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4267 ],
- "Q": [ 1672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4268 ],
- "Q": [ 1678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11494": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4269 ],
- "Q": [ 1698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4270 ],
- "Q": [ 2810 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4271 ],
- "Q": [ 2831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11497": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4272 ],
- "Q": [ 2852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11498": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4273 ],
- "Q": [ 2873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11499": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4274 ],
- "Q": [ 2894 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11500": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4275 ],
- "Q": [ 2915 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11501": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4276 ],
- "Q": [ 2936 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11502": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4277 ],
- "Q": [ 2957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11503": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4278 ],
- "Q": [ 2978 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11504": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4279 ],
- "Q": [ 2999 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11505": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4280 ],
- "Q": [ 3020 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11506": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4281 ],
- "Q": [ 3041 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11507": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4282 ],
- "Q": [ 3062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11508": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4283 ],
- "Q": [ 1671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11509": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4284 ],
- "Q": [ 1677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11510": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4285 ],
- "Q": [ 1697 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11511": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4286 ],
- "Q": [ 2809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11512": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4287 ],
- "Q": [ 2830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11513": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4288 ],
- "Q": [ 2851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11514": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4289 ],
- "Q": [ 2872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11515": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4290 ],
- "Q": [ 2893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11516": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4291 ],
- "Q": [ 2914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11517": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4292 ],
- "Q": [ 2935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11518": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4293 ],
- "Q": [ 2956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11519": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4294 ],
- "Q": [ 2977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4295 ],
- "Q": [ 2998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4296 ],
- "Q": [ 3019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4297 ],
- "Q": [ 3040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4298 ],
- "Q": [ 3061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4299 ],
- "Q": [ 1661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4300 ],
- "Q": [ 1680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4301 ],
- "Q": [ 1700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4302 ],
- "Q": [ 2812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4303 ],
- "Q": [ 2833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4304 ],
- "Q": [ 2854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4305 ],
- "Q": [ 2875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4306 ],
- "Q": [ 2896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4307 ],
- "Q": [ 2917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4308 ],
- "Q": [ 2938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4309 ],
- "Q": [ 2959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4310 ],
- "Q": [ 2980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4311 ],
- "Q": [ 3001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4312 ],
- "Q": [ 3022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4313 ],
- "Q": [ 3043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4314 ],
- "Q": [ 3064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4315 ],
- "Q": [ 1662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4316 ],
- "Q": [ 1681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4317 ],
- "Q": [ 1701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4318 ],
- "Q": [ 2813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4319 ],
- "Q": [ 2834 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4320 ],
- "Q": [ 2855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4321 ],
- "Q": [ 2876 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4322 ],
- "Q": [ 2897 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4323 ],
- "Q": [ 2918 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4324 ],
- "Q": [ 2939 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4325 ],
- "Q": [ 2960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4326 ],
- "Q": [ 2981 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4327 ],
- "Q": [ 3002 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4328 ],
- "Q": [ 3023 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4329 ],
- "Q": [ 3044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11555": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4330 ],
- "Q": [ 3065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11557": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4331 ],
- "Q": [ 1660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11558": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4332 ],
- "Q": [ 1679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11559": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4333 ],
- "Q": [ 1699 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11560": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4334 ],
- "Q": [ 2811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11561": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4335 ],
- "Q": [ 2832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11562": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4336 ],
- "Q": [ 2853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11563": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4337 ],
- "Q": [ 2874 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11564": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4338 ],
- "Q": [ 2895 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4339 ],
- "Q": [ 2916 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4340 ],
- "Q": [ 2937 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4341 ],
- "Q": [ 2958 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4342 ],
- "Q": [ 2979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4343 ],
- "Q": [ 3000 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4344 ],
- "Q": [ 3021 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4345 ],
- "Q": [ 3042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4346 ],
- "Q": [ 3063 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4347 ],
- "Q": [ 1667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4348 ],
- "Q": [ 1664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4349 ],
- "Q": [ 1706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4350 ],
- "Q": [ 2818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4351 ],
- "Q": [ 2839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4352 ],
- "Q": [ 2860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4353 ],
- "Q": [ 2881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4354 ],
- "Q": [ 2902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4355 ],
- "Q": [ 2923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4356 ],
- "Q": [ 2944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4357 ],
- "Q": [ 2965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4358 ],
- "Q": [ 2986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4359 ],
- "Q": [ 3007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4360 ],
- "Q": [ 3028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4361 ],
- "Q": [ 3049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4362 ],
- "Q": [ 3070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4363 ],
- "Q": [ 1668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4364 ],
- "Q": [ 1665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4365 ],
- "Q": [ 1707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4366 ],
- "Q": [ 2819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4367 ],
- "Q": [ 2840 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4368 ],
- "Q": [ 2861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4369 ],
- "Q": [ 2882 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4370 ],
- "Q": [ 2903 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11597": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4371 ],
- "Q": [ 2924 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11598": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4372 ],
- "Q": [ 2945 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11599": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4373 ],
- "Q": [ 2966 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4374 ],
- "Q": [ 2987 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4375 ],
- "Q": [ 3008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11602": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4376 ],
- "Q": [ 3029 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11603": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4377 ],
- "Q": [ 3050 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11604": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4378 ],
- "Q": [ 3071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11605": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4379 ],
- "Q": [ 1666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11606": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4380 ],
- "Q": [ 1663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11607": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4381 ],
- "Q": [ 1705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4382 ],
- "Q": [ 2817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4383 ],
- "Q": [ 2838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4384 ],
- "Q": [ 2859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4385 ],
- "Q": [ 2880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4386 ],
- "Q": [ 2901 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4387 ],
- "Q": [ 2922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4388 ],
- "Q": [ 2943 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4389 ],
- "Q": [ 2964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4390 ],
- "Q": [ 2985 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4391 ],
- "Q": [ 3006 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4392 ],
- "Q": [ 3027 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4393 ],
- "Q": [ 3048 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4394 ],
- "Q": [ 3069 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4395 ],
- "Q": [ 1466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4396 ],
- "Q": [ 1472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4397 ],
- "Q": [ 1495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4398 ],
- "Q": [ 2394 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4399 ],
- "Q": [ 2415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4400 ],
- "Q": [ 2436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4401 ],
- "Q": [ 2457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4402 ],
- "Q": [ 2478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4403 ],
- "Q": [ 2499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4404 ],
- "Q": [ 2520 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4405 ],
- "Q": [ 2541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4406 ],
- "Q": [ 2562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4407 ],
- "Q": [ 2583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11635": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4408 ],
- "Q": [ 2604 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11636": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4409 ],
- "Q": [ 2625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11637": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4410 ],
- "Q": [ 2646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11638": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4411 ],
- "Q": [ 1465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11639": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4412 ],
- "Q": [ 1471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11640": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4413 ],
- "Q": [ 1494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11641": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4414 ],
- "Q": [ 2393 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11642": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4415 ],
- "Q": [ 2414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11643": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4416 ],
- "Q": [ 2435 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11644": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4417 ],
- "Q": [ 2456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11645": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4418 ],
- "Q": [ 2477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11646": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4419 ],
- "Q": [ 2498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11647": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4420 ],
- "Q": [ 2519 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11648": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4421 ],
- "Q": [ 2540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11649": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4422 ],
- "Q": [ 2561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11650": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4423 ],
- "Q": [ 2582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11651": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4424 ],
- "Q": [ 2603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11652": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4425 ],
- "Q": [ 2624 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11653": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4426 ],
- "Q": [ 2645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11654": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4427 ],
- "Q": [ 1455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11655": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4428 ],
- "Q": [ 1474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11656": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4429 ],
- "Q": [ 1497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11657": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4430 ],
- "Q": [ 2396 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11658": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4431 ],
- "Q": [ 2417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11659": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4432 ],
- "Q": [ 2438 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11660": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4433 ],
- "Q": [ 2459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11661": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4434 ],
- "Q": [ 2480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11662": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4435 ],
- "Q": [ 2501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11663": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4436 ],
- "Q": [ 2522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11664": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4437 ],
- "Q": [ 2543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11665": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4438 ],
- "Q": [ 2564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11666": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4439 ],
- "Q": [ 2585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11667": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4440 ],
- "Q": [ 2606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4441 ],
- "Q": [ 2627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4442 ],
- "Q": [ 2648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4443 ],
- "Q": [ 1456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4444 ],
- "Q": [ 1475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4445 ],
- "Q": [ 1498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4446 ],
- "Q": [ 2397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4447 ],
- "Q": [ 2418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4448 ],
- "Q": [ 2439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4449 ],
- "Q": [ 2460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4450 ],
- "Q": [ 2481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4451 ],
- "Q": [ 2502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4452 ],
- "Q": [ 2523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4453 ],
- "Q": [ 2544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4454 ],
- "Q": [ 2565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4455 ],
- "Q": [ 2586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11683": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4456 ],
- "Q": [ 2607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11684": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4457 ],
- "Q": [ 2628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11685": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4458 ],
- "Q": [ 2649 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11687": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4459 ],
- "Q": [ 1454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11688": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4460 ],
- "Q": [ 1473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11689": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4461 ],
- "Q": [ 1496 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11690": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4462 ],
- "Q": [ 2395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11691": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4463 ],
- "Q": [ 2416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11692": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4464 ],
- "Q": [ 2437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11693": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4465 ],
- "Q": [ 2458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4466 ],
- "Q": [ 2479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4467 ],
- "Q": [ 2500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4468 ],
- "Q": [ 2521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4469 ],
- "Q": [ 2542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4470 ],
- "Q": [ 2563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4471 ],
- "Q": [ 2584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4472 ],
- "Q": [ 2605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4473 ],
- "Q": [ 2626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4474 ],
- "Q": [ 2647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4475 ],
- "Q": [ 1461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4476 ],
- "Q": [ 1458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4477 ],
- "Q": [ 1503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4478 ],
- "Q": [ 2402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11707": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4479 ],
- "Q": [ 2423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11708": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4480 ],
- "Q": [ 2444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11709": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4481 ],
- "Q": [ 2465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11710": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4482 ],
- "Q": [ 2486 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11711": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4483 ],
- "Q": [ 2507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11712": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4484 ],
- "Q": [ 2528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11713": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4485 ],
- "Q": [ 2549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11714": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4486 ],
- "Q": [ 2570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11715": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4487 ],
- "Q": [ 2591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11716": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4488 ],
- "Q": [ 2612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11717": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4489 ],
- "Q": [ 2633 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11718": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4490 ],
- "Q": [ 2654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11719": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4491 ],
- "Q": [ 1462 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11720": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4492 ],
- "Q": [ 1459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11721": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4493 ],
- "Q": [ 1504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11722": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4494 ],
- "Q": [ 2403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11723": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4495 ],
- "Q": [ 2424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11724": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4496 ],
- "Q": [ 2445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11725": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4497 ],
- "Q": [ 2466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11726": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4498 ],
- "Q": [ 2487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11727": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4499 ],
- "Q": [ 2508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11728": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4500 ],
- "Q": [ 2529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11729": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4501 ],
- "Q": [ 2550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11730": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4502 ],
- "Q": [ 2571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11731": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4503 ],
- "Q": [ 2592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11732": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4504 ],
- "Q": [ 2613 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11733": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4505 ],
- "Q": [ 2634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11734": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4506 ],
- "Q": [ 2655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11735": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4507 ],
- "Q": [ 1460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11736": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4508 ],
- "Q": [ 1457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11737": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4509 ],
- "Q": [ 1502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11738": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4510 ],
- "Q": [ 2401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11739": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4511 ],
- "Q": [ 2422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11740": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4512 ],
- "Q": [ 2443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11741": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4513 ],
- "Q": [ 2464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11742": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4514 ],
- "Q": [ 2485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11743": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4515 ],
- "Q": [ 2506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11744": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4516 ],
- "Q": [ 2527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11745": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4517 ],
- "Q": [ 2548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11746": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4518 ],
- "Q": [ 2569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11747": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4519 ],
- "Q": [ 2590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11748": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4520 ],
- "Q": [ 2611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11749": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4521 ],
- "Q": [ 2632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11750": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:607|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4522 ],
- "Q": [ 2653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 195 ],
- "Q": [ 1430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 198 ],
- "Q": [ 1433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 201 ],
- "Q": [ 2360 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 202 ],
- "Q": [ 554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 199 ],
- "Q": [ 612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 200 ],
- "Q": [ 649 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1521 ],
- "Q": [ 2357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1526 ],
- "Q": [ 969 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1531 ],
- "Q": [ 1287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1536 ],
- "Q": [ 1174 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2883": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1541 ],
- "Q": [ 1171 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2884": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1546 ],
- "Q": [ 1144 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2885": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1551 ],
- "Q": [ 1136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2886": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1556 ],
- "Q": [ 1133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2887": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1561 ],
- "Q": [ 1130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2888": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1566 ],
- "Q": [ 1127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 766 ],
- "Q": [ 972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1575 ],
- "Q": [ 966 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1580 ],
- "Q": [ 963 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1585 ],
- "Q": [ 960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1590 ],
- "Q": [ 957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1595 ],
- "Q": [ 760 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1600 ],
- "Q": [ 757 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1605 ],
- "Q": [ 754 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1610 ],
- "Q": [ 751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1615 ],
- "Q": [ 748 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1620 ],
- "Q": [ 745 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1625 ],
- "Q": [ 742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1630 ],
- "Q": [ 739 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1635 ],
- "Q": [ 736 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1640 ],
- "Q": [ 733 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2908": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1645 ],
- "Q": [ 730 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2910": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "Q": [ 395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2911": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 454 ],
- "Q": [ 453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2912": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 455 ],
- "Q": [ 88 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2913": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 452 ],
- "Q": [ 450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2914": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 449 ],
- "Q": [ 297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2915": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 447 ],
- "Q": [ 298 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2916": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 79 ],
- "Q": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2917": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 461 ],
- "Q": [ 448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2918": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 460 ],
- "Q": [ 446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2919": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 90 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2920": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 62 ],
- "Q": [ 61 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2921": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 456 ],
- "Q": [ 290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2922": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 397 ],
- "Q": [ 292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3598": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 142 ],
- "Q": [ 154 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3599": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 550 ],
- "Q": [ 289 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3600": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 155 ],
- "Q": [ 158 ],
- "R": [ 144 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:477|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 158 ],
- "Q": [ 159 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3602": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 547 ],
- "Q": [ 1240 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3603": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1240 ],
- "Q": [ 1241 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3604": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1241 ],
- "Q": [ 1242 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3605": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:381|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1242 ],
- "Q": [ 1243 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3607": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1291 ],
- "Q": [ 306 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1230 ],
- "Q": [ 1234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1246 ],
- "Q": [ 1249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1252 ],
- "Q": [ 1255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1258 ],
- "Q": [ 1261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1264 ],
- "Q": [ 1267 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1270 ],
- "Q": [ 1273 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1276 ],
- "Q": [ 1279 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1282 ],
- "Q": [ 1285 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3616": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3585 ],
- "E": [ 260 ],
- "Q": [ 151 ],
- "R": [ 3584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3617": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 257 ],
- "E": [ 293 ],
- "Q": [ 152 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3618": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 257 ],
- "E": [ 293 ],
- "Q": [ 153 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1148 ],
- "Q": [ 165 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1151 ],
- "Q": [ 141 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1154 ],
- "Q": [ 974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1157 ],
- "Q": [ 983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1160 ],
- "Q": [ 992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1163 ],
- "Q": [ 1001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1166 ],
- "Q": [ 1010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1169 ],
- "Q": [ 1019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1102 ],
- "Q": [ 1028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1106 ],
- "Q": [ 1037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1109 ],
- "Q": [ 1046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1112 ],
- "Q": [ 1055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1115 ],
- "Q": [ 1064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1118 ],
- "Q": [ 1073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1121 ],
- "Q": [ 1082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1124 ],
- "Q": [ 1091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3635": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1179 ],
- "E": [ 294 ],
- "Q": [ 3253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3636": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1186 ],
- "E": [ 294 ],
- "Q": [ 3259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3637": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1192 ],
- "E": [ 294 ],
- "Q": [ 3265 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3638": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1198 ],
- "E": [ 294 ],
- "Q": [ 3271 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3639": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1204 ],
- "E": [ 294 ],
- "Q": [ 3277 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3640": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1210 ],
- "E": [ 294 ],
- "Q": [ 3283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3641": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1216 ],
- "E": [ 294 ],
- "Q": [ 3289 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3642": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1222 ],
- "E": [ 294 ],
- "Q": [ 3295 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3643": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1183 ],
- "E": [ 294 ],
- "Q": [ 3300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3644": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1189 ],
- "E": [ 294 ],
- "Q": [ 3304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3645": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1195 ],
- "E": [ 294 ],
- "Q": [ 3308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3646": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1201 ],
- "E": [ 294 ],
- "Q": [ 3312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3647": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1207 ],
- "E": [ 294 ],
- "Q": [ 3316 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3648": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1213 ],
- "E": [ 294 ],
- "Q": [ 3320 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3649": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1219 ],
- "E": [ 294 ],
- "Q": [ 3324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3650": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1225 ],
- "E": [ 294 ],
- "Q": [ 3328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3651": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1125 ],
- "Q": [ 10 ],
- "R": [ 3580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3652": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 295 ],
- "Q": [ 762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3653": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 18 ],
- "E": [ 295 ],
- "Q": [ 3612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3654": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 13 ],
- "E": [ 295 ],
- "Q": [ 3615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3655": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 12 ],
- "E": [ 295 ],
- "Q": [ 3619 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3656": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 19 ],
- "E": [ 295 ],
- "Q": [ 3623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3657": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 20 ],
- "E": [ 295 ],
- "Q": [ 3627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3658": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 21 ],
- "E": [ 295 ],
- "Q": [ 3631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3659": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 22 ],
- "E": [ 295 ],
- "Q": [ 3635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3660": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 296 ],
- "Q": [ 3639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3661": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 18 ],
- "E": [ 296 ],
- "Q": [ 3643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3662": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 13 ],
- "E": [ 296 ],
- "Q": [ 3647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3663": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 12 ],
- "E": [ 296 ],
- "Q": [ 3651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3664": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 19 ],
- "E": [ 296 ],
- "Q": [ 3655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3665": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 20 ],
- "E": [ 296 ],
- "Q": [ 3659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3666": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 21 ],
- "E": [ 296 ],
- "Q": [ 3663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3667": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 22 ],
- "E": [ 296 ],
- "Q": [ 3667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3673 ],
- "Q": [ 277 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 509 ],
- "Q": [ 269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 485 ],
- "Q": [ 273 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 491 ],
- "Q": [ 261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 498 ],
- "Q": [ 281 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 472 ],
- "Q": [ 271 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1140 ],
- "Q": [ 285 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 497 ],
- "Q": [ 275 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 517 ],
- "Q": [ 283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 462 ],
- "Q": [ 279 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3678 ],
- "Q": [ 287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3679": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "0" ],
- "E": [ 299 ],
- "Q": [ 278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3680": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "0" ],
- "E": [ 299 ],
- "Q": [ 270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3681": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 299 ],
- "Q": [ 274 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3682": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 18 ],
- "E": [ 299 ],
- "Q": [ 262 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3683": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 13 ],
- "E": [ 299 ],
- "Q": [ 282 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3684": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 12 ],
- "E": [ 299 ],
- "Q": [ 272 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3685": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 19 ],
- "E": [ 299 ],
- "Q": [ 286 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3686": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 20 ],
- "E": [ 299 ],
- "Q": [ 276 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3687": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 21 ],
- "E": [ 299 ],
- "Q": [ 284 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3688": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 22 ],
- "E": [ 299 ],
- "Q": [ 280 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3689": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 16 ],
- "E": [ 299 ],
- "Q": [ 288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3699": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3214 ],
- "Q": [ 1178 ],
- "R": [ 465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 536 ],
- "Q": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3746 ],
- "Q": [ 535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3748 ],
- "Q": [ 534 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3750 ],
- "Q": [ 533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:94|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3752 ],
- "Q": [ 532 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3726": {
- "hide_name": 1,
- "type": "SB_DFFN",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:581|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3587 ],
- "Q": [ 4523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3727": {
- "hide_name": 1,
- "type": "SB_DFFN",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:581|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1295 ],
- "Q": [ 4524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3728": {
- "hide_name": 1,
- "type": "SB_DFFN",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:581|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4525 ],
- "Q": [ 4526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3729": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4523 ],
- "Q": [ 304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3730": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4524 ],
- "Q": [ 301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3731": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 311 ],
- "Q": [ 309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3732": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:587|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4526 ],
- "Q": [ 311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3733": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1319 ],
- "E": [ 302 ],
- "Q": [ 305 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3734": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 304 ],
- "E": [ 300 ],
- "Q": [ 1294 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3735": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1311 ],
- "E": [ 300 ],
- "Q": [ 4527 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3736": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1312 ],
- "E": [ 300 ],
- "Q": [ 4528 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3737": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1313 ],
- "E": [ 300 ],
- "Q": [ 4529 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3738": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1314 ],
- "E": [ 300 ],
- "Q": [ 4530 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3739": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1315 ],
- "E": [ 300 ],
- "Q": [ 1298 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3740": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1316 ],
- "E": [ 300 ],
- "Q": [ 1301 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3741": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1317 ],
- "E": [ 300 ],
- "Q": [ 1304 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3742": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1318 ],
- "E": [ 300 ],
- "Q": [ 1307 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3743": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4531 ],
- "E": [ 308 ],
- "Q": [ 17 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3744": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4532 ],
- "E": [ 308 ],
- "Q": [ 18 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3745": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4533 ],
- "E": [ 308 ],
- "Q": [ 13 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3746": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4534 ],
- "E": [ 308 ],
- "Q": [ 12 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3747": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4531 ],
- "E": [ 312 ],
- "Q": [ 19 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3748": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4532 ],
- "E": [ 312 ],
- "Q": [ 20 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3749": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4533 ],
- "E": [ 312 ],
- "Q": [ 21 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3750": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4534 ],
- "E": [ 312 ],
- "Q": [ 22 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3751": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1309 ],
- "E": [ 308 ],
- "Q": [ 74 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3752": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:598|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 307 ],
- "Q": [ 65 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3862": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3388 ],
- "Q": [ 195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3863": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3396 ],
- "Q": [ 198 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3864": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3403 ],
- "Q": [ 201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3865": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3410 ],
- "Q": [ 202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3866": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3417 ],
- "Q": [ 199 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3867": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3424 ],
- "Q": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3868": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3431 ],
- "Q": [ 1521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3869": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3438 ],
- "Q": [ 1526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3870": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3445 ],
- "Q": [ 1531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3452 ],
- "Q": [ 1536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3459 ],
- "Q": [ 1541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3466 ],
- "Q": [ 1546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3473 ],
- "Q": [ 1551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3480 ],
- "Q": [ 1556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3487 ],
- "Q": [ 1561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3494 ],
- "Q": [ 1566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3501 ],
- "Q": [ 766 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3504 ],
- "Q": [ 1575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3507 ],
- "Q": [ 1580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3510 ],
- "Q": [ 1585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3513 ],
- "Q": [ 1590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3883": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3516 ],
- "Q": [ 1595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3884": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3519 ],
- "Q": [ 1600 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3885": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3522 ],
- "Q": [ 1605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3886": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3525 ],
- "Q": [ 1610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3887": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3528 ],
- "Q": [ 1615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3888": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3531 ],
- "Q": [ 1620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3889": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3534 ],
- "Q": [ 1625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3890": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3537 ],
- "Q": [ 1630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3891": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3540 ],
- "Q": [ 1635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3543 ],
- "Q": [ 1640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3546 ],
- "Q": [ 1645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3547 ],
- "Q": [ 1651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3548 ],
- "Q": [ 3679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3549 ],
- "Q": [ 3683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3550 ],
- "Q": [ 1712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3551 ],
- "Q": [ 1717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3552 ],
- "Q": [ 1722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3553 ],
- "Q": [ 1727 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3554 ],
- "Q": [ 1732 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3555 ],
- "Q": [ 1737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3556 ],
- "Q": [ 1742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3557 ],
- "Q": [ 1747 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3558 ],
- "Q": [ 1752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3559 ],
- "Q": [ 1757 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3560 ],
- "Q": [ 1762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3908": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3561 ],
- "Q": [ 1767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3909": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3562 ],
- "Q": [ 1772 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3910": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3563 ],
- "Q": [ 1777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3911": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3564 ],
- "Q": [ 1782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3912": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3565 ],
- "Q": [ 1787 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3913": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3566 ],
- "Q": [ 1792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3914": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3567 ],
- "Q": [ 1797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3915": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3568 ],
- "Q": [ 1802 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3916": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3569 ],
- "Q": [ 1807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3917": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3570 ],
- "Q": [ 1812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3918": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3571 ],
- "Q": [ 1817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3919": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3572 ],
- "Q": [ 1822 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3920": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3573 ],
- "Q": [ 1827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3921": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3574 ],
- "Q": [ 1832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3922": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3575 ],
- "Q": [ 1837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3923": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3576 ],
- "Q": [ 1842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3924": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3577 ],
- "Q": [ 1847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3925": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3578 ],
- "Q": [ 1852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3926": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3590 ],
- "Q": [ 3391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3927": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:50|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3591 ],
- "Q": [ 3387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6891": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4265 ],
- "Q": [ 768 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3589 ],
- "Q": [ 771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 775 ],
- "Q": [ 777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3617 ],
- "Q": [ 780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3621 ],
- "Q": [ 783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3625 ],
- "Q": [ 785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3629 ],
- "Q": [ 789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3633 ],
- "Q": [ 792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3637 ],
- "Q": [ 795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3641 ],
- "Q": [ 798 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3645 ],
- "Q": [ 801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3649 ],
- "Q": [ 804 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3653 ],
- "Q": [ 807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3657 ],
- "Q": [ 810 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3661 ],
- "Q": [ 813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3665 ],
- "Q": [ 816 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6907": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 531 ],
- "E": [ 24 ],
- "Q": [ 36 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6908": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4535 ],
- "Q": [ 53 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6909": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4536 ],
- "Q": [ 4535 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6910": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3248 ],
- "Q": [ 4536 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6911": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4537 ],
- "Q": [ 26 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6912": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4538 ],
- "Q": [ 4537 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6913": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4539 ],
- "Q": [ 4538 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6914": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:145|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1392 ],
- "Q": [ 4539 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6916": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 80 ],
- "E": [ 24 ],
- "Q": [ 35 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6917": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 81 ],
- "E": [ 24 ],
- "Q": [ 37 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6918": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 83 ],
- "E": [ 24 ],
- "Q": [ 48 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6919": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 85 ],
- "E": [ 24 ],
- "Q": [ 39 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6920": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 86 ],
- "E": [ 24 ],
- "Q": [ 44 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6921": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 87 ],
- "E": [ 24 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6922": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 251 ],
- "E": [ 24 ],
- "Q": [ 651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6923": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 887 ],
- "E": [ 24 ],
- "Q": [ 654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6924": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3994 ],
- "E": [ 24 ],
- "Q": [ 657 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6925": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3996 ],
- "E": [ 24 ],
- "Q": [ 660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6926": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3998 ],
- "E": [ 24 ],
- "Q": [ 663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6927": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4000 ],
- "E": [ 24 ],
- "Q": [ 666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6928": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4002 ],
- "E": [ 24 ],
- "Q": [ 669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6929": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4004 ],
- "E": [ 24 ],
- "Q": [ 672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6930": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4006 ],
- "E": [ 24 ],
- "Q": [ 675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6931": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4007 ],
- "E": [ 24 ],
- "Q": [ 678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6932": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4266 ],
- "E": [ 24 ],
- "Q": [ 681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6933": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 905 ],
- "E": [ 24 ],
- "Q": [ 684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6934": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4023 ],
- "E": [ 24 ],
- "Q": [ 687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6935": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4025 ],
- "E": [ 24 ],
- "Q": [ 690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6936": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4027 ],
- "E": [ 24 ],
- "Q": [ 693 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6937": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4029 ],
- "E": [ 24 ],
- "Q": [ 696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6938": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4031 ],
- "E": [ 24 ],
- "Q": [ 699 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6939": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4033 ],
- "E": [ 24 ],
- "Q": [ 702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6940": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4035 ],
- "E": [ 24 ],
- "Q": [ 705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6941": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4008 ],
- "E": [ 24 ],
- "Q": [ 708 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6942": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4011 ],
- "E": [ 24 ],
- "Q": [ 711 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6943": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4013 ],
- "E": [ 24 ],
- "Q": [ 714 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6944": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4015 ],
- "E": [ 24 ],
- "Q": [ 717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6945": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4017 ],
- "E": [ 24 ],
- "Q": [ 720 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6946": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4019 ],
- "E": [ 24 ],
- "Q": [ 723 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6947": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4021 ],
- "E": [ 24 ],
- "Q": [ 726 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6948": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:169|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 543 ],
- "E": [ 24 ],
- "Q": [ 23 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6949": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 32 ],
- "Q": [ 4540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6950": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 33 ],
- "Q": [ 4541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6951": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 50 ],
- "Q": [ 4542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6952": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 30 ],
- "Q": [ 4543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6953": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "Q": [ 4544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6954": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 41 ],
- "Q": [ 4545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6955": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 653 ],
- "Q": [ 4546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6956": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 656 ],
- "Q": [ 4547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6957": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 659 ],
- "Q": [ 4548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6958": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 662 ],
- "Q": [ 4549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6959": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 665 ],
- "Q": [ 4550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6960": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 668 ],
- "Q": [ 4551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6961": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 671 ],
- "Q": [ 4552 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6962": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 674 ],
- "Q": [ 4553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6963": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 677 ],
- "Q": [ 4554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6964": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 680 ],
- "Q": [ 4555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6965": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 683 ],
- "Q": [ 4556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6966": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 686 ],
- "Q": [ 4557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6967": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 689 ],
- "Q": [ 4558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6968": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 692 ],
- "Q": [ 4559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6969": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 695 ],
- "Q": [ 4560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6970": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 698 ],
- "Q": [ 4561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 701 ],
- "Q": [ 4562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6972": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 704 ],
- "Q": [ 4563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6973": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 707 ],
- "Q": [ 4564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6974": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 710 ],
- "Q": [ 4565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6975": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 713 ],
- "Q": [ 4566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6976": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 716 ],
- "Q": [ 4567 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6977": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 719 ],
- "Q": [ 4568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6978": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 722 ],
- "Q": [ 4569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6979": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 725 ],
- "Q": [ 4570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6980": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 728 ],
- "Q": [ 4571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6981": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 25 ],
- "Q": [ 541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6982": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1394 ],
- "Q": [ 172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6983": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1396 ],
- "Q": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6984": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1398 ],
- "Q": [ 982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6985": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1400 ],
- "Q": [ 991 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6986": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1402 ],
- "Q": [ 1000 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6987": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1404 ],
- "Q": [ 1009 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6988": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1406 ],
- "Q": [ 1018 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6989": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1408 ],
- "Q": [ 1027 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6990": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1410 ],
- "Q": [ 1036 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6991": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1412 ],
- "Q": [ 1045 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6992": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1414 ],
- "Q": [ 1054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6993": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1416 ],
- "Q": [ 1063 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6994": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1418 ],
- "Q": [ 1072 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6995": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1420 ],
- "Q": [ 1081 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6996": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1422 ],
- "Q": [ 1090 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6997": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1424 ],
- "Q": [ 1099 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6998": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 52 ],
- "Q": [ 146 ],
- "R": [ 3581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6999": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3753 ],
- "E": [ 314 ],
- "Q": [ 614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7000": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3769 ],
- "E": [ 314 ],
- "Q": [ 616 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7001": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3771 ],
- "E": [ 314 ],
- "Q": [ 618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7002": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3773 ],
- "E": [ 314 ],
- "Q": [ 620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7003": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3775 ],
- "E": [ 314 ],
- "Q": [ 622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7004": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3777 ],
- "E": [ 314 ],
- "Q": [ 624 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7005": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3779 ],
- "E": [ 314 ],
- "Q": [ 626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7006": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3781 ],
- "E": [ 314 ],
- "Q": [ 628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7007": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3783 ],
- "E": [ 314 ],
- "Q": [ 630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7008": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3785 ],
- "E": [ 314 ],
- "Q": [ 632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7009": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3756 ],
- "E": [ 314 ],
- "Q": [ 634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7010": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3758 ],
- "E": [ 314 ],
- "Q": [ 636 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7011": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3760 ],
- "E": [ 314 ],
- "Q": [ 638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7012": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3762 ],
- "E": [ 314 ],
- "Q": [ 640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7013": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3764 ],
- "E": [ 314 ],
- "Q": [ 642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7014": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3766 ],
- "E": [ 314 ],
- "Q": [ 644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7015": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:187|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3768 ],
- "E": [ 314 ],
- "Q": [ 646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4540 ],
- "Q": [ 592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4541 ],
- "Q": [ 321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4542 ],
- "Q": [ 322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4543 ],
- "Q": [ 323 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7020": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4544 ],
- "Q": [ 320 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4545 ],
- "Q": [ 317 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7022": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4546 ],
- "Q": [ 3802 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7023": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4547 ],
- "Q": [ 3805 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7024": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4548 ],
- "Q": [ 3808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7025": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4549 ],
- "Q": [ 3811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7026": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4550 ],
- "Q": [ 3814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4551 ],
- "Q": [ 3817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4552 ],
- "Q": [ 3820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4553 ],
- "Q": [ 3823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4554 ],
- "Q": [ 3826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4555 ],
- "Q": [ 4572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4556 ],
- "Q": [ 4573 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4557 ],
- "Q": [ 4574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4558 ],
- "Q": [ 4575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7035": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4559 ],
- "Q": [ 4576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4560 ],
- "Q": [ 4577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7037": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4561 ],
- "Q": [ 4578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7038": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4562 ],
- "Q": [ 4579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7039": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4563 ],
- "Q": [ 4580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7040": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4564 ],
- "Q": [ 4581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7041": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4565 ],
- "Q": [ 4582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7042": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4566 ],
- "Q": [ 4583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7043": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4567 ],
- "Q": [ 4584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7044": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4568 ],
- "Q": [ 4585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7045": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4569 ],
- "Q": [ 4586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7046": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4570 ],
- "Q": [ 4587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7047": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4571 ],
- "Q": [ 4588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7048": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:226|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 542 ],
- "Q": [ 315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7049": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 592 ],
- "Q": [ 457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7050": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 321 ],
- "Q": [ 330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7051": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 322 ],
- "Q": [ 324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7052": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 323 ],
- "Q": [ 325 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7053": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 320 ],
- "Q": [ 328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7054": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 317 ],
- "Q": [ 329 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7055": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3802 ],
- "Q": [ 4589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7056": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3805 ],
- "Q": [ 4590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7057": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3808 ],
- "Q": [ 4591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7058": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3811 ],
- "Q": [ 4592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3814 ],
- "Q": [ 4593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3817 ],
- "Q": [ 4594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3820 ],
- "Q": [ 4595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7062": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3823 ],
- "Q": [ 4596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7063": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3826 ],
- "Q": [ 4597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7064": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4572 ],
- "Q": [ 3845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7065": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4573 ],
- "Q": [ 3869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7066": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4574 ],
- "Q": [ 3872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7067": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4575 ],
- "Q": [ 3875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7068": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4576 ],
- "Q": [ 3878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7069": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4577 ],
- "Q": [ 3881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7070": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4578 ],
- "Q": [ 3884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7071": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4579 ],
- "Q": [ 3887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7072": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4580 ],
- "Q": [ 3890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7073": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4581 ],
- "Q": [ 3893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7074": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4582 ],
- "Q": [ 3848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7075": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4583 ],
- "Q": [ 3852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7076": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4584 ],
- "Q": [ 3855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7077": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4585 ],
- "Q": [ 3858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7078": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4586 ],
- "Q": [ 3861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7079": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4587 ],
- "Q": [ 3864 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7080": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4588 ],
- "Q": [ 3867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7081": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 316 ],
- "Q": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7082": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3828 ],
- "E": [ 319 ],
- "Q": [ 593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7083": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3830 ],
- "E": [ 319 ],
- "Q": [ 595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7084": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3832 ],
- "E": [ 319 ],
- "Q": [ 597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7085": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3834 ],
- "E": [ 319 ],
- "Q": [ 599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7086": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3836 ],
- "E": [ 319 ],
- "Q": [ 601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7087": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3838 ],
- "E": [ 319 ],
- "Q": [ 603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7088": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3840 ],
- "E": [ 319 ],
- "Q": [ 605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7089": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3842 ],
- "E": [ 319 ],
- "Q": [ 607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7090": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:238|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3844 ],
- "E": [ 319 ],
- "Q": [ 609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7092": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 457 ],
- "Q": [ 4598 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7093": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 330 ],
- "Q": [ 4599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7094": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 324 ],
- "Q": [ 4600 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7095": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 325 ],
- "Q": [ 4601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7096": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 328 ],
- "Q": [ 4602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7097": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 329 ],
- "Q": [ 4603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7098": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4589 ],
- "Q": [ 4604 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7099": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4590 ],
- "Q": [ 4605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7100": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4591 ],
- "Q": [ 4606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7101": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4592 ],
- "Q": [ 4607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7102": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4593 ],
- "Q": [ 4608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7103": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4594 ],
- "Q": [ 4609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7104": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4595 ],
- "Q": [ 4610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7105": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4596 ],
- "Q": [ 4611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7106": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4597 ],
- "Q": [ 4612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7107": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3845 ],
- "Q": [ 4613 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7108": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3869 ],
- "Q": [ 4614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7109": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3872 ],
- "Q": [ 4615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7110": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3875 ],
- "Q": [ 4616 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7111": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3878 ],
- "Q": [ 4617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7112": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3881 ],
- "Q": [ 4618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7113": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3884 ],
- "Q": [ 4619 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7114": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3887 ],
- "Q": [ 4620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7115": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3890 ],
- "Q": [ 4621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7116": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3893 ],
- "Q": [ 4622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7117": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3848 ],
- "Q": [ 4623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7118": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3852 ],
- "Q": [ 4624 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7119": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3855 ],
- "Q": [ 4625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7120": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3858 ],
- "Q": [ 4626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7121": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3861 ],
- "Q": [ 4627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7122": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3864 ],
- "Q": [ 4628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7123": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3867 ],
- "Q": [ 4629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7124": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 58 ],
- "Q": [ 539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7125": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3910 ],
- "Q": [ 171 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7126": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3912 ],
- "Q": [ 163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7127": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3914 ],
- "Q": [ 981 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7128": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3916 ],
- "Q": [ 990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7129": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3918 ],
- "Q": [ 999 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7130": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3920 ],
- "Q": [ 1008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7131": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3922 ],
- "Q": [ 1017 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7132": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3924 ],
- "Q": [ 1026 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7133": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3926 ],
- "Q": [ 1035 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7134": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3897 ],
- "Q": [ 1044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7135": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3899 ],
- "Q": [ 1053 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7136": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3901 ],
- "Q": [ 1062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7137": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3903 ],
- "Q": [ 1071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7138": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3905 ],
- "Q": [ 1080 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3907 ],
- "Q": [ 1089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3909 ],
- "Q": [ 1098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7141": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 459 ],
- "Q": [ 147 ],
- "R": [ 3582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7142": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3846 ],
- "E": [ 327 ],
- "Q": [ 558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7143": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3870 ],
- "E": [ 327 ],
- "Q": [ 560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7144": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3873 ],
- "E": [ 327 ],
- "Q": [ 562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7145": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3876 ],
- "E": [ 327 ],
- "Q": [ 564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7146": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3879 ],
- "E": [ 327 ],
- "Q": [ 566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7147": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3882 ],
- "E": [ 327 ],
- "Q": [ 568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7148": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3885 ],
- "E": [ 327 ],
- "Q": [ 570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7149": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3888 ],
- "E": [ 327 ],
- "Q": [ 572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7150": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3891 ],
- "E": [ 327 ],
- "Q": [ 574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7151": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3894 ],
- "E": [ 327 ],
- "Q": [ 576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7152": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3850 ],
- "E": [ 327 ],
- "Q": [ 578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7153": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3853 ],
- "E": [ 327 ],
- "Q": [ 580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7154": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3856 ],
- "E": [ 327 ],
- "Q": [ 582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7155": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3859 ],
- "E": [ 327 ],
- "Q": [ 584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7156": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3862 ],
- "E": [ 327 ],
- "Q": [ 586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7157": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3865 ],
- "E": [ 327 ],
- "Q": [ 588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7158": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3868 ],
- "E": [ 327 ],
- "Q": [ 590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7159": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4598 ],
- "Q": [ 4630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4599 ],
- "Q": [ 4631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4600 ],
- "Q": [ 4632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4601 ],
- "Q": [ 4633 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4602 ],
- "Q": [ 4634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4603 ],
- "Q": [ 4635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4604 ],
- "Q": [ 4636 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7166": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4605 ],
- "Q": [ 4637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7167": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4606 ],
- "Q": [ 4638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7168": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4607 ],
- "Q": [ 4639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7169": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4608 ],
- "Q": [ 4640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7170": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4609 ],
- "Q": [ 4641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7171": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4610 ],
- "Q": [ 4642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7172": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4611 ],
- "Q": [ 4643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7173": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4612 ],
- "Q": [ 4644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7174": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4613 ],
- "Q": [ 4645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7175": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4614 ],
- "Q": [ 4646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7176": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4615 ],
- "Q": [ 4647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7177": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4616 ],
- "Q": [ 4648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4617 ],
- "Q": [ 4649 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4618 ],
- "Q": [ 4650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4619 ],
- "Q": [ 4651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4620 ],
- "Q": [ 4652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4621 ],
- "Q": [ 4653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4622 ],
- "Q": [ 4654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4623 ],
- "Q": [ 4655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4624 ],
- "Q": [ 4656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4625 ],
- "Q": [ 4657 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4626 ],
- "Q": [ 4658 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4627 ],
- "Q": [ 4659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4628 ],
- "Q": [ 4660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4629 ],
- "Q": [ 4661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:299|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 540 ],
- "Q": [ 537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4630 ],
- "Q": [ 336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4631 ],
- "Q": [ 347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4632 ],
- "Q": [ 333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4633 ],
- "Q": [ 331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4634 ],
- "Q": [ 335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4635 ],
- "Q": [ 337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4636 ],
- "Q": [ 1879 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4637 ],
- "Q": [ 1875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4638 ],
- "Q": [ 1872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4639 ],
- "Q": [ 1871 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4640 ],
- "Q": [ 1922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4641 ],
- "Q": [ 1921 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4642 ],
- "Q": [ 1881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4643 ],
- "Q": [ 1882 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4644 ],
- "Q": [ 1880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4645 ],
- "Q": [ 4662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4646 ],
- "Q": [ 4663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4647 ],
- "Q": [ 4664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4648 ],
- "Q": [ 4665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4649 ],
- "Q": [ 4666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4650 ],
- "Q": [ 4667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4651 ],
- "Q": [ 4668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4652 ],
- "Q": [ 4669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4653 ],
- "Q": [ 4670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4654 ],
- "Q": [ 4671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4655 ],
- "Q": [ 4672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4656 ],
- "Q": [ 4673 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4657 ],
- "Q": [ 4674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4658 ],
- "Q": [ 4675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4659 ],
- "Q": [ 4676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4660 ],
- "Q": [ 4677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4661 ],
- "Q": [ 4678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:320|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 538 ],
- "Q": [ 338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2101 ],
- "Q": [ 3217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7226": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3704 ],
- "Q": [ 3219 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7227": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2296 ],
- "Q": [ 3221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7228": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2302 ],
- "Q": [ 3223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7229": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2307 ],
- "Q": [ 3225 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7230": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2311 ],
- "Q": [ 3227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7231": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2316 ],
- "Q": [ 3229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7232": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2097 ],
- "Q": [ 3216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7233": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1857 ],
- "Q": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7234": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3691 ],
- "Q": [ 3235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7235": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2078 ],
- "Q": [ 3237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7236": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2084 ],
- "Q": [ 3239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7237": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2091 ],
- "Q": [ 3241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7238": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3693 ],
- "Q": [ 3243 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7239": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3695 ],
- "Q": [ 3245 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1855 ],
- "Q": [ 3232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 336 ],
- "Q": [ 2320 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 347 ],
- "Q": [ 350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 333 ],
- "Q": [ 358 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 331 ],
- "Q": [ 357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 335 ],
- "Q": [ 356 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 337 ],
- "Q": [ 354 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4662 ],
- "Q": [ 4062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4663 ],
- "Q": [ 4086 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4664 ],
- "Q": [ 4089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4665 ],
- "Q": [ 4092 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4666 ],
- "Q": [ 4095 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4667 ],
- "Q": [ 4098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4668 ],
- "Q": [ 4101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4669 ],
- "Q": [ 4104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4670 ],
- "Q": [ 4107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4671 ],
- "Q": [ 4110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4672 ],
- "Q": [ 4065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4673 ],
- "Q": [ 4069 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4674 ],
- "Q": [ 4072 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4675 ],
- "Q": [ 4075 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4676 ],
- "Q": [ 4078 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4677 ],
- "Q": [ 4081 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4678 ],
- "Q": [ 4084 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 339 ],
- "Q": [ 355 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7274": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1649 ],
- "E": [ 342 ],
- "Q": [ 1670 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7275": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3682 ],
- "E": [ 342 ],
- "Q": [ 1676 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7276": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3686 ],
- "E": [ 342 ],
- "Q": [ 1696 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7277": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1710 ],
- "E": [ 342 ],
- "Q": [ 2288 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7278": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1715 ],
- "E": [ 342 ],
- "Q": [ 2282 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7279": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1720 ],
- "E": [ 342 ],
- "Q": [ 2283 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7280": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1725 ],
- "E": [ 342 ],
- "Q": [ 2285 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7281": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1730 ],
- "E": [ 342 ],
- "Q": [ 2263 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7282": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1735 ],
- "E": [ 342 ],
- "Q": [ 2211 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7283": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1740 ],
- "E": [ 342 ],
- "Q": [ 2212 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7284": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1745 ],
- "E": [ 342 ],
- "Q": [ 2215 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7285": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1750 ],
- "E": [ 342 ],
- "Q": [ 2216 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7286": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1755 ],
- "E": [ 342 ],
- "Q": [ 2221 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7287": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1760 ],
- "E": [ 342 ],
- "Q": [ 2222 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7288": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1765 ],
- "E": [ 342 ],
- "Q": [ 2226 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7289": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1770 ],
- "E": [ 342 ],
- "Q": [ 2225 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7290": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1775 ],
- "E": [ 342 ],
- "Q": [ 2159 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7291": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1780 ],
- "E": [ 342 ],
- "Q": [ 2160 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7292": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1785 ],
- "E": [ 342 ],
- "Q": [ 2132 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7293": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1790 ],
- "E": [ 342 ],
- "Q": [ 2133 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7294": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1795 ],
- "E": [ 342 ],
- "Q": [ 2136 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7295": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1800 ],
- "E": [ 342 ],
- "Q": [ 2137 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7296": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1805 ],
- "E": [ 342 ],
- "Q": [ 2126 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7297": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1810 ],
- "E": [ 342 ],
- "Q": [ 2127 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7298": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1815 ],
- "E": [ 342 ],
- "Q": [ 2122 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7299": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1820 ],
- "E": [ 342 ],
- "Q": [ 2123 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7300": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1825 ],
- "E": [ 342 ],
- "Q": [ 2142 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7301": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1830 ],
- "E": [ 342 ],
- "Q": [ 2143 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7302": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1835 ],
- "E": [ 342 ],
- "Q": [ 2146 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7303": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1840 ],
- "E": [ 342 ],
- "Q": [ 2147 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7304": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1845 ],
- "E": [ 342 ],
- "Q": [ 2149 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7305": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1850 ],
- "E": [ 342 ],
- "Q": [ 2097 ],
- "R": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7306": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1438 ],
- "E": [ 346 ],
- "Q": [ 1464 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7307": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1443 ],
- "E": [ 346 ],
- "Q": [ 1470 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7308": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1479 ],
- "E": [ 346 ],
- "Q": [ 1493 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7309": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1507 ],
- "E": [ 346 ],
- "Q": [ 2067 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7310": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1511 ],
- "E": [ 346 ],
- "Q": [ 2061 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7311": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1515 ],
- "E": [ 346 ],
- "Q": [ 2062 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7312": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1519 ],
- "E": [ 346 ],
- "Q": [ 2064 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7313": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1524 ],
- "E": [ 346 ],
- "Q": [ 2008 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7314": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1529 ],
- "E": [ 346 ],
- "Q": [ 2009 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7315": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1534 ],
- "E": [ 346 ],
- "Q": [ 2012 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7316": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1539 ],
- "E": [ 346 ],
- "Q": [ 2013 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7317": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1544 ],
- "E": [ 346 ],
- "Q": [ 2003 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7318": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1549 ],
- "E": [ 346 ],
- "Q": [ 1992 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7319": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1554 ],
- "E": [ 346 ],
- "Q": [ 1993 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7320": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1559 ],
- "E": [ 346 ],
- "Q": [ 1995 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7321": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1564 ],
- "E": [ 346 ],
- "Q": [ 1883 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7322": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1569 ],
- "E": [ 346 ],
- "Q": [ 1884 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7323": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1573 ],
- "E": [ 346 ],
- "Q": [ 1887 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7324": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1578 ],
- "E": [ 346 ],
- "Q": [ 1888 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7325": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1583 ],
- "E": [ 346 ],
- "Q": [ 1893 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7326": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1588 ],
- "E": [ 346 ],
- "Q": [ 1894 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7327": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1593 ],
- "E": [ 346 ],
- "Q": [ 1897 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7328": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1598 ],
- "E": [ 346 ],
- "Q": [ 1898 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7329": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1603 ],
- "E": [ 346 ],
- "Q": [ 1905 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7330": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1608 ],
- "E": [ 346 ],
- "Q": [ 1906 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7331": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1613 ],
- "E": [ 346 ],
- "Q": [ 1909 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7332": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1618 ],
- "E": [ 346 ],
- "Q": [ 1910 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7333": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1623 ],
- "E": [ 346 ],
- "Q": [ 1915 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7334": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1628 ],
- "E": [ 346 ],
- "Q": [ 1916 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7335": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1633 ],
- "E": [ 346 ],
- "Q": [ 1919 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7336": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1638 ],
- "E": [ 346 ],
- "Q": [ 1920 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7337": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:370|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1643 ],
- "E": [ 346 ],
- "Q": [ 1855 ],
- "R": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3234 ],
- "Q": [ 3252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3236 ],
- "Q": [ 3258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3238 ],
- "Q": [ 3264 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3240 ],
- "Q": [ 3270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3242 ],
- "Q": [ 3276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3244 ],
- "Q": [ 3282 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3246 ],
- "Q": [ 3288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3247 ],
- "Q": [ 3294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3218 ],
- "Q": [ 3254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3220 ],
- "Q": [ 3260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3222 ],
- "Q": [ 3266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3224 ],
- "Q": [ 3272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3226 ],
- "Q": [ 3278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3228 ],
- "Q": [ 3284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3230 ],
- "Q": [ 3290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3231 ],
- "Q": [ 3296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7402": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4112 ],
- "Q": [ 174 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7403": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4128 ],
- "Q": [ 169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7404": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4130 ],
- "Q": [ 161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7405": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4132 ],
- "Q": [ 979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7406": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4134 ],
- "Q": [ 988 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7407": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4136 ],
- "Q": [ 997 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4138 ],
- "Q": [ 1006 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4140 ],
- "Q": [ 1015 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4142 ],
- "Q": [ 1024 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4144 ],
- "Q": [ 1033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4115 ],
- "Q": [ 1042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4117 ],
- "Q": [ 1051 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4119 ],
- "Q": [ 1060 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4121 ],
- "Q": [ 1069 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4123 ],
- "Q": [ 1078 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4125 ],
- "Q": [ 1087 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7418": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4127 ],
- "Q": [ 1096 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7419": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2319 ],
- "Q": [ 149 ],
- "R": [ 553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7420": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2321 ],
- "Q": [ 150 ],
- "R": [ 553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7427": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4063 ],
- "E": [ 353 ],
- "Q": [ 2322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7428": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4087 ],
- "E": [ 353 ],
- "Q": [ 2324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7429": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4090 ],
- "E": [ 353 ],
- "Q": [ 2326 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7430": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4093 ],
- "E": [ 353 ],
- "Q": [ 2328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7431": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4096 ],
- "E": [ 353 ],
- "Q": [ 2330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7432": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4099 ],
- "E": [ 353 ],
- "Q": [ 2332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7433": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4102 ],
- "E": [ 353 ],
- "Q": [ 2334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7434": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4105 ],
- "E": [ 353 ],
- "Q": [ 2336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7435": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4108 ],
- "E": [ 353 ],
- "Q": [ 2338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7436": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4111 ],
- "E": [ 353 ],
- "Q": [ 2340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7437": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4067 ],
- "E": [ 353 ],
- "Q": [ 2342 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7438": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4070 ],
- "E": [ 353 ],
- "Q": [ 2344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7439": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4073 ],
- "E": [ 353 ],
- "Q": [ 2346 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7440": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4076 ],
- "E": [ 353 ],
- "Q": [ 2348 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7441": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4079 ],
- "E": [ 353 ],
- "Q": [ 2350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7442": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4082 ],
- "E": [ 353 ],
- "Q": [ 2352 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7443": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4085 ],
- "E": [ 353 ],
- "Q": [ 2354 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$8247": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 190 ],
- "Q": [ 556 ],
- "R": [ 363 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3705": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:28"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ "0" ],
- "E": [ 552 ],
- "Q": [ 1309 ],
- "S": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3706": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:28"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ "0" ],
- "E": [ 310 ],
- "Q": [ 551 ],
- "S": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3707": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4679 ],
- "Q": [ 4531 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3708": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4680 ],
- "Q": [ 4532 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3709": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4681 ],
- "Q": [ 4533 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3710": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4682 ],
- "Q": [ 4534 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3711": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:529|/usr/local/bin/../share/yosys/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 3588 ],
- "Q": [ 310 ],
- "S": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3712": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 1310 ],
- "Q": [ 1295 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3713": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 1294 ],
- "Q": [ 1293 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3714": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4527 ],
- "Q": [ 1297 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3715": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4528 ],
- "Q": [ 1300 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3716": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4529 ],
- "Q": [ 1303 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3717": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 4530 ],
- "Q": [ 1306 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3718": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 1299 ],
- "Q": [ 4683 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3719": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 1302 ],
- "Q": [ 4684 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3720": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 1305 ],
- "Q": [ 4685 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3721": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 1308 ],
- "Q": [ 4686 ],
- "R": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3724": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:551|/usr/local/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 4525 ],
- "D": [ 1296 ],
- "Q": [ 4687 ],
- "R": [ 3586 ]
- }
- },
- "buffer.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 509, 485, 491, 498, 472, 1140, 497, 517, 462, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1177, 4688, 1184, 4689, 1190, 4690, 1196, 4691, 1202, 4692, 1208, 4693, 1214, 4694, 1220, 4695 ],
- "RE": [ "1" ],
- "WADDR": [ 269, 273, 261, 281, 271, 285, 275, 283, 279, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 3214 ],
- "WDATA": [ 2364, "x", 2365, "x", 2366, "x", 2367, "x", 2368, "x", 2369, "x", 2370, "x", 2371, "x" ],
- "WE": [ "1" ]
- }
- },
- "buffer.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 509, 485, 491, 498, 472, 1140, 497, 517, 462, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1181, 4696, 1187, 4697, 1193, 4698, 1199, 4699, 1205, 4700, 1211, 4701, 1217, 4702, 1223, 4703 ],
- "RE": [ "1" ],
- "WADDR": [ 269, 273, 261, 281, 271, 285, 275, 283, 279, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 3215 ],
- "WDATA": [ 2372, "x", 2373, "x", 2374, "x", 2375, "x", 2376, "x", 2377, "x", 2378, "x", 2379, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.code_mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ 24 ],
- "RDATA": [ 34, 4704, 38, 4705, 49, 4706, 40, 4707, 45, 4708, 47, 4709, 652, 4710, 655, 4711 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1428 ],
- "WDATA": [ 195, "x", 198, "x", 201, "x", 202, "x", 199, "x", 200, "x", 1521, "x", 1526, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.code_mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ 24 ],
- "RDATA": [ 658, 4712, 661, 4713, 664, 4714, 667, 4715, 670, 4716, 673, 4717, 676, 4718, 679, 4719 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1428 ],
- "WDATA": [ 1531, "x", 1536, "x", 1541, "x", 1546, "x", 1551, "x", 1556, "x", 1561, "x", 1566, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.code_mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ 24 ],
- "RDATA": [ 682, 4720, 685, 4721, 688, 4722, 691, 4723, 694, 4724, 697, 4725, 700, 4726, 703, 4727 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1428 ],
- "WDATA": [ 766, "x", 1575, "x", 1580, "x", 1585, "x", 1590, "x", 1595, "x", 1600, "x", 1605, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.code_mem.3.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ 24 ],
- "RDATA": [ 706, 4728, 709, 4729, 712, 4730, 715, 4731, 718, 4732, 721, 4733, 724, 4734, 727, 4735 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1428 ],
- "WDATA": [ 1610, "x", 1615, "x", 1620, "x", 1625, "x", 1630, "x", 1635, "x", 1640, "x", 1645, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 195, "x", 198, "x", 201, "x", 202, "x", 199, "x", 200, "x", 1521, "x", 1526, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 1531, "x", 1536, "x", 1541, "x", 1546, "x", 1551, "x", 1556, "x", 1561, "x", 1566, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.10.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 766, "x", 1575, "x", 1580, "x", 1585, "x", 1590, "x", 1595, "x", 1600, "x", 1605, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.11.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 1610, "x", 1615, "x", 1620, "x", 1625, "x", 1630, "x", 1635, "x", 1640, "x", 1645, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.12.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 1651, "x", 3679, "x", 3683, "x", 1712, "x", 1717, "x", 1722, "x", 1727, "x", 1732, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.13.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 1737, "x", 1742, "x", 1747, "x", 1752, "x", 1757, "x", 1762, "x", 1767, "x", 1772, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.14.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 1777, "x", 1782, "x", 1787, "x", 1792, "x", 1797, "x", 1802, "x", 1807, "x", 1812, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.15.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 1817, "x", 1822, "x", 1827, "x", 1832, "x", 1837, "x", 1842, "x", 1847, "x", 1852, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 766, "x", 1575, "x", 1580, "x", 1585, "x", 1590, "x", 1595, "x", 1600, "x", 1605, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.3.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 1610, "x", 1615, "x", 1620, "x", 1625, "x", 1630, "x", 1635, "x", 1640, "x", 1645, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.4.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 1651, "x", 3679, "x", 3683, "x", 1712, "x", 1717, "x", 1722, "x", 1727, "x", 1732, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.5.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 1737, "x", 1742, "x", 1747, "x", 1752, "x", 1757, "x", 1762, "x", 1767, "x", 1772, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.6.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 1777, "x", 1782, "x", 1787, "x", 1792, "x", 1797, "x", 1802, "x", 1807, "x", 1812, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.7.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1427 ],
- "WDATA": [ 1817, "x", 1822, "x", 1827, "x", 1832, "x", 1837, "x", 1842, "x", 1847, "x", 1852, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.8.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 195, "x", 198, "x", 201, "x", 202, "x", 199, "x", 200, "x", 1521, "x", 1526, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.coeff_mem.9.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991 ],
- "RE": [ "1" ],
- "WADDR": [ 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 1425 ],
- "WDATA": [ 1531, "x", 1536, "x", 1541, "x", 1546, "x", 1551, "x", 1556, "x", 1561, "x", 1566, "x" ],
- "WE": [ "1" ]
- }
- },
- "comp.mul[0].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4736, 4738, 4740, 4742, 4744, 4746, 4748, 4750, 4752, 4754, 4756, 4758, 4760, 4762, 4764, 4766 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "comp.mul[1].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4864, 4866, 4868, 4870, 4872, 4874, 4876, 4878, 4880, 4882, 4884, 4886, 4888, 4890, 4892, 4894 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "comp.mul[2].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4896, 4898, 4900, 4902, 4904, 4906, 4908, 4910, 4912, 4914, 4916, 4918, 4920, 4922, 4924, 4926 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "comp.mul[3].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4928, 4930, 4932, 4934, 4936, 4938, 4940, 4942, 4944, 4946, 4948, 4950, 4952, 4954, 4956, 4958 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "comp.mul[4].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4960, 4962, 4964, 4966, 4968, 4970, 4972, 4974, 4976, 4978, 4980, 4982, 4984, 4986, 4988, 4990 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "comp.mul[5].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4768, 4770, 4772, 4774, 4776, 4778, 4780, 4782, 4784, 4786, 4788, 4790, 4792, 4794, 4796, 4798 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "comp.mul[6].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4800, 4802, 4804, 4806, 4808, 4810, 4812, 4814, 4816, 4818, 4820, 4822, 4824, 4826, 4828, 4830 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "comp.mul[7].mac16": {
- "hide_name": 0,
- "type": "SB_MAC16",
- "parameters": {
- "A_REG": 1,
- "A_SIGNED": 1,
- "BOTADDSUB_CARRYSELECT": 0,
- "BOTADDSUB_LOWERINPUT": 0,
- "BOTADDSUB_UPPERINPUT": 0,
- "BOTOUTPUT_SELECT": 2,
- "BOT_8x8_MULT_REG": 1,
- "B_REG": 1,
- "B_SIGNED": 1,
- "C_REG": 0,
- "D_REG": 0,
- "MODE_8x8": 1,
- "NEG_TRIGGER": 0,
- "PIPELINE_16x16_MULT_REG1": 1,
- "PIPELINE_16x16_MULT_REG2": 0,
- "TOPADDSUB_CARRYSELECT": 0,
- "TOPADDSUB_LOWERINPUT": 0,
- "TOPADDSUB_UPPERINPUT": 0,
- "TOPOUTPUT_SELECT": 2,
- "TOP_8x8_MULT_REG": 1
- },
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:612"
- },
- "port_directions": {
- "A": "input",
- "ACCUMCI": "input",
- "ACCUMCO": "output",
- "ADDSUBBOT": "input",
- "ADDSUBTOP": "input",
- "AHOLD": "input",
- "B": "input",
- "BHOLD": "input",
- "C": "input",
- "CE": "input",
- "CHOLD": "input",
- "CI": "input",
- "CLK": "input",
- "CO": "output",
- "D": "input",
- "DHOLD": "input",
- "IRSTBOT": "input",
- "IRSTTOP": "input",
- "O": "output",
- "OHOLDBOT": "input",
- "OHOLDTOP": "input",
- "OLOADBOT": "input",
- "OLOADTOP": "input",
- "ORSTBOT": "input",
- "ORSTTOP": "input",
- "SIGNEXTIN": "input",
- "SIGNEXTOUT": "output"
- },
- "connections": {
- "A": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "ACCUMCI": [ "0" ],
- "ACCUMCO": [ ],
- "ADDSUBBOT": [ "0" ],
- "ADDSUBTOP": [ "0" ],
- "AHOLD": [ "0" ],
- "B": [ 4832, 4834, 4836, 4838, 4840, 4842, 4844, 4846, 4848, 4850, 4852, 4854, 4856, 4858, 4860, 4862 ],
- "BHOLD": [ "0" ],
- "C": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "CE": [ "1" ],
- "CHOLD": [ "0" ],
- "CI": [ "0" ],
- "CLK": [ 2 ],
- "CO": [ ],
- "D": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "DHOLD": [ "0" ],
- "IRSTBOT": [ "0" ],
- "IRSTTOP": [ "0" ],
- "O": [ 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298 ],
- "OHOLDBOT": [ "0" ],
- "OHOLDTOP": [ "0" ],
- "OLOADBOT": [ "0" ],
- "OLOADTOP": [ "0" ],
- "ORSTBOT": [ "0" ],
- "ORSTTOP": [ "0" ],
- "SIGNEXTIN": [ "0" ],
- "SIGNEXTOUT": [ ]
- }
- },
- "mem.ram[0].spram": {
- "hide_name": 0,
- "type": "SB_SPRAM256KA",
- "parameters": {
- },
- "attributes": {
- "keep": 1,
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64"
- },
- "port_directions": {
- "ADDRESS": "input",
- "CHIPSELECT": "input",
- "CLOCK": "input",
- "DATAIN": "input",
- "DATAOUT": "output",
- "MASKWREN": "input",
- "POWEROFF": "input",
- "SLEEP": "input",
- "STANDBY": "input",
- "WREN": "input"
- },
- "connections": {
- "ADDRESS": [ 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391 ],
- "CHIPSELECT": [ "1" ],
- "CLOCK": [ 2 ],
- "DATAIN": [ 3251, 3257, 3263, 3269, 3275, 3281, 3287, 3293, 3298, 3302, 3306, 3310, 3314, 3318, 3322, 3326 ],
- "DATAOUT": [ 3389, 3397, 3404, 3411, 3418, 3425, 3432, 3439, 3446, 3453, 3460, 3467, 3474, 3481, 3488, 3495 ],
- "MASKWREN": [ 188, 188, 187, 187 ],
- "POWEROFF": [ "1" ],
- "SLEEP": [ "0" ],
- "STANDBY": [ "0" ],
- "WREN": [ 189 ]
- }
- },
- "mem.ram[1].spram": {
- "hide_name": 0,
- "type": "SB_SPRAM256KA",
- "parameters": {
- },
- "attributes": {
- "keep": 1,
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64"
- },
- "port_directions": {
- "ADDRESS": "input",
- "CHIPSELECT": "input",
- "CLOCK": "input",
- "DATAIN": "input",
- "DATAOUT": "output",
- "MASKWREN": "input",
- "POWEROFF": "input",
- "SLEEP": "input",
- "STANDBY": "input",
- "WREN": "input"
- },
- "connections": {
- "ADDRESS": [ 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377 ],
- "CHIPSELECT": [ "1" ],
- "CLOCK": [ 2 ],
- "DATAIN": [ 3330, 3332, 3334, 3336, 3338, 3340, 3342, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352 ],
- "DATAOUT": [ 3390, 3398, 3405, 3412, 3419, 3426, 3433, 3440, 3447, 3454, 3461, 3468, 3475, 3482, 3489, 3496 ],
- "MASKWREN": [ 185, 185, 184, 184 ],
- "POWEROFF": [ "1" ],
- "SLEEP": [ "0" ],
- "STANDBY": [ "0" ],
- "WREN": [ 186 ]
- }
- },
- "mem.ram[2].spram": {
- "hide_name": 0,
- "type": "SB_SPRAM256KA",
- "parameters": {
- },
- "attributes": {
- "keep": 1,
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64"
- },
- "port_directions": {
- "ADDRESS": "input",
- "CHIPSELECT": "input",
- "CLOCK": "input",
- "DATAIN": "input",
- "DATAOUT": "output",
- "MASKWREN": "input",
- "POWEROFF": "input",
- "SLEEP": "input",
- "STANDBY": "input",
- "WREN": "input"
- },
- "connections": {
- "ADDRESS": [ 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362 ],
- "CHIPSELECT": [ "1" ],
- "CLOCK": [ 2 ],
- "DATAIN": [ 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368 ],
- "DATAOUT": [ 3393, 3399, 3406, 3413, 3420, 3427, 3434, 3441, 3448, 3455, 3462, 3469, 3476, 3483, 3490, 3497 ],
- "MASKWREN": [ 181, 181, 179, 179 ],
- "POWEROFF": [ "1" ],
- "SLEEP": [ "0" ],
- "STANDBY": [ "0" ],
- "WREN": [ 182 ]
- }
- },
- "mem.ram[3].spram": {
- "hide_name": 0,
- "type": "SB_SPRAM256KA",
- "parameters": {
- },
- "attributes": {
- "keep": 1,
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:64"
- },
- "port_directions": {
- "ADDRESS": "input",
- "CHIPSELECT": "input",
- "CLOCK": "input",
- "DATAIN": "input",
- "DATAOUT": "output",
- "MASKWREN": "input",
- "POWEROFF": "input",
- "SLEEP": "input",
- "STANDBY": "input",
- "WREN": "input"
- },
- "connections": {
- "ADDRESS": [ 1322, 1324, 1326, 1328, 1330, 1332, 1334, 1336, 1338, 1340, 1342, 1344, 1346, 1348 ],
- "CHIPSELECT": [ "1" ],
- "CLOCK": [ 2 ],
- "DATAIN": [ 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384 ],
- "DATAOUT": [ 3392, 3400, 3407, 3414, 3421, 3428, 3435, 3442, 3449, 3456, 3463, 3470, 3477, 3484, 3491, 3498 ],
- "MASKWREN": [ 177, 177, 138, 138 ],
- "POWEROFF": [ "1" ],
- "SLEEP": [ "0" ],
- "STANDBY": [ "0" ],
- "WREN": [ 178 ]
- }
- },
- "qpi_in_buf[0]": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 1,
- "PULLUP": 1
- },
- "attributes": {
- "src": "../rtl/top.v:121"
- },
- "port_directions": {
- "D_IN_0": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 4525 ],
- "PACKAGE_PIN": [ 4 ]
- }
- },
- "qpi_in_buf[1]": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 1,
- "PULLUP": 1
- },
- "attributes": {
- "src": "../rtl/top.v:121"
- },
- "port_directions": {
- "D_IN_0": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 3586 ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "qpi_io_buf[0]": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41,
- "PULLUP": 0
- },
- "attributes": {
- "src": "../rtl/top.v:108"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 4679 ],
- "D_OUT_0": [ 4683 ],
- "OUTPUT_ENABLE": [ 4687 ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "qpi_io_buf[1]": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41,
- "PULLUP": 0
- },
- "attributes": {
- "src": "../rtl/top.v:108"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 4680 ],
- "D_OUT_0": [ 4684 ],
- "OUTPUT_ENABLE": [ 4687 ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "qpi_io_buf[2]": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41,
- "PULLUP": 0
- },
- "attributes": {
- "src": "../rtl/top.v:108"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 4681 ],
- "D_OUT_0": [ 4685 ],
- "OUTPUT_ENABLE": [ 4687 ],
- "PACKAGE_PIN": [ 7 ]
- }
- },
- "qpi_io_buf[3]": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41,
- "PULLUP": 0
- },
- "attributes": {
- "src": "../rtl/top.v:108"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 4682 ],
- "D_OUT_0": [ 4686 ],
- "OUTPUT_ENABLE": [ 4687 ],
- "PACKAGE_PIN": [ 8 ]
- }
- },
- "seq.callstack.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594, 3594 ],
- "RADDR": [ 414, 417, 436, 426, 406, 402, 428, 438, "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 767, 770, 776, 779, 782, 786, 788, 791, 794, 797, 800, 803, 806, 809, 812, 815 ],
- "RE": [ "1" ],
- "WADDR": [ 415, 416, 437, 427, 407, 403, 429, 439, "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 413 ],
- "WDATA": [ 4265, 3589, 775, 3617, 3621, 3625, 3629, 3633, 3637, 3641, 3645, 3649, 3653, 3657, 3661, 3665 ],
- "WE": [ "1" ]
- }
- },
- "seq.queue.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602 ],
- "RADDR": [ 364, 372, 378, 365, 386, 387, 380, 359, "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1429, 1432, 2359, 555, 611, 648, 2356, 968, 1286, 1173, 1170, 1143, 1135, 1132, 1129, 1126 ],
- "RE": [ "1" ],
- "WADDR": [ 191, 373, 379, 366, 249, 388, 381, 360, "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 190 ],
- "WDATA": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ],
- "WE": [ "1" ]
- }
- },
- "seq.queue.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602, 3602 ],
- "RADDR": [ 364, 372, 378, 365, 386, 387, 380, 359, "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 971, 965, 962, 959, 956, 759, 756, 753, 750, 747, 744, 741, 738, 735, 732, 729 ],
- "RE": [ "1" ],
- "WADDR": [ 191, 373, 379, 366, 249, 388, 381, 360, "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 190 ],
- "WDATA": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\buffer_len[10:0]": {
- "hide_name": 1,
- "bits": [ 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 16 ],
- "attributes": {
- "src": "../rtl/top.v:187"
- }
- },
- "$0\\buffer_ptr[10:0]": {
- "hide_name": 1,
- "bits": [ 3673, 509, 485, 491, 498, 472, 1140, 497, 517, 462, 3678 ],
- "attributes": {
- "src": "../rtl/top.v:187"
- }
- },
- "$0\\dout_data[7:0]": {
- "hide_name": 1,
- "bits": [ 1230, 1246, 1252, 1258, 1264, 1270, 1276, 1282 ],
- "attributes": {
- "src": "../rtl/top.v:187"
- }
- },
- "$0\\qmem_addr[15:0]": {
- "hide_name": 1,
- "bits": [ 1148, 1151, 1154, 1157, 1160, 1163, 1166, 1169, 1102, 1106, 1109, 1112, 1115, 1118, 1121, 1124 ],
- "attributes": {
- "src": "../rtl/top.v:187"
- }
- },
- "$0\\qmem_wdata[15:0]": {
- "hide_name": 1,
- "bits": [ 1179, 1186, 1192, 1198, 1204, 1210, 1216, 1222, 1183, 1189, 1195, 1201, 1207, 1213, 1219, 1225 ],
- "attributes": {
- "src": "../rtl/top.v:187"
- }
- },
- "$0\\qmem_write[1:0]": {
- "hide_name": 1,
- "bits": [ 5002, 257 ],
- "attributes": {
- "src": "../rtl/top.v:187"
- }
- },
- "$0\\reset[0:0]": {
- "hide_name": 1,
- "bits": [ 536 ],
- "attributes": {
- "src": "../rtl/top.v:94"
- }
- },
- "$0\\reset_cnt[3:0]": {
- "hide_name": 1,
- "bits": [ 3746, 3748, 3750, 3752 ],
- "attributes": {
- "src": "../rtl/top.v:94"
- }
- },
- "$2\\mem_client_smem[0:0]": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- "src": "../rtl/top.v:447"
- }
- },
- "$abc$24763$n0": {
- "hide_name": 1,
- "bits": [ 3579 ],
- "attributes": {
- }
- },
- "$abc$24763$n1": {
- "hide_name": 1,
- "bits": [ 11 ],
- "attributes": {
- }
- },
- "$abc$24763$n1004": {
- "hide_name": 1,
- "bits": [ 3587 ],
- "attributes": {
- }
- },
- "$abc$24763$n1009": {
- "hide_name": 1,
- "bits": [ 3588 ],
- "attributes": {
- }
- },
- "$abc$24763$n1032": {
- "hide_name": 1,
- "bits": [ 538 ],
- "attributes": {
- }
- },
- "$abc$24763$n1033": {
- "hide_name": 1,
- "bits": [ 540 ],
- "attributes": {
- }
- },
- "$abc$24763$n1034": {
- "hide_name": 1,
- "bits": [ 542 ],
- "attributes": {
- }
- },
- "$abc$24763$n1036": {
- "hide_name": 1,
- "bits": [ 543 ],
- "attributes": {
- }
- },
- "$abc$24763$n1042": {
- "hide_name": 1,
- "bits": [ 545 ],
- "attributes": {
- }
- },
- "$abc$24763$n1048": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$24763$n1056": {
- "hide_name": 1,
- "bits": [ 547 ],
- "attributes": {
- }
- },
- "$abc$24763$n1059": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$24763$n1063": {
- "hide_name": 1,
- "bits": [ 550 ],
- "attributes": {
- }
- },
- "$abc$24763$n1068": {
- "hide_name": 1,
- "bits": [ 552 ],
- "attributes": {
- }
- },
- "$abc$24763$n1069": {
- "hide_name": 1,
- "bits": [ 553 ],
- "attributes": {
- }
- },
- "$abc$24763$n1072": {
- "hide_name": 1,
- "bits": [ 555 ],
- "attributes": {
- }
- },
- "$abc$24763$n1073": {
- "hide_name": 1,
- "bits": [ 554 ],
- "attributes": {
- }
- },
- "$abc$24763$n1074": {
- "hide_name": 1,
- "bits": [ 556 ],
- "attributes": {
- }
- },
- "$abc$24763$n1075": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$24763$n1077": {
- "hide_name": 1,
- "bits": [ 559 ],
- "attributes": {
- }
- },
- "$abc$24763$n1079": {
- "hide_name": 1,
- "bits": [ 561 ],
- "attributes": {
- }
- },
- "$abc$24763$n1081": {
- "hide_name": 1,
- "bits": [ 563 ],
- "attributes": {
- }
- },
- "$abc$24763$n1083": {
- "hide_name": 1,
- "bits": [ 565 ],
- "attributes": {
- }
- },
- "$abc$24763$n1085": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$24763$n1087": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$24763$n1089": {
- "hide_name": 1,
- "bits": [ 571 ],
- "attributes": {
- }
- },
- "$abc$24763$n1091": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$24763$n1093": {
- "hide_name": 1,
- "bits": [ 575 ],
- "attributes": {
- }
- },
- "$abc$24763$n1095": {
- "hide_name": 1,
- "bits": [ 577 ],
- "attributes": {
- }
- },
- "$abc$24763$n1097": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$24763$n1099": {
- "hide_name": 1,
- "bits": [ 581 ],
- "attributes": {
- }
- },
- "$abc$24763$n1101": {
- "hide_name": 1,
- "bits": [ 583 ],
- "attributes": {
- }
- },
- "$abc$24763$n1103": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$24763$n1105": {
- "hide_name": 1,
- "bits": [ 587 ],
- "attributes": {
- }
- },
- "$abc$24763$n1107": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$24763$n1109": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$24763$n1111": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$24763$n1113": {
- "hide_name": 1,
- "bits": [ 596 ],
- "attributes": {
- }
- },
- "$abc$24763$n1115": {
- "hide_name": 1,
- "bits": [ 598 ],
- "attributes": {
- }
- },
- "$abc$24763$n1117": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$24763$n1119": {
- "hide_name": 1,
- "bits": [ 602 ],
- "attributes": {
- }
- },
- "$abc$24763$n1121": {
- "hide_name": 1,
- "bits": [ 604 ],
- "attributes": {
- }
- },
- "$abc$24763$n1123": {
- "hide_name": 1,
- "bits": [ 606 ],
- "attributes": {
- }
- },
- "$abc$24763$n1125": {
- "hide_name": 1,
- "bits": [ 608 ],
- "attributes": {
- }
- },
- "$abc$24763$n1127": {
- "hide_name": 1,
- "bits": [ 610 ],
- "attributes": {
- }
- },
- "$abc$24763$n1128": {
- "hide_name": 1,
- "bits": [ 611 ],
- "attributes": {
- }
- },
- "$abc$24763$n1129": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$24763$n1130": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$24763$n1132": {
- "hide_name": 1,
- "bits": [ 615 ],
- "attributes": {
- }
- },
- "$abc$24763$n1134": {
- "hide_name": 1,
- "bits": [ 617 ],
- "attributes": {
- }
- },
- "$abc$24763$n1135": {
- "hide_name": 1,
- "bits": [ 618 ],
- "attributes": {
- }
- },
- "$abc$24763$n1136": {
- "hide_name": 1,
- "bits": [ 619 ],
- "attributes": {
- }
- },
- "$abc$24763$n1137": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$24763$n1138": {
- "hide_name": 1,
- "bits": [ 621 ],
- "attributes": {
- }
- },
- "$abc$24763$n1139": {
- "hide_name": 1,
- "bits": [ 622 ],
- "attributes": {
- }
- },
- "$abc$24763$n1140": {
- "hide_name": 1,
- "bits": [ 623 ],
- "attributes": {
- }
- },
- "$abc$24763$n1141": {
- "hide_name": 1,
- "bits": [ 624 ],
- "attributes": {
- }
- },
- "$abc$24763$n1142": {
- "hide_name": 1,
- "bits": [ 625 ],
- "attributes": {
- }
- },
- "$abc$24763$n1143": {
- "hide_name": 1,
- "bits": [ 626 ],
- "attributes": {
- }
- },
- "$abc$24763$n1144": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$24763$n1145": {
- "hide_name": 1,
- "bits": [ 628 ],
- "attributes": {
- }
- },
- "$abc$24763$n1146": {
- "hide_name": 1,
- "bits": [ 629 ],
- "attributes": {
- }
- },
- "$abc$24763$n1147": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$24763$n1148": {
- "hide_name": 1,
- "bits": [ 631 ],
- "attributes": {
- }
- },
- "$abc$24763$n1149": {
- "hide_name": 1,
- "bits": [ 632 ],
- "attributes": {
- }
- },
- "$abc$24763$n1150": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$24763$n1151": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$24763$n1152": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$24763$n1153": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$24763$n1154": {
- "hide_name": 1,
- "bits": [ 637 ],
- "attributes": {
- }
- },
- "$abc$24763$n1155": {
- "hide_name": 1,
- "bits": [ 638 ],
- "attributes": {
- }
- },
- "$abc$24763$n1156": {
- "hide_name": 1,
- "bits": [ 639 ],
- "attributes": {
- }
- },
- "$abc$24763$n1157": {
- "hide_name": 1,
- "bits": [ 640 ],
- "attributes": {
- }
- },
- "$abc$24763$n1158": {
- "hide_name": 1,
- "bits": [ 641 ],
- "attributes": {
- }
- },
- "$abc$24763$n1159": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$24763$n1160": {
- "hide_name": 1,
- "bits": [ 643 ],
- "attributes": {
- }
- },
- "$abc$24763$n1161": {
- "hide_name": 1,
- "bits": [ 644 ],
- "attributes": {
- }
- },
- "$abc$24763$n1162": {
- "hide_name": 1,
- "bits": [ 645 ],
- "attributes": {
- }
- },
- "$abc$24763$n1163": {
- "hide_name": 1,
- "bits": [ 646 ],
- "attributes": {
- }
- },
- "$abc$24763$n1164": {
- "hide_name": 1,
- "bits": [ 647 ],
- "attributes": {
- }
- },
- "$abc$24763$n1165": {
- "hide_name": 1,
- "bits": [ 648 ],
- "attributes": {
- }
- },
- "$abc$24763$n1166": {
- "hide_name": 1,
- "bits": [ 649 ],
- "attributes": {
- }
- },
- "$abc$24763$n1167": {
- "hide_name": 1,
- "bits": [ 650 ],
- "attributes": {
- }
- },
- "$abc$24763$n1259": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$24763$n1260": {
- "hide_name": 1,
- "bits": [ 730 ],
- "attributes": {
- }
- },
- "$abc$24763$n1261": {
- "hide_name": 1,
- "bits": [ 731 ],
- "attributes": {
- }
- },
- "$abc$24763$n1262": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$24763$n1263": {
- "hide_name": 1,
- "bits": [ 733 ],
- "attributes": {
- }
- },
- "$abc$24763$n1264": {
- "hide_name": 1,
- "bits": [ 734 ],
- "attributes": {
- }
- },
- "$abc$24763$n1265": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$24763$n1266": {
- "hide_name": 1,
- "bits": [ 736 ],
- "attributes": {
- }
- },
- "$abc$24763$n1267": {
- "hide_name": 1,
- "bits": [ 737 ],
- "attributes": {
- }
- },
- "$abc$24763$n1268": {
- "hide_name": 1,
- "bits": [ 738 ],
- "attributes": {
- }
- },
- "$abc$24763$n1269": {
- "hide_name": 1,
- "bits": [ 739 ],
- "attributes": {
- }
- },
- "$abc$24763$n1270": {
- "hide_name": 1,
- "bits": [ 740 ],
- "attributes": {
- }
- },
- "$abc$24763$n1271": {
- "hide_name": 1,
- "bits": [ 741 ],
- "attributes": {
- }
- },
- "$abc$24763$n1272": {
- "hide_name": 1,
- "bits": [ 742 ],
- "attributes": {
- }
- },
- "$abc$24763$n1273": {
- "hide_name": 1,
- "bits": [ 743 ],
- "attributes": {
- }
- },
- "$abc$24763$n1274": {
- "hide_name": 1,
- "bits": [ 744 ],
- "attributes": {
- }
- },
- "$abc$24763$n1275": {
- "hide_name": 1,
- "bits": [ 745 ],
- "attributes": {
- }
- },
- "$abc$24763$n1276": {
- "hide_name": 1,
- "bits": [ 746 ],
- "attributes": {
- }
- },
- "$abc$24763$n1277": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$24763$n1278": {
- "hide_name": 1,
- "bits": [ 748 ],
- "attributes": {
- }
- },
- "$abc$24763$n1279": {
- "hide_name": 1,
- "bits": [ 749 ],
- "attributes": {
- }
- },
- "$abc$24763$n1280": {
- "hide_name": 1,
- "bits": [ 750 ],
- "attributes": {
- }
- },
- "$abc$24763$n1281": {
- "hide_name": 1,
- "bits": [ 751 ],
- "attributes": {
- }
- },
- "$abc$24763$n1282": {
- "hide_name": 1,
- "bits": [ 752 ],
- "attributes": {
- }
- },
- "$abc$24763$n1283": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$24763$n1284": {
- "hide_name": 1,
- "bits": [ 754 ],
- "attributes": {
- }
- },
- "$abc$24763$n1285": {
- "hide_name": 1,
- "bits": [ 755 ],
- "attributes": {
- }
- },
- "$abc$24763$n1286": {
- "hide_name": 1,
- "bits": [ 756 ],
- "attributes": {
- }
- },
- "$abc$24763$n1287": {
- "hide_name": 1,
- "bits": [ 757 ],
- "attributes": {
- }
- },
- "$abc$24763$n1288": {
- "hide_name": 1,
- "bits": [ 758 ],
- "attributes": {
- }
- },
- "$abc$24763$n1289": {
- "hide_name": 1,
- "bits": [ 759 ],
- "attributes": {
- }
- },
- "$abc$24763$n1290": {
- "hide_name": 1,
- "bits": [ 760 ],
- "attributes": {
- }
- },
- "$abc$24763$n1291": {
- "hide_name": 1,
- "bits": [ 761 ],
- "attributes": {
- }
- },
- "$abc$24763$n1294": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$24763$n1297": {
- "hide_name": 1,
- "bits": [ 3613 ],
- "attributes": {
- }
- },
- "$abc$24763$n1300": {
- "hide_name": 1,
- "bits": [ 3616 ],
- "attributes": {
- }
- },
- "$abc$24763$n1303": {
- "hide_name": 1,
- "bits": [ 3620 ],
- "attributes": {
- }
- },
- "$abc$24763$n1306": {
- "hide_name": 1,
- "bits": [ 3624 ],
- "attributes": {
- }
- },
- "$abc$24763$n1309": {
- "hide_name": 1,
- "bits": [ 3628 ],
- "attributes": {
- }
- },
- "$abc$24763$n131": {
- "hide_name": 1,
- "bits": [ 3583 ],
- "attributes": {
- }
- },
- "$abc$24763$n1312": {
- "hide_name": 1,
- "bits": [ 3632 ],
- "attributes": {
- }
- },
- "$abc$24763$n1315": {
- "hide_name": 1,
- "bits": [ 3636 ],
- "attributes": {
- }
- },
- "$abc$24763$n1318": {
- "hide_name": 1,
- "bits": [ 3640 ],
- "attributes": {
- }
- },
- "$abc$24763$n1321": {
- "hide_name": 1,
- "bits": [ 3644 ],
- "attributes": {
- }
- },
- "$abc$24763$n1324": {
- "hide_name": 1,
- "bits": [ 3648 ],
- "attributes": {
- }
- },
- "$abc$24763$n1327": {
- "hide_name": 1,
- "bits": [ 3652 ],
- "attributes": {
- }
- },
- "$abc$24763$n1330": {
- "hide_name": 1,
- "bits": [ 3656 ],
- "attributes": {
- }
- },
- "$abc$24763$n1333": {
- "hide_name": 1,
- "bits": [ 3660 ],
- "attributes": {
- }
- },
- "$abc$24763$n1336": {
- "hide_name": 1,
- "bits": [ 3664 ],
- "attributes": {
- }
- },
- "$abc$24763$n1339": {
- "hide_name": 1,
- "bits": [ 3668 ],
- "attributes": {
- }
- },
- "$abc$24763$n136": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$24763$n1373": {
- "hide_name": 1,
- "bits": [ 3589 ],
- "attributes": {
- }
- },
- "$abc$24763$n1375": {
- "hide_name": 1,
- "bits": [ 775 ],
- "attributes": {
- }
- },
- "$abc$24763$n1377": {
- "hide_name": 1,
- "bits": [ 3617 ],
- "attributes": {
- }
- },
- "$abc$24763$n1379": {
- "hide_name": 1,
- "bits": [ 3621 ],
- "attributes": {
- }
- },
- "$abc$24763$n1381": {
- "hide_name": 1,
- "bits": [ 3625 ],
- "attributes": {
- }
- },
- "$abc$24763$n1383": {
- "hide_name": 1,
- "bits": [ 3629 ],
- "attributes": {
- }
- },
- "$abc$24763$n1385": {
- "hide_name": 1,
- "bits": [ 3633 ],
- "attributes": {
- }
- },
- "$abc$24763$n1387": {
- "hide_name": 1,
- "bits": [ 3637 ],
- "attributes": {
- }
- },
- "$abc$24763$n1389": {
- "hide_name": 1,
- "bits": [ 3641 ],
- "attributes": {
- }
- },
- "$abc$24763$n1391": {
- "hide_name": 1,
- "bits": [ 3645 ],
- "attributes": {
- }
- },
- "$abc$24763$n1393": {
- "hide_name": 1,
- "bits": [ 3649 ],
- "attributes": {
- }
- },
- "$abc$24763$n1395": {
- "hide_name": 1,
- "bits": [ 3653 ],
- "attributes": {
- }
- },
- "$abc$24763$n1397": {
- "hide_name": 1,
- "bits": [ 3657 ],
- "attributes": {
- }
- },
- "$abc$24763$n1399": {
- "hide_name": 1,
- "bits": [ 3661 ],
- "attributes": {
- }
- },
- "$abc$24763$n1401": {
- "hide_name": 1,
- "bits": [ 3665 ],
- "attributes": {
- }
- },
- "$abc$24763$n1402": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$24763$n1404": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$24763$n1405": {
- "hide_name": 1,
- "bits": [ 421 ],
- "attributes": {
- }
- },
- "$abc$24763$n1406": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$24763$n1407": {
- "hide_name": 1,
- "bits": [ 440 ],
- "attributes": {
- }
- },
- "$abc$24763$n1408": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$24763$n1409": {
- "hide_name": 1,
- "bits": [ 430 ],
- "attributes": {
- }
- },
- "$abc$24763$n1410": {
- "hide_name": 1,
- "bits": [ 426 ],
- "attributes": {
- }
- },
- "$abc$24763$n1411": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$24763$n1412": {
- "hide_name": 1,
- "bits": [ 406 ],
- "attributes": {
- }
- },
- "$abc$24763$n1413": {
- "hide_name": 1,
- "bits": [ 423 ],
- "attributes": {
- }
- },
- "$abc$24763$n1414": {
- "hide_name": 1,
- "bits": [ 402 ],
- "attributes": {
- }
- },
- "$abc$24763$n1415": {
- "hide_name": 1,
- "bits": [ 433 ],
- "attributes": {
- }
- },
- "$abc$24763$n1416": {
- "hide_name": 1,
- "bits": [ 428 ],
- "attributes": {
- }
- },
- "$abc$24763$n1417": {
- "hide_name": 1,
- "bits": [ 443 ],
- "attributes": {
- }
- },
- "$abc$24763$n1418": {
- "hide_name": 1,
- "bits": [ 438 ],
- "attributes": {
- }
- },
- "$abc$24763$n1427": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$24763$n1429": {
- "hide_name": 1,
- "bits": [ 416 ],
- "attributes": {
- }
- },
- "$abc$24763$n1431": {
- "hide_name": 1,
- "bits": [ 437 ],
- "attributes": {
- }
- },
- "$abc$24763$n1433": {
- "hide_name": 1,
- "bits": [ 427 ],
- "attributes": {
- }
- },
- "$abc$24763$n1435": {
- "hide_name": 1,
- "bits": [ 407 ],
- "attributes": {
- }
- },
- "$abc$24763$n1437": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$24763$n1439": {
- "hide_name": 1,
- "bits": [ 429 ],
- "attributes": {
- }
- },
- "$abc$24763$n1441": {
- "hide_name": 1,
- "bits": [ 439 ],
- "attributes": {
- }
- },
- "$abc$24763$n1451": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$24763$n1453": {
- "hide_name": 1,
- "bits": [ 442 ],
- "attributes": {
- }
- },
- "$abc$24763$n1454": {
- "hide_name": 1,
- "bits": [ 432 ],
- "attributes": {
- }
- },
- "$abc$24763$n1455": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$24763$n1456": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$24763$n1457": {
- "hide_name": 1,
- "bits": [ 435 ],
- "attributes": {
- }
- },
- "$abc$24763$n1458": {
- "hide_name": 1,
- "bits": [ 444 ],
- "attributes": {
- }
- },
- "$abc$24763$n1459": {
- "hide_name": 1,
- "bits": [ 786 ],
- "attributes": {
- }
- },
- "$abc$24763$n1460": {
- "hide_name": 1,
- "bits": [ 785 ],
- "attributes": {
- }
- },
- "$abc$24763$n1461": {
- "hide_name": 1,
- "bits": [ 769 ],
- "attributes": {
- }
- },
- "$abc$24763$n1463": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$24763$n1464": {
- "hide_name": 1,
- "bits": [ 413 ],
- "attributes": {
- }
- },
- "$abc$24763$n1513": {
- "hide_name": 1,
- "bits": [ 821 ],
- "attributes": {
- }
- },
- "$abc$24763$n1515": {
- "hide_name": 1,
- "bits": [ 830 ],
- "attributes": {
- }
- },
- "$abc$24763$n1516": {
- "hide_name": 1,
- "bits": [ 835 ],
- "attributes": {
- }
- },
- "$abc$24763$n1517": {
- "hide_name": 1,
- "bits": [ 840 ],
- "attributes": {
- }
- },
- "$abc$24763$n1518": {
- "hide_name": 1,
- "bits": [ 845 ],
- "attributes": {
- }
- },
- "$abc$24763$n1519": {
- "hide_name": 1,
- "bits": [ 850 ],
- "attributes": {
- }
- },
- "$abc$24763$n1520": {
- "hide_name": 1,
- "bits": [ 855 ],
- "attributes": {
- }
- },
- "$abc$24763$n1521": {
- "hide_name": 1,
- "bits": [ 860 ],
- "attributes": {
- }
- },
- "$abc$24763$n1522": {
- "hide_name": 1,
- "bits": [ 862 ],
- "attributes": {
- }
- },
- "$abc$24763$n1524": {
- "hide_name": 1,
- "bits": [ 865 ],
- "attributes": {
- }
- },
- "$abc$24763$n1525": {
- "hide_name": 1,
- "bits": [ 867 ],
- "attributes": {
- }
- },
- "$abc$24763$n1526": {
- "hide_name": 1,
- "bits": [ 869 ],
- "attributes": {
- }
- },
- "$abc$24763$n1527": {
- "hide_name": 1,
- "bits": [ 871 ],
- "attributes": {
- }
- },
- "$abc$24763$n1528": {
- "hide_name": 1,
- "bits": [ 873 ],
- "attributes": {
- }
- },
- "$abc$24763$n1529": {
- "hide_name": 1,
- "bits": [ 875 ],
- "attributes": {
- }
- },
- "$abc$24763$n1530": {
- "hide_name": 1,
- "bits": [ 877 ],
- "attributes": {
- }
- },
- "$abc$24763$n1531": {
- "hide_name": 1,
- "bits": [ 879 ],
- "attributes": {
- }
- },
- "$abc$24763$n1532": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$24763$n1533": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$24763$n1534": {
- "hide_name": 1,
- "bits": [ 376 ],
- "attributes": {
- }
- },
- "$abc$24763$n1535": {
- "hide_name": 1,
- "bits": [ 372 ],
- "attributes": {
- }
- },
- "$abc$24763$n1536": {
- "hide_name": 1,
- "bits": [ 881 ],
- "attributes": {
- }
- },
- "$abc$24763$n1537": {
- "hide_name": 1,
- "bits": [ 378 ],
- "attributes": {
- }
- },
- "$abc$24763$n1538": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$24763$n1539": {
- "hide_name": 1,
- "bits": [ 365 ],
- "attributes": {
- }
- },
- "$abc$24763$n1540": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$24763$n1541": {
- "hide_name": 1,
- "bits": [ 386 ],
- "attributes": {
- }
- },
- "$abc$24763$n1542": {
- "hide_name": 1,
- "bits": [ 883 ],
- "attributes": {
- }
- },
- "$abc$24763$n1543": {
- "hide_name": 1,
- "bits": [ 387 ],
- "attributes": {
- }
- },
- "$abc$24763$n1544": {
- "hide_name": 1,
- "bits": [ 884 ],
- "attributes": {
- }
- },
- "$abc$24763$n1545": {
- "hide_name": 1,
- "bits": [ 380 ],
- "attributes": {
- }
- },
- "$abc$24763$n1546": {
- "hide_name": 1,
- "bits": [ 392 ],
- "attributes": {
- }
- },
- "$abc$24763$n1547": {
- "hide_name": 1,
- "bits": [ 359 ],
- "attributes": {
- }
- },
- "$abc$24763$n1564": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$24763$n1566": {
- "hide_name": 1,
- "bits": [ 383 ],
- "attributes": {
- }
- },
- "$abc$24763$n1567": {
- "hide_name": 1,
- "bits": [ 371 ],
- "attributes": {
- }
- },
- "$abc$24763$n1568": {
- "hide_name": 1,
- "bits": [ 389 ],
- "attributes": {
- }
- },
- "$abc$24763$n1569": {
- "hide_name": 1,
- "bits": [ 391 ],
- "attributes": {
- }
- },
- "$abc$24763$n1570": {
- "hide_name": 1,
- "bits": [ 385 ],
- "attributes": {
- }
- },
- "$abc$24763$n1571": {
- "hide_name": 1,
- "bits": [ 394 ],
- "attributes": {
- }
- },
- "$abc$24763$n1572": {
- "hide_name": 1,
- "bits": [ 885 ],
- "attributes": {
- }
- },
- "$abc$24763$n1573": {
- "hide_name": 1,
- "bits": [ 886 ],
- "attributes": {
- }
- },
- "$abc$24763$n1575": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$24763$n1576": {
- "hide_name": 1,
- "bits": [ 889 ],
- "attributes": {
- }
- },
- "$abc$24763$n1577": {
- "hide_name": 1,
- "bits": [ 890 ],
- "attributes": {
- }
- },
- "$abc$24763$n1578": {
- "hide_name": 1,
- "bits": [ 891 ],
- "attributes": {
- }
- },
- "$abc$24763$n1579": {
- "hide_name": 1,
- "bits": [ 892 ],
- "attributes": {
- }
- },
- "$abc$24763$n1580": {
- "hide_name": 1,
- "bits": [ 893 ],
- "attributes": {
- }
- },
- "$abc$24763$n1581": {
- "hide_name": 1,
- "bits": [ 894 ],
- "attributes": {
- }
- },
- "$abc$24763$n1582": {
- "hide_name": 1,
- "bits": [ 895 ],
- "attributes": {
- }
- },
- "$abc$24763$n1583": {
- "hide_name": 1,
- "bits": [ 896 ],
- "attributes": {
- }
- },
- "$abc$24763$n1584": {
- "hide_name": 1,
- "bits": [ 897 ],
- "attributes": {
- }
- },
- "$abc$24763$n1585": {
- "hide_name": 1,
- "bits": [ 898 ],
- "attributes": {
- }
- },
- "$abc$24763$n1586": {
- "hide_name": 1,
- "bits": [ 899 ],
- "attributes": {
- }
- },
- "$abc$24763$n1587": {
- "hide_name": 1,
- "bits": [ 900 ],
- "attributes": {
- }
- },
- "$abc$24763$n1588": {
- "hide_name": 1,
- "bits": [ 901 ],
- "attributes": {
- }
- },
- "$abc$24763$n1589": {
- "hide_name": 1,
- "bits": [ 902 ],
- "attributes": {
- }
- },
- "$abc$24763$n1590": {
- "hide_name": 1,
- "bits": [ 903 ],
- "attributes": {
- }
- },
- "$abc$24763$n1591": {
- "hide_name": 1,
- "bits": [ 904 ],
- "attributes": {
- }
- },
- "$abc$24763$n1593": {
- "hide_name": 1,
- "bits": [ 906 ],
- "attributes": {
- }
- },
- "$abc$24763$n1594": {
- "hide_name": 1,
- "bits": [ 907 ],
- "attributes": {
- }
- },
- "$abc$24763$n1595": {
- "hide_name": 1,
- "bits": [ 908 ],
- "attributes": {
- }
- },
- "$abc$24763$n1596": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$24763$n1597": {
- "hide_name": 1,
- "bits": [ 910 ],
- "attributes": {
- }
- },
- "$abc$24763$n1598": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$24763$n1599": {
- "hide_name": 1,
- "bits": [ 912 ],
- "attributes": {
- }
- },
- "$abc$24763$n16": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$24763$n1600": {
- "hide_name": 1,
- "bits": [ 913 ],
- "attributes": {
- }
- },
- "$abc$24763$n1601": {
- "hide_name": 1,
- "bits": [ 914 ],
- "attributes": {
- }
- },
- "$abc$24763$n1602": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$24763$n1603": {
- "hide_name": 1,
- "bits": [ 916 ],
- "attributes": {
- }
- },
- "$abc$24763$n1604": {
- "hide_name": 1,
- "bits": [ 917 ],
- "attributes": {
- }
- },
- "$abc$24763$n1605": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$24763$n1606": {
- "hide_name": 1,
- "bits": [ 919 ],
- "attributes": {
- }
- },
- "$abc$24763$n1607": {
- "hide_name": 1,
- "bits": [ 920 ],
- "attributes": {
- }
- },
- "$abc$24763$n1608": {
- "hide_name": 1,
- "bits": [ 924 ],
- "attributes": {
- }
- },
- "$abc$24763$n1610": {
- "hide_name": 1,
- "bits": [ 925 ],
- "attributes": {
- }
- },
- "$abc$24763$n1611": {
- "hide_name": 1,
- "bits": [ 929 ],
- "attributes": {
- }
- },
- "$abc$24763$n1613": {
- "hide_name": 1,
- "bits": [ 930 ],
- "attributes": {
- }
- },
- "$abc$24763$n1614": {
- "hide_name": 1,
- "bits": [ 934 ],
- "attributes": {
- }
- },
- "$abc$24763$n1616": {
- "hide_name": 1,
- "bits": [ 935 ],
- "attributes": {
- }
- },
- "$abc$24763$n1617": {
- "hide_name": 1,
- "bits": [ 939 ],
- "attributes": {
- }
- },
- "$abc$24763$n1619": {
- "hide_name": 1,
- "bits": [ 940 ],
- "attributes": {
- }
- },
- "$abc$24763$n1620": {
- "hide_name": 1,
- "bits": [ 944 ],
- "attributes": {
- }
- },
- "$abc$24763$n1622": {
- "hide_name": 1,
- "bits": [ 945 ],
- "attributes": {
- }
- },
- "$abc$24763$n1623": {
- "hide_name": 1,
- "bits": [ 949 ],
- "attributes": {
- }
- },
- "$abc$24763$n1625": {
- "hide_name": 1,
- "bits": [ 950 ],
- "attributes": {
- }
- },
- "$abc$24763$n1626": {
- "hide_name": 1,
- "bits": [ 954 ],
- "attributes": {
- }
- },
- "$abc$24763$n1628": {
- "hide_name": 1,
- "bits": [ 955 ],
- "attributes": {
- }
- },
- "$abc$24763$n1629": {
- "hide_name": 1,
- "bits": [ 782 ],
- "attributes": {
- }
- },
- "$abc$24763$n1630": {
- "hide_name": 1,
- "bits": [ 783 ],
- "attributes": {
- }
- },
- "$abc$24763$n1631": {
- "hide_name": 1,
- "bits": [ 84 ],
- "attributes": {
- }
- },
- "$abc$24763$n1698": {
- "hide_name": 1,
- "bits": [ 956 ],
- "attributes": {
- }
- },
- "$abc$24763$n1699": {
- "hide_name": 1,
- "bits": [ 957 ],
- "attributes": {
- }
- },
- "$abc$24763$n1700": {
- "hide_name": 1,
- "bits": [ 958 ],
- "attributes": {
- }
- },
- "$abc$24763$n1701": {
- "hide_name": 1,
- "bits": [ 959 ],
- "attributes": {
- }
- },
- "$abc$24763$n1702": {
- "hide_name": 1,
- "bits": [ 960 ],
- "attributes": {
- }
- },
- "$abc$24763$n1703": {
- "hide_name": 1,
- "bits": [ 961 ],
- "attributes": {
- }
- },
- "$abc$24763$n1704": {
- "hide_name": 1,
- "bits": [ 962 ],
- "attributes": {
- }
- },
- "$abc$24763$n1705": {
- "hide_name": 1,
- "bits": [ 963 ],
- "attributes": {
- }
- },
- "$abc$24763$n1706": {
- "hide_name": 1,
- "bits": [ 964 ],
- "attributes": {
- }
- },
- "$abc$24763$n1707": {
- "hide_name": 1,
- "bits": [ 965 ],
- "attributes": {
- }
- },
- "$abc$24763$n1708": {
- "hide_name": 1,
- "bits": [ 966 ],
- "attributes": {
- }
- },
- "$abc$24763$n1709": {
- "hide_name": 1,
- "bits": [ 967 ],
- "attributes": {
- }
- },
- "$abc$24763$n1713": {
- "hide_name": 1,
- "bits": [ 797 ],
- "attributes": {
- }
- },
- "$abc$24763$n1714": {
- "hide_name": 1,
- "bits": [ 798 ],
- "attributes": {
- }
- },
- "$abc$24763$n1715": {
- "hide_name": 1,
- "bits": [ 803 ],
- "attributes": {
- }
- },
- "$abc$24763$n1716": {
- "hide_name": 1,
- "bits": [ 804 ],
- "attributes": {
- }
- },
- "$abc$24763$n1717": {
- "hide_name": 1,
- "bits": [ 806 ],
- "attributes": {
- }
- },
- "$abc$24763$n1718": {
- "hide_name": 1,
- "bits": [ 807 ],
- "attributes": {
- }
- },
- "$abc$24763$n1719": {
- "hide_name": 1,
- "bits": [ 809 ],
- "attributes": {
- }
- },
- "$abc$24763$n1720": {
- "hide_name": 1,
- "bits": [ 810 ],
- "attributes": {
- }
- },
- "$abc$24763$n1721": {
- "hide_name": 1,
- "bits": [ 968 ],
- "attributes": {
- }
- },
- "$abc$24763$n1722": {
- "hide_name": 1,
- "bits": [ 969 ],
- "attributes": {
- }
- },
- "$abc$24763$n1723": {
- "hide_name": 1,
- "bits": [ 970 ],
- "attributes": {
- }
- },
- "$abc$24763$n1724": {
- "hide_name": 1,
- "bits": [ 779 ],
- "attributes": {
- }
- },
- "$abc$24763$n1725": {
- "hide_name": 1,
- "bits": [ 780 ],
- "attributes": {
- }
- },
- "$abc$24763$n1726": {
- "hide_name": 1,
- "bits": [ 971 ],
- "attributes": {
- }
- },
- "$abc$24763$n1727": {
- "hide_name": 1,
- "bits": [ 972 ],
- "attributes": {
- }
- },
- "$abc$24763$n1728": {
- "hide_name": 1,
- "bits": [ 973 ],
- "attributes": {
- }
- },
- "$abc$24763$n175": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$24763$n1948": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$24763$n1950": {
- "hide_name": 1,
- "bits": [ 1105 ],
- "attributes": {
- }
- },
- "$abc$24763$n1952": {
- "hide_name": 1,
- "bits": [ 1108 ],
- "attributes": {
- }
- },
- "$abc$24763$n1954": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$24763$n1956": {
- "hide_name": 1,
- "bits": [ 1114 ],
- "attributes": {
- }
- },
- "$abc$24763$n1958": {
- "hide_name": 1,
- "bits": [ 1117 ],
- "attributes": {
- }
- },
- "$abc$24763$n1960": {
- "hide_name": 1,
- "bits": [ 1120 ],
- "attributes": {
- }
- },
- "$abc$24763$n1962": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$24763$n1996": {
- "hide_name": 1,
- "bits": [ 794 ],
- "attributes": {
- }
- },
- "$abc$24763$n1997": {
- "hide_name": 1,
- "bits": [ 795 ],
- "attributes": {
- }
- },
- "$abc$24763$n1998": {
- "hide_name": 1,
- "bits": [ 1125 ],
- "attributes": {
- }
- },
- "$abc$24763$n2008": {
- "hide_name": 1,
- "bits": [ 815 ],
- "attributes": {
- }
- },
- "$abc$24763$n2009": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$24763$n2010": {
- "hide_name": 1,
- "bits": [ 812 ],
- "attributes": {
- }
- },
- "$abc$24763$n2011": {
- "hide_name": 1,
- "bits": [ 813 ],
- "attributes": {
- }
- },
- "$abc$24763$n2014": {
- "hide_name": 1,
- "bits": [ 1126 ],
- "attributes": {
- }
- },
- "$abc$24763$n2015": {
- "hide_name": 1,
- "bits": [ 1127 ],
- "attributes": {
- }
- },
- "$abc$24763$n2016": {
- "hide_name": 1,
- "bits": [ 1128 ],
- "attributes": {
- }
- },
- "$abc$24763$n2017": {
- "hide_name": 1,
- "bits": [ 791 ],
- "attributes": {
- }
- },
- "$abc$24763$n2018": {
- "hide_name": 1,
- "bits": [ 792 ],
- "attributes": {
- }
- },
- "$abc$24763$n2019": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$24763$n2020": {
- "hide_name": 1,
- "bits": [ 1130 ],
- "attributes": {
- }
- },
- "$abc$24763$n2021": {
- "hide_name": 1,
- "bits": [ 1131 ],
- "attributes": {
- }
- },
- "$abc$24763$n2022": {
- "hide_name": 1,
- "bits": [ 1138 ],
- "attributes": {
- }
- },
- "$abc$24763$n2028": {
- "hide_name": 1,
- "bits": [ 488 ],
- "attributes": {
- }
- },
- "$abc$24763$n2031": {
- "hide_name": 1,
- "bits": [ 494 ],
- "attributes": {
- }
- },
- "$abc$24763$n2034": {
- "hide_name": 1,
- "bits": [ 506 ],
- "attributes": {
- }
- },
- "$abc$24763$n2037": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$24763$n2040": {
- "hide_name": 1,
- "bits": [ 528 ],
- "attributes": {
- }
- },
- "$abc$24763$n2043": {
- "hide_name": 1,
- "bits": [ 501 ],
- "attributes": {
- }
- },
- "$abc$24763$n2046": {
- "hide_name": 1,
- "bits": [ 520 ],
- "attributes": {
- }
- },
- "$abc$24763$n2049": {
- "hide_name": 1,
- "bits": [ 529 ],
- "attributes": {
- }
- },
- "$abc$24763$n2052": {
- "hide_name": 1,
- "bits": [ 1141 ],
- "attributes": {
- }
- },
- "$abc$24763$n2054": {
- "hide_name": 1,
- "bits": [ 1132 ],
- "attributes": {
- }
- },
- "$abc$24763$n2055": {
- "hide_name": 1,
- "bits": [ 1133 ],
- "attributes": {
- }
- },
- "$abc$24763$n2056": {
- "hide_name": 1,
- "bits": [ 1134 ],
- "attributes": {
- }
- },
- "$abc$24763$n2068": {
- "hide_name": 1,
- "bits": [ 1135 ],
- "attributes": {
- }
- },
- "$abc$24763$n2069": {
- "hide_name": 1,
- "bits": [ 1136 ],
- "attributes": {
- }
- },
- "$abc$24763$n2070": {
- "hide_name": 1,
- "bits": [ 1137 ],
- "attributes": {
- }
- },
- "$abc$24763$n209": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$24763$n2093": {
- "hide_name": 1,
- "bits": [ 3669 ],
- "attributes": {
- }
- },
- "$abc$24763$n2096": {
- "hide_name": 1,
- "bits": [ 516 ],
- "attributes": {
- }
- },
- "$abc$24763$n2098": {
- "hide_name": 1,
- "bits": [ 489 ],
- "attributes": {
- }
- },
- "$abc$24763$n2100": {
- "hide_name": 1,
- "bits": [ 495 ],
- "attributes": {
- }
- },
- "$abc$24763$n2102": {
- "hide_name": 1,
- "bits": [ 507 ],
- "attributes": {
- }
- },
- "$abc$24763$n2104": {
- "hide_name": 1,
- "bits": [ 483 ],
- "attributes": {
- }
- },
- "$abc$24763$n2106": {
- "hide_name": 1,
- "bits": [ 527 ],
- "attributes": {
- }
- },
- "$abc$24763$n2108": {
- "hide_name": 1,
- "bits": [ 502 ],
- "attributes": {
- }
- },
- "$abc$24763$n2110": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$24763$n2112": {
- "hide_name": 1,
- "bits": [ 3607 ],
- "attributes": {
- }
- },
- "$abc$24763$n2114": {
- "hide_name": 1,
- "bits": [ 3674 ],
- "attributes": {
- }
- },
- "$abc$24763$n2116": {
- "hide_name": 1,
- "bits": [ 3672 ],
- "attributes": {
- }
- },
- "$abc$24763$n212": {
- "hide_name": 1,
- "bits": [ 182 ],
- "attributes": {
- }
- },
- "$abc$24763$n2122": {
- "hide_name": 1,
- "bits": [ 496 ],
- "attributes": {
- }
- },
- "$abc$24763$n2124": {
- "hide_name": 1,
- "bits": [ 508 ],
- "attributes": {
- }
- },
- "$abc$24763$n2126": {
- "hide_name": 1,
- "bits": [ 484 ],
- "attributes": {
- }
- },
- "$abc$24763$n2128": {
- "hide_name": 1,
- "bits": [ 526 ],
- "attributes": {
- }
- },
- "$abc$24763$n2130": {
- "hide_name": 1,
- "bits": [ 503 ],
- "attributes": {
- }
- },
- "$abc$24763$n2132": {
- "hide_name": 1,
- "bits": [ 522 ],
- "attributes": {
- }
- },
- "$abc$24763$n2134": {
- "hide_name": 1,
- "bits": [ 3610 ],
- "attributes": {
- }
- },
- "$abc$24763$n2136": {
- "hide_name": 1,
- "bits": [ 3677 ],
- "attributes": {
- }
- },
- "$abc$24763$n215": {
- "hide_name": 1,
- "bits": [ 186 ],
- "attributes": {
- }
- },
- "$abc$24763$n218": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$24763$n2182": {
- "hide_name": 1,
- "bits": [ 1143 ],
- "attributes": {
- }
- },
- "$abc$24763$n2183": {
- "hide_name": 1,
- "bits": [ 1144 ],
- "attributes": {
- }
- },
- "$abc$24763$n2184": {
- "hide_name": 1,
- "bits": [ 1145 ],
- "attributes": {
- }
- },
- "$abc$24763$n220": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$24763$n2209": {
- "hide_name": 1,
- "bits": [ 1147 ],
- "attributes": {
- }
- },
- "$abc$24763$n2213": {
- "hide_name": 1,
- "bits": [ 1153 ],
- "attributes": {
- }
- },
- "$abc$24763$n2215": {
- "hide_name": 1,
- "bits": [ 1156 ],
- "attributes": {
- }
- },
- "$abc$24763$n2217": {
- "hide_name": 1,
- "bits": [ 1159 ],
- "attributes": {
- }
- },
- "$abc$24763$n2219": {
- "hide_name": 1,
- "bits": [ 1162 ],
- "attributes": {
- }
- },
- "$abc$24763$n2221": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$24763$n2223": {
- "hide_name": 1,
- "bits": [ 1168 ],
- "attributes": {
- }
- },
- "$abc$24763$n2258": {
- "hide_name": 1,
- "bits": [ 1170 ],
- "attributes": {
- }
- },
- "$abc$24763$n2259": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$24763$n2260": {
- "hide_name": 1,
- "bits": [ 1172 ],
- "attributes": {
- }
- },
- "$abc$24763$n2273_1": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$24763$n2274": {
- "hide_name": 1,
- "bits": [ 15 ],
- "attributes": {
- }
- },
- "$abc$24763$n2277": {
- "hide_name": 1,
- "bits": [ 1173 ],
- "attributes": {
- }
- },
- "$abc$24763$n2278": {
- "hide_name": 1,
- "bits": [ 1174 ],
- "attributes": {
- }
- },
- "$abc$24763$n2279": {
- "hide_name": 1,
- "bits": [ 1175 ],
- "attributes": {
- }
- },
- "$abc$24763$n2279_1": {
- "hide_name": 1,
- "bits": [ 31 ],
- "attributes": {
- }
- },
- "$abc$24763$n2280": {
- "hide_name": 1,
- "bits": [ 29 ],
- "attributes": {
- }
- },
- "$abc$24763$n2285": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$24763$n2289": {
- "hide_name": 1,
- "bits": [ 51 ],
- "attributes": {
- }
- },
- "$abc$24763$n2290": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$24763$n2292": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$24763$n2293": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$24763$n2294": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$24763$n2297": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$24763$n2298": {
- "hide_name": 1,
- "bits": [ 64 ],
- "attributes": {
- }
- },
- "$abc$24763$n2299": {
- "hide_name": 1,
- "bits": [ 67 ],
- "attributes": {
- }
- },
- "$abc$24763$n2300": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$24763$n2301": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$24763$n2302": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$24763$n2303": {
- "hide_name": 1,
- "bits": [ 68 ],
- "attributes": {
- }
- },
- "$abc$24763$n2304_1": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$24763$n2305": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$24763$n2306": {
- "hide_name": 1,
- "bits": [ 66 ],
- "attributes": {
- }
- },
- "$abc$24763$n2307": {
- "hide_name": 1,
- "bits": [ 63 ],
- "attributes": {
- }
- },
- "$abc$24763$n2308": {
- "hide_name": 1,
- "bits": [ 75 ],
- "attributes": {
- }
- },
- "$abc$24763$n2309": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$24763$n2310": {
- "hide_name": 1,
- "bits": [ 76 ],
- "attributes": {
- }
- },
- "$abc$24763$n2312": {
- "hide_name": 1,
- "bits": [ 78 ],
- "attributes": {
- }
- },
- "$abc$24763$n2314_1": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$24763$n2331_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$24763$n2335_1": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$24763$n2336": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$24763$n2337": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$24763$n2339": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$24763$n2340": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$24763$n2342": {
- "hide_name": 1,
- "bits": [ 166 ],
- "attributes": {
- }
- },
- "$abc$24763$n2343": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$24763$n2344": {
- "hide_name": 1,
- "bits": [ 170 ],
- "attributes": {
- }
- },
- "$abc$24763$n2345": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$24763$n2346": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$24763$n2348": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$24763$n2349": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$24763$n2353": {
- "hide_name": 1,
- "bits": [ 180 ],
- "attributes": {
- }
- },
- "$abc$24763$n2356": {
- "hide_name": 1,
- "bits": [ 183 ],
- "attributes": {
- }
- },
- "$abc$24763$n236": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$24763$n2364": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$24763$n2365": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$24763$n2366": {
- "hide_name": 1,
- "bits": [ 196 ],
- "attributes": {
- }
- },
- "$abc$24763$n2367": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$24763$n2370": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$24763$n2371": {
- "hide_name": 1,
- "bits": [ 206 ],
- "attributes": {
- }
- },
- "$abc$24763$n2372": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$24763$n2373": {
- "hide_name": 1,
- "bits": [ 208 ],
- "attributes": {
- }
- },
- "$abc$24763$n2376": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$24763$n238": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$24763$n2383_1": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$24763$n2384_1": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$24763$n2387": {
- "hide_name": 1,
- "bits": [ 1286 ],
- "attributes": {
- }
- },
- "$abc$24763$n2387_1": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$24763$n2388": {
- "hide_name": 1,
- "bits": [ 1287 ],
- "attributes": {
- }
- },
- "$abc$24763$n2388_1": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$24763$n2389": {
- "hide_name": 1,
- "bits": [ 1288 ],
- "attributes": {
- }
- },
- "$abc$24763$n2390": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$24763$n2395": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$24763$n2396": {
- "hide_name": 1,
- "bits": [ 1291 ],
- "attributes": {
- }
- },
- "$abc$24763$n2397": {
- "hide_name": 1,
- "bits": [ 800 ],
- "attributes": {
- }
- },
- "$abc$24763$n2398": {
- "hide_name": 1,
- "bits": [ 801 ],
- "attributes": {
- }
- },
- "$abc$24763$n2399": {
- "hide_name": 1,
- "bits": [ 776 ],
- "attributes": {
- }
- },
- "$abc$24763$n2400": {
- "hide_name": 1,
- "bits": [ 777 ],
- "attributes": {
- }
- },
- "$abc$24763$n2401": {
- "hide_name": 1,
- "bits": [ 1177 ],
- "attributes": {
- }
- },
- "$abc$24763$n2402": {
- "hide_name": 1,
- "bits": [ 1176 ],
- "attributes": {
- }
- },
- "$abc$24763$n2402_1": {
- "hide_name": 1,
- "bits": [ 252 ],
- "attributes": {
- }
- },
- "$abc$24763$n2403": {
- "hide_name": 1,
- "bits": [ 1178 ],
- "attributes": {
- }
- },
- "$abc$24763$n2404": {
- "hide_name": 1,
- "bits": [ 1184 ],
- "attributes": {
- }
- },
- "$abc$24763$n2405": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$24763$n2406": {
- "hide_name": 1,
- "bits": [ 1190 ],
- "attributes": {
- }
- },
- "$abc$24763$n2407": {
- "hide_name": 1,
- "bits": [ 1191 ],
- "attributes": {
- }
- },
- "$abc$24763$n2407_1": {
- "hide_name": 1,
- "bits": [ 258 ],
- "attributes": {
- }
- },
- "$abc$24763$n2408": {
- "hide_name": 1,
- "bits": [ 1196 ],
- "attributes": {
- }
- },
- "$abc$24763$n2408_1": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$24763$n2409": {
- "hide_name": 1,
- "bits": [ 1197 ],
- "attributes": {
- }
- },
- "$abc$24763$n2409_1": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$24763$n2410": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$24763$n2410_1": {
- "hide_name": 1,
- "bits": [ 266 ],
- "attributes": {
- }
- },
- "$abc$24763$n2411": {
- "hide_name": 1,
- "bits": [ 1203 ],
- "attributes": {
- }
- },
- "$abc$24763$n2411_1": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$24763$n2412": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$24763$n2412_1": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$24763$n2413": {
- "hide_name": 1,
- "bits": [ 1209 ],
- "attributes": {
- }
- },
- "$abc$24763$n2413_1": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$24763$n2414": {
- "hide_name": 1,
- "bits": [ 1214 ],
- "attributes": {
- }
- },
- "$abc$24763$n2414_1": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$24763$n2415": {
- "hide_name": 1,
- "bits": [ 1215 ],
- "attributes": {
- }
- },
- "$abc$24763$n2416": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$24763$n2416_1": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$24763$n2417": {
- "hide_name": 1,
- "bits": [ 1221 ],
- "attributes": {
- }
- },
- "$abc$24763$n2420": {
- "hide_name": 1,
- "bits": [ 1296 ],
- "attributes": {
- }
- },
- "$abc$24763$n2423": {
- "hide_name": 1,
- "bits": [ 1299 ],
- "attributes": {
- }
- },
- "$abc$24763$n2426": {
- "hide_name": 1,
- "bits": [ 1302 ],
- "attributes": {
- }
- },
- "$abc$24763$n2428_1": {
- "hide_name": 1,
- "bits": [ 313 ],
- "attributes": {
- }
- },
- "$abc$24763$n2429": {
- "hide_name": 1,
- "bits": [ 1305 ],
- "attributes": {
- }
- },
- "$abc$24763$n2431_1": {
- "hide_name": 1,
- "bits": [ 318 ],
- "attributes": {
- }
- },
- "$abc$24763$n2432": {
- "hide_name": 1,
- "bits": [ 1308 ],
- "attributes": {
- }
- },
- "$abc$24763$n2433_1": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$24763$n2434": {
- "hide_name": 1,
- "bits": [ 1310 ],
- "attributes": {
- }
- },
- "$abc$24763$n2435": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$24763$n2435_1": {
- "hide_name": 1,
- "bits": [ 332 ],
- "attributes": {
- }
- },
- "$abc$24763$n2436": {
- "hide_name": 1,
- "bits": [ 1311 ],
- "attributes": {
- }
- },
- "$abc$24763$n2437": {
- "hide_name": 1,
- "bits": [ 1312 ],
- "attributes": {
- }
- },
- "$abc$24763$n2438": {
- "hide_name": 1,
- "bits": [ 1313 ],
- "attributes": {
- }
- },
- "$abc$24763$n2438_1": {
- "hide_name": 1,
- "bits": [ 341 ],
- "attributes": {
- }
- },
- "$abc$24763$n2439": {
- "hide_name": 1,
- "bits": [ 1314 ],
- "attributes": {
- }
- },
- "$abc$24763$n2439_1": {
- "hide_name": 1,
- "bits": [ 343 ],
- "attributes": {
- }
- },
- "$abc$24763$n2440": {
- "hide_name": 1,
- "bits": [ 1315 ],
- "attributes": {
- }
- },
- "$abc$24763$n2440_1": {
- "hide_name": 1,
- "bits": [ 340 ],
- "attributes": {
- }
- },
- "$abc$24763$n2441": {
- "hide_name": 1,
- "bits": [ 1316 ],
- "attributes": {
- }
- },
- "$abc$24763$n2442": {
- "hide_name": 1,
- "bits": [ 1317 ],
- "attributes": {
- }
- },
- "$abc$24763$n2442_1": {
- "hide_name": 1,
- "bits": [ 345 ],
- "attributes": {
- }
- },
- "$abc$24763$n2443": {
- "hide_name": 1,
- "bits": [ 1318 ],
- "attributes": {
- }
- },
- "$abc$24763$n2443_1": {
- "hide_name": 1,
- "bits": [ 344 ],
- "attributes": {
- }
- },
- "$abc$24763$n2444": {
- "hide_name": 1,
- "bits": [ 1319 ],
- "attributes": {
- }
- },
- "$abc$24763$n2445_1": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$24763$n2447_1": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$24763$n2448": {
- "hide_name": 1,
- "bits": [ 351 ],
- "attributes": {
- }
- },
- "$abc$24763$n2450_1": {
- "hide_name": 1,
- "bits": [ 361 ],
- "attributes": {
- }
- },
- "$abc$24763$n2455_1": {
- "hide_name": 1,
- "bits": [ 362 ],
- "attributes": {
- }
- },
- "$abc$24763$n2458_1": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$24763$n2461_1": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$24763$n2469_1": {
- "hide_name": 1,
- "bits": [ 398 ],
- "attributes": {
- }
- },
- "$abc$24763$n2470_1": {
- "hide_name": 1,
- "bits": [ 404 ],
- "attributes": {
- }
- },
- "$abc$24763$n2473_1": {
- "hide_name": 1,
- "bits": [ 410 ],
- "attributes": {
- }
- },
- "$abc$24763$n2475_1": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$24763$n2476_1": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$24763$n2477_1": {
- "hide_name": 1,
- "bits": [ 405 ],
- "attributes": {
- }
- },
- "$abc$24763$n2480_1": {
- "hide_name": 1,
- "bits": [ 419 ],
- "attributes": {
- }
- },
- "$abc$24763$n2483_1": {
- "hide_name": 1,
- "bits": [ 422 ],
- "attributes": {
- }
- },
- "$abc$24763$n2487_1": {
- "hide_name": 1,
- "bits": [ 425 ],
- "attributes": {
- }
- },
- "$abc$24763$n2488_1": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$24763$n249": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$24763$n2491_1": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$24763$n2494_1": {
- "hide_name": 1,
- "bits": [ 434 ],
- "attributes": {
- }
- },
- "$abc$24763$n2495_1": {
- "hide_name": 1,
- "bits": [ 400 ],
- "attributes": {
- }
- },
- "$abc$24763$n2498_1": {
- "hide_name": 1,
- "bits": [ 441 ],
- "attributes": {
- }
- },
- "$abc$24763$n2501_1": {
- "hide_name": 1,
- "bits": [ 445 ],
- "attributes": {
- }
- },
- "$abc$24763$n2505_1": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$24763$n251": {
- "hide_name": 1,
- "bits": [ 217 ],
- "attributes": {
- }
- },
- "$abc$24763$n2510_1": {
- "hide_name": 1,
- "bits": [ 458 ],
- "attributes": {
- }
- },
- "$abc$24763$n2514_1": {
- "hide_name": 1,
- "bits": [ 463 ],
- "attributes": {
- }
- },
- "$abc$24763$n2515_1": {
- "hide_name": 1,
- "bits": [ 468 ],
- "attributes": {
- }
- },
- "$abc$24763$n2517_1": {
- "hide_name": 1,
- "bits": [ 474 ],
- "attributes": {
- }
- },
- "$abc$24763$n2518_1": {
- "hide_name": 1,
- "bits": [ 478 ],
- "attributes": {
- }
- },
- "$abc$24763$n2519": {
- "hide_name": 1,
- "bits": [ 1181 ],
- "attributes": {
- }
- },
- "$abc$24763$n2519_1": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$24763$n252": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$24763$n2520": {
- "hide_name": 1,
- "bits": [ 1180 ],
- "attributes": {
- }
- },
- "$abc$24763$n2520_1": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$24763$n2521": {
- "hide_name": 1,
- "bits": [ 1182 ],
- "attributes": {
- }
- },
- "$abc$24763$n2521_1": {
- "hide_name": 1,
- "bits": [ 475 ],
- "attributes": {
- }
- },
- "$abc$24763$n2522": {
- "hide_name": 1,
- "bits": [ 1187 ],
- "attributes": {
- }
- },
- "$abc$24763$n2522_1": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$24763$n2523": {
- "hide_name": 1,
- "bits": [ 1188 ],
- "attributes": {
- }
- },
- "$abc$24763$n2523_1": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$24763$n2524": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$24763$n2524_1": {
- "hide_name": 1,
- "bits": [ 482 ],
- "attributes": {
- }
- },
- "$abc$24763$n2525": {
- "hide_name": 1,
- "bits": [ 1194 ],
- "attributes": {
- }
- },
- "$abc$24763$n2525_1": {
- "hide_name": 1,
- "bits": [ 473 ],
- "attributes": {
- }
- },
- "$abc$24763$n2526": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$24763$n2526_1": {
- "hide_name": 1,
- "bits": [ 470 ],
- "attributes": {
- }
- },
- "$abc$24763$n2527": {
- "hide_name": 1,
- "bits": [ 1200 ],
- "attributes": {
- }
- },
- "$abc$24763$n2528": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$24763$n2528_1": {
- "hide_name": 1,
- "bits": [ 487 ],
- "attributes": {
- }
- },
- "$abc$24763$n2529": {
- "hide_name": 1,
- "bits": [ 1206 ],
- "attributes": {
- }
- },
- "$abc$24763$n2530": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$24763$n2531": {
- "hide_name": 1,
- "bits": [ 1212 ],
- "attributes": {
- }
- },
- "$abc$24763$n2531_1": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$24763$n2532": {
- "hide_name": 1,
- "bits": [ 1217 ],
- "attributes": {
- }
- },
- "$abc$24763$n2532_1": {
- "hide_name": 1,
- "bits": [ 490 ],
- "attributes": {
- }
- },
- "$abc$24763$n2533": {
- "hide_name": 1,
- "bits": [ 1218 ],
- "attributes": {
- }
- },
- "$abc$24763$n2533_1": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$24763$n2534": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$24763$n2535": {
- "hide_name": 1,
- "bits": [ 1224 ],
- "attributes": {
- }
- },
- "$abc$24763$n2535_1": {
- "hide_name": 1,
- "bits": [ 493 ],
- "attributes": {
- }
- },
- "$abc$24763$n2538_1": {
- "hide_name": 1,
- "bits": [ 492 ],
- "attributes": {
- }
- },
- "$abc$24763$n2539": {
- "hide_name": 1,
- "bits": [ 1393 ],
- "attributes": {
- }
- },
- "$abc$24763$n2539_1": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$24763$n2540": {
- "hide_name": 1,
- "bits": [ 1394 ],
- "attributes": {
- }
- },
- "$abc$24763$n2541": {
- "hide_name": 1,
- "bits": [ 1395 ],
- "attributes": {
- }
- },
- "$abc$24763$n2541_1": {
- "hide_name": 1,
- "bits": [ 500 ],
- "attributes": {
- }
- },
- "$abc$24763$n2542": {
- "hide_name": 1,
- "bits": [ 1396 ],
- "attributes": {
- }
- },
- "$abc$24763$n2542_1": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$24763$n2543": {
- "hide_name": 1,
- "bits": [ 1397 ],
- "attributes": {
- }
- },
- "$abc$24763$n2544": {
- "hide_name": 1,
- "bits": [ 1398 ],
- "attributes": {
- }
- },
- "$abc$24763$n2544_1": {
- "hide_name": 1,
- "bits": [ 505 ],
- "attributes": {
- }
- },
- "$abc$24763$n2545": {
- "hide_name": 1,
- "bits": [ 1399 ],
- "attributes": {
- }
- },
- "$abc$24763$n2545_1": {
- "hide_name": 1,
- "bits": [ 504 ],
- "attributes": {
- }
- },
- "$abc$24763$n2546": {
- "hide_name": 1,
- "bits": [ 1400 ],
- "attributes": {
- }
- },
- "$abc$24763$n2546_1": {
- "hide_name": 1,
- "bits": [ 466 ],
- "attributes": {
- }
- },
- "$abc$24763$n2547": {
- "hide_name": 1,
- "bits": [ 1401 ],
- "attributes": {
- }
- },
- "$abc$24763$n2548": {
- "hide_name": 1,
- "bits": [ 1402 ],
- "attributes": {
- }
- },
- "$abc$24763$n2548_1": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$24763$n2549": {
- "hide_name": 1,
- "bits": [ 1403 ],
- "attributes": {
- }
- },
- "$abc$24763$n2549_1": {
- "hide_name": 1,
- "bits": [ 511 ],
- "attributes": {
- }
- },
- "$abc$24763$n2550": {
- "hide_name": 1,
- "bits": [ 1404 ],
- "attributes": {
- }
- },
- "$abc$24763$n2550_1": {
- "hide_name": 1,
- "bits": [ 513 ],
- "attributes": {
- }
- },
- "$abc$24763$n2551": {
- "hide_name": 1,
- "bits": [ 1405 ],
- "attributes": {
- }
- },
- "$abc$24763$n2551_1": {
- "hide_name": 1,
- "bits": [ 515 ],
- "attributes": {
- }
- },
- "$abc$24763$n2552": {
- "hide_name": 1,
- "bits": [ 1406 ],
- "attributes": {
- }
- },
- "$abc$24763$n2552_1": {
- "hide_name": 1,
- "bits": [ 512 ],
- "attributes": {
- }
- },
- "$abc$24763$n2553": {
- "hide_name": 1,
- "bits": [ 1407 ],
- "attributes": {
- }
- },
- "$abc$24763$n2553_1": {
- "hide_name": 1,
- "bits": [ 514 ],
- "attributes": {
- }
- },
- "$abc$24763$n2554": {
- "hide_name": 1,
- "bits": [ 1408 ],
- "attributes": {
- }
- },
- "$abc$24763$n2554_1": {
- "hide_name": 1,
- "bits": [ 467 ],
- "attributes": {
- }
- },
- "$abc$24763$n2555": {
- "hide_name": 1,
- "bits": [ 1409 ],
- "attributes": {
- }
- },
- "$abc$24763$n2556": {
- "hide_name": 1,
- "bits": [ 1410 ],
- "attributes": {
- }
- },
- "$abc$24763$n2556_1": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$24763$n2557": {
- "hide_name": 1,
- "bits": [ 1411 ],
- "attributes": {
- }
- },
- "$abc$24763$n2557_1": {
- "hide_name": 1,
- "bits": [ 518 ],
- "attributes": {
- }
- },
- "$abc$24763$n2558": {
- "hide_name": 1,
- "bits": [ 1412 ],
- "attributes": {
- }
- },
- "$abc$24763$n2558_1": {
- "hide_name": 1,
- "bits": [ 464 ],
- "attributes": {
- }
- },
- "$abc$24763$n2559": {
- "hide_name": 1,
- "bits": [ 1413 ],
- "attributes": {
- }
- },
- "$abc$24763$n2559_1": {
- "hide_name": 1,
- "bits": [ 524 ],
- "attributes": {
- }
- },
- "$abc$24763$n2560": {
- "hide_name": 1,
- "bits": [ 1414 ],
- "attributes": {
- }
- },
- "$abc$24763$n2560_1": {
- "hide_name": 1,
- "bits": [ 525 ],
- "attributes": {
- }
- },
- "$abc$24763$n2561": {
- "hide_name": 1,
- "bits": [ 1415 ],
- "attributes": {
- }
- },
- "$abc$24763$n2561_1": {
- "hide_name": 1,
- "bits": [ 523 ],
- "attributes": {
- }
- },
- "$abc$24763$n2562": {
- "hide_name": 1,
- "bits": [ 1416 ],
- "attributes": {
- }
- },
- "$abc$24763$n2563": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$24763$n2564": {
- "hide_name": 1,
- "bits": [ 1418 ],
- "attributes": {
- }
- },
- "$abc$24763$n2565": {
- "hide_name": 1,
- "bits": [ 1419 ],
- "attributes": {
- }
- },
- "$abc$24763$n2566": {
- "hide_name": 1,
- "bits": [ 1420 ],
- "attributes": {
- }
- },
- "$abc$24763$n2567": {
- "hide_name": 1,
- "bits": [ 1421 ],
- "attributes": {
- }
- },
- "$abc$24763$n2567_1": {
- "hide_name": 1,
- "bits": [ 530 ],
- "attributes": {
- }
- },
- "$abc$24763$n2568": {
- "hide_name": 1,
- "bits": [ 1422 ],
- "attributes": {
- }
- },
- "$abc$24763$n2569": {
- "hide_name": 1,
- "bits": [ 1423 ],
- "attributes": {
- }
- },
- "$abc$24763$n257": {
- "hide_name": 1,
- "bits": [ 222 ],
- "attributes": {
- }
- },
- "$abc$24763$n2570": {
- "hide_name": 1,
- "bits": [ 1424 ],
- "attributes": {
- }
- },
- "$abc$24763$n2571": {
- "hide_name": 1,
- "bits": [ 1425 ],
- "attributes": {
- }
- },
- "$abc$24763$n2572": {
- "hide_name": 1,
- "bits": [ 1427 ],
- "attributes": {
- }
- },
- "$abc$24763$n2573": {
- "hide_name": 1,
- "bits": [ 788 ],
- "attributes": {
- }
- },
- "$abc$24763$n2574": {
- "hide_name": 1,
- "bits": [ 789 ],
- "attributes": {
- }
- },
- "$abc$24763$n2575": {
- "hide_name": 1,
- "bits": [ 1428 ],
- "attributes": {
- }
- },
- "$abc$24763$n2576": {
- "hide_name": 1,
- "bits": [ 1429 ],
- "attributes": {
- }
- },
- "$abc$24763$n2576_1": {
- "hide_name": 1,
- "bits": [ 544 ],
- "attributes": {
- }
- },
- "$abc$24763$n2577": {
- "hide_name": 1,
- "bits": [ 1430 ],
- "attributes": {
- }
- },
- "$abc$24763$n2578": {
- "hide_name": 1,
- "bits": [ 1431 ],
- "attributes": {
- }
- },
- "$abc$24763$n2578_1": {
- "hide_name": 1,
- "bits": [ 546 ],
- "attributes": {
- }
- },
- "$abc$24763$n2579": {
- "hide_name": 1,
- "bits": [ 1432 ],
- "attributes": {
- }
- },
- "$abc$24763$n2579_1": {
- "hide_name": 1,
- "bits": [ 549 ],
- "attributes": {
- }
- },
- "$abc$24763$n2580": {
- "hide_name": 1,
- "bits": [ 1433 ],
- "attributes": {
- }
- },
- "$abc$24763$n2581": {
- "hide_name": 1,
- "bits": [ 1434 ],
- "attributes": {
- }
- },
- "$abc$24763$n2583": {
- "hide_name": 1,
- "bits": [ 1435 ],
- "attributes": {
- }
- },
- "$abc$24763$n2584": {
- "hide_name": 1,
- "bits": [ 1438 ],
- "attributes": {
- }
- },
- "$abc$24763$n2586": {
- "hide_name": 1,
- "bits": [ 1442 ],
- "attributes": {
- }
- },
- "$abc$24763$n2587": {
- "hide_name": 1,
- "bits": [ 1443 ],
- "attributes": {
- }
- },
- "$abc$24763$n2589": {
- "hide_name": 1,
- "bits": [ 1477 ],
- "attributes": {
- }
- },
- "$abc$24763$n259": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$24763$n2590": {
- "hide_name": 1,
- "bits": [ 1479 ],
- "attributes": {
- }
- },
- "$abc$24763$n2592": {
- "hide_name": 1,
- "bits": [ 1505 ],
- "attributes": {
- }
- },
- "$abc$24763$n2593": {
- "hide_name": 1,
- "bits": [ 1507 ],
- "attributes": {
- }
- },
- "$abc$24763$n2595": {
- "hide_name": 1,
- "bits": [ 1509 ],
- "attributes": {
- }
- },
- "$abc$24763$n2596": {
- "hide_name": 1,
- "bits": [ 1511 ],
- "attributes": {
- }
- },
- "$abc$24763$n2598": {
- "hide_name": 1,
- "bits": [ 1513 ],
- "attributes": {
- }
- },
- "$abc$24763$n2599": {
- "hide_name": 1,
- "bits": [ 1515 ],
- "attributes": {
- }
- },
- "$abc$24763$n260": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$24763$n2601": {
- "hide_name": 1,
- "bits": [ 1517 ],
- "attributes": {
- }
- },
- "$abc$24763$n2602": {
- "hide_name": 1,
- "bits": [ 1519 ],
- "attributes": {
- }
- },
- "$abc$24763$n2604": {
- "hide_name": 1,
- "bits": [ 1522 ],
- "attributes": {
- }
- },
- "$abc$24763$n2605": {
- "hide_name": 1,
- "bits": [ 1524 ],
- "attributes": {
- }
- },
- "$abc$24763$n2607": {
- "hide_name": 1,
- "bits": [ 1527 ],
- "attributes": {
- }
- },
- "$abc$24763$n2608": {
- "hide_name": 1,
- "bits": [ 1529 ],
- "attributes": {
- }
- },
- "$abc$24763$n261": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$24763$n2610": {
- "hide_name": 1,
- "bits": [ 1532 ],
- "attributes": {
- }
- },
- "$abc$24763$n2611": {
- "hide_name": 1,
- "bits": [ 1534 ],
- "attributes": {
- }
- },
- "$abc$24763$n2613": {
- "hide_name": 1,
- "bits": [ 1537 ],
- "attributes": {
- }
- },
- "$abc$24763$n2614": {
- "hide_name": 1,
- "bits": [ 1539 ],
- "attributes": {
- }
- },
- "$abc$24763$n2616": {
- "hide_name": 1,
- "bits": [ 1542 ],
- "attributes": {
- }
- },
- "$abc$24763$n2617": {
- "hide_name": 1,
- "bits": [ 1544 ],
- "attributes": {
- }
- },
- "$abc$24763$n2619": {
- "hide_name": 1,
- "bits": [ 1547 ],
- "attributes": {
- }
- },
- "$abc$24763$n2620": {
- "hide_name": 1,
- "bits": [ 1549 ],
- "attributes": {
- }
- },
- "$abc$24763$n2622": {
- "hide_name": 1,
- "bits": [ 1552 ],
- "attributes": {
- }
- },
- "$abc$24763$n2623": {
- "hide_name": 1,
- "bits": [ 1554 ],
- "attributes": {
- }
- },
- "$abc$24763$n2625": {
- "hide_name": 1,
- "bits": [ 1557 ],
- "attributes": {
- }
- },
- "$abc$24763$n2626": {
- "hide_name": 1,
- "bits": [ 1559 ],
- "attributes": {
- }
- },
- "$abc$24763$n2628": {
- "hide_name": 1,
- "bits": [ 1562 ],
- "attributes": {
- }
- },
- "$abc$24763$n2629": {
- "hide_name": 1,
- "bits": [ 1564 ],
- "attributes": {
- }
- },
- "$abc$24763$n263": {
- "hide_name": 1,
- "bits": [ 228 ],
- "attributes": {
- }
- },
- "$abc$24763$n2631": {
- "hide_name": 1,
- "bits": [ 1567 ],
- "attributes": {
- }
- },
- "$abc$24763$n2632": {
- "hide_name": 1,
- "bits": [ 1569 ],
- "attributes": {
- }
- },
- "$abc$24763$n2634": {
- "hide_name": 1,
- "bits": [ 1571 ],
- "attributes": {
- }
- },
- "$abc$24763$n2635": {
- "hide_name": 1,
- "bits": [ 1573 ],
- "attributes": {
- }
- },
- "$abc$24763$n2637": {
- "hide_name": 1,
- "bits": [ 1576 ],
- "attributes": {
- }
- },
- "$abc$24763$n2638": {
- "hide_name": 1,
- "bits": [ 1578 ],
- "attributes": {
- }
- },
- "$abc$24763$n2640": {
- "hide_name": 1,
- "bits": [ 1581 ],
- "attributes": {
- }
- },
- "$abc$24763$n2641": {
- "hide_name": 1,
- "bits": [ 1583 ],
- "attributes": {
- }
- },
- "$abc$24763$n2643": {
- "hide_name": 1,
- "bits": [ 1586 ],
- "attributes": {
- }
- },
- "$abc$24763$n2644": {
- "hide_name": 1,
- "bits": [ 1588 ],
- "attributes": {
- }
- },
- "$abc$24763$n2646": {
- "hide_name": 1,
- "bits": [ 1591 ],
- "attributes": {
- }
- },
- "$abc$24763$n2647": {
- "hide_name": 1,
- "bits": [ 1593 ],
- "attributes": {
- }
- },
- "$abc$24763$n2649": {
- "hide_name": 1,
- "bits": [ 1596 ],
- "attributes": {
- }
- },
- "$abc$24763$n265": {
- "hide_name": 1,
- "bits": [ 246 ],
- "attributes": {
- }
- },
- "$abc$24763$n2650": {
- "hide_name": 1,
- "bits": [ 1598 ],
- "attributes": {
- }
- },
- "$abc$24763$n2652": {
- "hide_name": 1,
- "bits": [ 1601 ],
- "attributes": {
- }
- },
- "$abc$24763$n2653": {
- "hide_name": 1,
- "bits": [ 1603 ],
- "attributes": {
- }
- },
- "$abc$24763$n2655": {
- "hide_name": 1,
- "bits": [ 1606 ],
- "attributes": {
- }
- },
- "$abc$24763$n2656": {
- "hide_name": 1,
- "bits": [ 1608 ],
- "attributes": {
- }
- },
- "$abc$24763$n2658": {
- "hide_name": 1,
- "bits": [ 1611 ],
- "attributes": {
- }
- },
- "$abc$24763$n2659": {
- "hide_name": 1,
- "bits": [ 1613 ],
- "attributes": {
- }
- },
- "$abc$24763$n2661": {
- "hide_name": 1,
- "bits": [ 1616 ],
- "attributes": {
- }
- },
- "$abc$24763$n2662": {
- "hide_name": 1,
- "bits": [ 1618 ],
- "attributes": {
- }
- },
- "$abc$24763$n2664": {
- "hide_name": 1,
- "bits": [ 1621 ],
- "attributes": {
- }
- },
- "$abc$24763$n2665": {
- "hide_name": 1,
- "bits": [ 1623 ],
- "attributes": {
- }
- },
- "$abc$24763$n2667": {
- "hide_name": 1,
- "bits": [ 1626 ],
- "attributes": {
- }
- },
- "$abc$24763$n2667_1": {
- "hide_name": 1,
- "bits": [ 763 ],
- "attributes": {
- }
- },
- "$abc$24763$n2668": {
- "hide_name": 1,
- "bits": [ 1628 ],
- "attributes": {
- }
- },
- "$abc$24763$n2668_1": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$24763$n2670": {
- "hide_name": 1,
- "bits": [ 1631 ],
- "attributes": {
- }
- },
- "$abc$24763$n2671": {
- "hide_name": 1,
- "bits": [ 1633 ],
- "attributes": {
- }
- },
- "$abc$24763$n2672": {
- "hide_name": 1,
- "bits": [ 772 ],
- "attributes": {
- }
- },
- "$abc$24763$n2673": {
- "hide_name": 1,
- "bits": [ 1636 ],
- "attributes": {
- }
- },
- "$abc$24763$n2674": {
- "hide_name": 1,
- "bits": [ 1638 ],
- "attributes": {
- }
- },
- "$abc$24763$n2676": {
- "hide_name": 1,
- "bits": [ 1641 ],
- "attributes": {
- }
- },
- "$abc$24763$n2677": {
- "hide_name": 1,
- "bits": [ 1643 ],
- "attributes": {
- }
- },
- "$abc$24763$n2677_1": {
- "hide_name": 1,
- "bits": [ 778 ],
- "attributes": {
- }
- },
- "$abc$24763$n2681": {
- "hide_name": 1,
- "bits": [ 781 ],
- "attributes": {
- }
- },
- "$abc$24763$n2685_1": {
- "hide_name": 1,
- "bits": [ 784 ],
- "attributes": {
- }
- },
- "$abc$24763$n2689_1": {
- "hide_name": 1,
- "bits": [ 787 ],
- "attributes": {
- }
- },
- "$abc$24763$n2693_1": {
- "hide_name": 1,
- "bits": [ 790 ],
- "attributes": {
- }
- },
- "$abc$24763$n2697_1": {
- "hide_name": 1,
- "bits": [ 793 ],
- "attributes": {
- }
- },
- "$abc$24763$n2701_1": {
- "hide_name": 1,
- "bits": [ 796 ],
- "attributes": {
- }
- },
- "$abc$24763$n2705": {
- "hide_name": 1,
- "bits": [ 799 ],
- "attributes": {
- }
- },
- "$abc$24763$n2709": {
- "hide_name": 1,
- "bits": [ 802 ],
- "attributes": {
- }
- },
- "$abc$24763$n2713": {
- "hide_name": 1,
- "bits": [ 805 ],
- "attributes": {
- }
- },
- "$abc$24763$n2717": {
- "hide_name": 1,
- "bits": [ 808 ],
- "attributes": {
- }
- },
- "$abc$24763$n2721": {
- "hide_name": 1,
- "bits": [ 1647 ],
- "attributes": {
- }
- },
- "$abc$24763$n2721_1": {
- "hide_name": 1,
- "bits": [ 811 ],
- "attributes": {
- }
- },
- "$abc$24763$n2722": {
- "hide_name": 1,
- "bits": [ 1649 ],
- "attributes": {
- }
- },
- "$abc$24763$n2724": {
- "hide_name": 1,
- "bits": [ 3681 ],
- "attributes": {
- }
- },
- "$abc$24763$n2725": {
- "hide_name": 1,
- "bits": [ 3682 ],
- "attributes": {
- }
- },
- "$abc$24763$n2725_1": {
- "hide_name": 1,
- "bits": [ 814 ],
- "attributes": {
- }
- },
- "$abc$24763$n2727": {
- "hide_name": 1,
- "bits": [ 3685 ],
- "attributes": {
- }
- },
- "$abc$24763$n2728": {
- "hide_name": 1,
- "bits": [ 3686 ],
- "attributes": {
- }
- },
- "$abc$24763$n2729": {
- "hide_name": 1,
- "bits": [ 817 ],
- "attributes": {
- }
- },
- "$abc$24763$n2730": {
- "hide_name": 1,
- "bits": [ 1709 ],
- "attributes": {
- }
- },
- "$abc$24763$n2731": {
- "hide_name": 1,
- "bits": [ 1710 ],
- "attributes": {
- }
- },
- "$abc$24763$n2733": {
- "hide_name": 1,
- "bits": [ 1714 ],
- "attributes": {
- }
- },
- "$abc$24763$n2734": {
- "hide_name": 1,
- "bits": [ 1715 ],
- "attributes": {
- }
- },
- "$abc$24763$n2736": {
- "hide_name": 1,
- "bits": [ 1719 ],
- "attributes": {
- }
- },
- "$abc$24763$n2737": {
- "hide_name": 1,
- "bits": [ 1720 ],
- "attributes": {
- }
- },
- "$abc$24763$n2739": {
- "hide_name": 1,
- "bits": [ 1724 ],
- "attributes": {
- }
- },
- "$abc$24763$n2740": {
- "hide_name": 1,
- "bits": [ 1725 ],
- "attributes": {
- }
- },
- "$abc$24763$n2742": {
- "hide_name": 1,
- "bits": [ 1729 ],
- "attributes": {
- }
- },
- "$abc$24763$n2743": {
- "hide_name": 1,
- "bits": [ 1730 ],
- "attributes": {
- }
- },
- "$abc$24763$n2745": {
- "hide_name": 1,
- "bits": [ 1734 ],
- "attributes": {
- }
- },
- "$abc$24763$n2746": {
- "hide_name": 1,
- "bits": [ 1735 ],
- "attributes": {
- }
- },
- "$abc$24763$n2748": {
- "hide_name": 1,
- "bits": [ 1739 ],
- "attributes": {
- }
- },
- "$abc$24763$n2749": {
- "hide_name": 1,
- "bits": [ 1740 ],
- "attributes": {
- }
- },
- "$abc$24763$n2751": {
- "hide_name": 1,
- "bits": [ 1744 ],
- "attributes": {
- }
- },
- "$abc$24763$n2752": {
- "hide_name": 1,
- "bits": [ 1745 ],
- "attributes": {
- }
- },
- "$abc$24763$n2754": {
- "hide_name": 1,
- "bits": [ 1749 ],
- "attributes": {
- }
- },
- "$abc$24763$n2755": {
- "hide_name": 1,
- "bits": [ 1750 ],
- "attributes": {
- }
- },
- "$abc$24763$n2757": {
- "hide_name": 1,
- "bits": [ 1754 ],
- "attributes": {
- }
- },
- "$abc$24763$n2758": {
- "hide_name": 1,
- "bits": [ 1755 ],
- "attributes": {
- }
- },
- "$abc$24763$n276": {
- "hide_name": 1,
- "bits": [ 254 ],
- "attributes": {
- }
- },
- "$abc$24763$n2760": {
- "hide_name": 1,
- "bits": [ 1759 ],
- "attributes": {
- }
- },
- "$abc$24763$n2761": {
- "hide_name": 1,
- "bits": [ 1760 ],
- "attributes": {
- }
- },
- "$abc$24763$n2763": {
- "hide_name": 1,
- "bits": [ 1764 ],
- "attributes": {
- }
- },
- "$abc$24763$n2764": {
- "hide_name": 1,
- "bits": [ 1765 ],
- "attributes": {
- }
- },
- "$abc$24763$n2766": {
- "hide_name": 1,
- "bits": [ 1769 ],
- "attributes": {
- }
- },
- "$abc$24763$n2767": {
- "hide_name": 1,
- "bits": [ 1770 ],
- "attributes": {
- }
- },
- "$abc$24763$n2769": {
- "hide_name": 1,
- "bits": [ 1774 ],
- "attributes": {
- }
- },
- "$abc$24763$n2770": {
- "hide_name": 1,
- "bits": [ 1775 ],
- "attributes": {
- }
- },
- "$abc$24763$n2772": {
- "hide_name": 1,
- "bits": [ 1779 ],
- "attributes": {
- }
- },
- "$abc$24763$n2773": {
- "hide_name": 1,
- "bits": [ 1780 ],
- "attributes": {
- }
- },
- "$abc$24763$n2775": {
- "hide_name": 1,
- "bits": [ 1784 ],
- "attributes": {
- }
- },
- "$abc$24763$n2776": {
- "hide_name": 1,
- "bits": [ 1785 ],
- "attributes": {
- }
- },
- "$abc$24763$n2778": {
- "hide_name": 1,
- "bits": [ 1789 ],
- "attributes": {
- }
- },
- "$abc$24763$n2779": {
- "hide_name": 1,
- "bits": [ 1790 ],
- "attributes": {
- }
- },
- "$abc$24763$n2781": {
- "hide_name": 1,
- "bits": [ 1794 ],
- "attributes": {
- }
- },
- "$abc$24763$n2782": {
- "hide_name": 1,
- "bits": [ 1795 ],
- "attributes": {
- }
- },
- "$abc$24763$n2784": {
- "hide_name": 1,
- "bits": [ 1799 ],
- "attributes": {
- }
- },
- "$abc$24763$n2785": {
- "hide_name": 1,
- "bits": [ 1800 ],
- "attributes": {
- }
- },
- "$abc$24763$n2787": {
- "hide_name": 1,
- "bits": [ 1804 ],
- "attributes": {
- }
- },
- "$abc$24763$n2788": {
- "hide_name": 1,
- "bits": [ 1805 ],
- "attributes": {
- }
- },
- "$abc$24763$n279": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$24763$n2790": {
- "hide_name": 1,
- "bits": [ 1809 ],
- "attributes": {
- }
- },
- "$abc$24763$n2791": {
- "hide_name": 1,
- "bits": [ 1810 ],
- "attributes": {
- }
- },
- "$abc$24763$n2793": {
- "hide_name": 1,
- "bits": [ 1814 ],
- "attributes": {
- }
- },
- "$abc$24763$n2794": {
- "hide_name": 1,
- "bits": [ 1815 ],
- "attributes": {
- }
- },
- "$abc$24763$n2796": {
- "hide_name": 1,
- "bits": [ 1819 ],
- "attributes": {
- }
- },
- "$abc$24763$n2797": {
- "hide_name": 1,
- "bits": [ 1820 ],
- "attributes": {
- }
- },
- "$abc$24763$n2799": {
- "hide_name": 1,
- "bits": [ 1824 ],
- "attributes": {
- }
- },
- "$abc$24763$n280": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$24763$n2800": {
- "hide_name": 1,
- "bits": [ 1825 ],
- "attributes": {
- }
- },
- "$abc$24763$n2801": {
- "hide_name": 1,
- "bits": [ 975 ],
- "attributes": {
- }
- },
- "$abc$24763$n2802": {
- "hide_name": 1,
- "bits": [ 1829 ],
- "attributes": {
- }
- },
- "$abc$24763$n2802_1": {
- "hide_name": 1,
- "bits": [ 978 ],
- "attributes": {
- }
- },
- "$abc$24763$n2803": {
- "hide_name": 1,
- "bits": [ 1830 ],
- "attributes": {
- }
- },
- "$abc$24763$n2803_1": {
- "hide_name": 1,
- "bits": [ 980 ],
- "attributes": {
- }
- },
- "$abc$24763$n2805": {
- "hide_name": 1,
- "bits": [ 1834 ],
- "attributes": {
- }
- },
- "$abc$24763$n2805_1": {
- "hide_name": 1,
- "bits": [ 984 ],
- "attributes": {
- }
- },
- "$abc$24763$n2806": {
- "hide_name": 1,
- "bits": [ 1835 ],
- "attributes": {
- }
- },
- "$abc$24763$n2806_1": {
- "hide_name": 1,
- "bits": [ 987 ],
- "attributes": {
- }
- },
- "$abc$24763$n2807": {
- "hide_name": 1,
- "bits": [ 989 ],
- "attributes": {
- }
- },
- "$abc$24763$n2808": {
- "hide_name": 1,
- "bits": [ 1839 ],
- "attributes": {
- }
- },
- "$abc$24763$n2809": {
- "hide_name": 1,
- "bits": [ 1840 ],
- "attributes": {
- }
- },
- "$abc$24763$n2809_1": {
- "hide_name": 1,
- "bits": [ 993 ],
- "attributes": {
- }
- },
- "$abc$24763$n2810": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$24763$n2811": {
- "hide_name": 1,
- "bits": [ 1844 ],
- "attributes": {
- }
- },
- "$abc$24763$n2811_1": {
- "hide_name": 1,
- "bits": [ 998 ],
- "attributes": {
- }
- },
- "$abc$24763$n2812": {
- "hide_name": 1,
- "bits": [ 1845 ],
- "attributes": {
- }
- },
- "$abc$24763$n2813": {
- "hide_name": 1,
- "bits": [ 1002 ],
- "attributes": {
- }
- },
- "$abc$24763$n2814": {
- "hide_name": 1,
- "bits": [ 1849 ],
- "attributes": {
- }
- },
- "$abc$24763$n2814_1": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$24763$n2815": {
- "hide_name": 1,
- "bits": [ 1850 ],
- "attributes": {
- }
- },
- "$abc$24763$n2815_1": {
- "hide_name": 1,
- "bits": [ 1007 ],
- "attributes": {
- }
- },
- "$abc$24763$n2817_1": {
- "hide_name": 1,
- "bits": [ 1011 ],
- "attributes": {
- }
- },
- "$abc$24763$n2818": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$24763$n2819_1": {
- "hide_name": 1,
- "bits": [ 1016 ],
- "attributes": {
- }
- },
- "$abc$24763$n2821_1": {
- "hide_name": 1,
- "bits": [ 1020 ],
- "attributes": {
- }
- },
- "$abc$24763$n2822": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$24763$n2823_1": {
- "hide_name": 1,
- "bits": [ 1025 ],
- "attributes": {
- }
- },
- "$abc$24763$n2825_1": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$24763$n2826": {
- "hide_name": 1,
- "bits": [ 1032 ],
- "attributes": {
- }
- },
- "$abc$24763$n2827_1": {
- "hide_name": 1,
- "bits": [ 1034 ],
- "attributes": {
- }
- },
- "$abc$24763$n2829_1": {
- "hide_name": 1,
- "bits": [ 1038 ],
- "attributes": {
- }
- },
- "$abc$24763$n2830": {
- "hide_name": 1,
- "bits": [ 1041 ],
- "attributes": {
- }
- },
- "$abc$24763$n2831_1": {
- "hide_name": 1,
- "bits": [ 1043 ],
- "attributes": {
- }
- },
- "$abc$24763$n2833_1": {
- "hide_name": 1,
- "bits": [ 1047 ],
- "attributes": {
- }
- },
- "$abc$24763$n2834": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$24763$n2835_1": {
- "hide_name": 1,
- "bits": [ 1052 ],
- "attributes": {
- }
- },
- "$abc$24763$n2837_1": {
- "hide_name": 1,
- "bits": [ 1056 ],
- "attributes": {
- }
- },
- "$abc$24763$n2838": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$24763$n2839_1": {
- "hide_name": 1,
- "bits": [ 1061 ],
- "attributes": {
- }
- },
- "$abc$24763$n284": {
- "hide_name": 1,
- "bits": [ 256 ],
- "attributes": {
- }
- },
- "$abc$24763$n2841_1": {
- "hide_name": 1,
- "bits": [ 1065 ],
- "attributes": {
- }
- },
- "$abc$24763$n2842": {
- "hide_name": 1,
- "bits": [ 1068 ],
- "attributes": {
- }
- },
- "$abc$24763$n2843_1": {
- "hide_name": 1,
- "bits": [ 1070 ],
- "attributes": {
- }
- },
- "$abc$24763$n2845_1": {
- "hide_name": 1,
- "bits": [ 1074 ],
- "attributes": {
- }
- },
- "$abc$24763$n2846": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$24763$n2847_1": {
- "hide_name": 1,
- "bits": [ 1079 ],
- "attributes": {
- }
- },
- "$abc$24763$n2849_1": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$24763$n2850": {
- "hide_name": 1,
- "bits": [ 1086 ],
- "attributes": {
- }
- },
- "$abc$24763$n2851_1": {
- "hide_name": 1,
- "bits": [ 1088 ],
- "attributes": {
- }
- },
- "$abc$24763$n2853_1": {
- "hide_name": 1,
- "bits": [ 1092 ],
- "attributes": {
- }
- },
- "$abc$24763$n2854": {
- "hide_name": 1,
- "bits": [ 1095 ],
- "attributes": {
- }
- },
- "$abc$24763$n2855_1": {
- "hide_name": 1,
- "bits": [ 1097 ],
- "attributes": {
- }
- },
- "$abc$24763$n2857_1": {
- "hide_name": 1,
- "bits": [ 1100 ],
- "attributes": {
- }
- },
- "$abc$24763$n2858": {
- "hide_name": 1,
- "bits": [ 1103 ],
- "attributes": {
- }
- },
- "$abc$24763$n2860": {
- "hide_name": 1,
- "bits": [ 1104 ],
- "attributes": {
- }
- },
- "$abc$24763$n2862": {
- "hide_name": 1,
- "bits": [ 1107 ],
- "attributes": {
- }
- },
- "$abc$24763$n2864": {
- "hide_name": 1,
- "bits": [ 1110 ],
- "attributes": {
- }
- },
- "$abc$24763$n2866": {
- "hide_name": 1,
- "bits": [ 1113 ],
- "attributes": {
- }
- },
- "$abc$24763$n2868": {
- "hide_name": 1,
- "bits": [ 1116 ],
- "attributes": {
- }
- },
- "$abc$24763$n2870": {
- "hide_name": 1,
- "bits": [ 1119 ],
- "attributes": {
- }
- },
- "$abc$24763$n2872": {
- "hide_name": 1,
- "bits": [ 1122 ],
- "attributes": {
- }
- },
- "$abc$24763$n2881": {
- "hide_name": 1,
- "bits": [ 1139 ],
- "attributes": {
- }
- },
- "$abc$24763$n2883": {
- "hide_name": 1,
- "bits": [ 1857 ],
- "attributes": {
- }
- },
- "$abc$24763$n2885": {
- "hide_name": 1,
- "bits": [ 3691 ],
- "attributes": {
- }
- },
- "$abc$24763$n2887": {
- "hide_name": 1,
- "bits": [ 2078 ],
- "attributes": {
- }
- },
- "$abc$24763$n2887_1": {
- "hide_name": 1,
- "bits": [ 1142 ],
- "attributes": {
- }
- },
- "$abc$24763$n2889": {
- "hide_name": 1,
- "bits": [ 2084 ],
- "attributes": {
- }
- },
- "$abc$24763$n2891": {
- "hide_name": 1,
- "bits": [ 2091 ],
- "attributes": {
- }
- },
- "$abc$24763$n2891_1": {
- "hide_name": 1,
- "bits": [ 1146 ],
- "attributes": {
- }
- },
- "$abc$24763$n2892": {
- "hide_name": 1,
- "bits": [ 1149 ],
- "attributes": {
- }
- },
- "$abc$24763$n2893": {
- "hide_name": 1,
- "bits": [ 3693 ],
- "attributes": {
- }
- },
- "$abc$24763$n2894": {
- "hide_name": 1,
- "bits": [ 1150 ],
- "attributes": {
- }
- },
- "$abc$24763$n2895": {
- "hide_name": 1,
- "bits": [ 3695 ],
- "attributes": {
- }
- },
- "$abc$24763$n2896_1": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$24763$n2898": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$24763$n290": {
- "hide_name": 1,
- "bits": [ 3584 ],
- "attributes": {
- }
- },
- "$abc$24763$n2900": {
- "hide_name": 1,
- "bits": [ 2101 ],
- "attributes": {
- }
- },
- "$abc$24763$n2900_1": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$24763$n2902": {
- "hide_name": 1,
- "bits": [ 3704 ],
- "attributes": {
- }
- },
- "$abc$24763$n2902_1": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$24763$n2904": {
- "hide_name": 1,
- "bits": [ 2296 ],
- "attributes": {
- }
- },
- "$abc$24763$n2904_1": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$24763$n2906": {
- "hide_name": 1,
- "bits": [ 2302 ],
- "attributes": {
- }
- },
- "$abc$24763$n2906_1": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$24763$n2908": {
- "hide_name": 1,
- "bits": [ 2307 ],
- "attributes": {
- }
- },
- "$abc$24763$n2910": {
- "hide_name": 1,
- "bits": [ 2311 ],
- "attributes": {
- }
- },
- "$abc$24763$n2912": {
- "hide_name": 1,
- "bits": [ 2316 ],
- "attributes": {
- }
- },
- "$abc$24763$n2926_1": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$24763$n2927_1": {
- "hide_name": 1,
- "bits": [ 1231 ],
- "attributes": {
- }
- },
- "$abc$24763$n2928_1": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$24763$n2929_1": {
- "hide_name": 1,
- "bits": [ 1238 ],
- "attributes": {
- }
- },
- "$abc$24763$n2930_1": {
- "hide_name": 1,
- "bits": [ 1239 ],
- "attributes": {
- }
- },
- "$abc$24763$n2931_1": {
- "hide_name": 1,
- "bits": [ 1237 ],
- "attributes": {
- }
- },
- "$abc$24763$n2932_1": {
- "hide_name": 1,
- "bits": [ 1236 ],
- "attributes": {
- }
- },
- "$abc$24763$n2933": {
- "hide_name": 1,
- "bits": [ 1232 ],
- "attributes": {
- }
- },
- "$abc$24763$n2934": {
- "hide_name": 1,
- "bits": [ 2319 ],
- "attributes": {
- }
- },
- "$abc$24763$n2934_1": {
- "hide_name": 1,
- "bits": [ 1233 ],
- "attributes": {
- }
- },
- "$abc$24763$n2935": {
- "hide_name": 1,
- "bits": [ 1229 ],
- "attributes": {
- }
- },
- "$abc$24763$n2936": {
- "hide_name": 1,
- "bits": [ 2321 ],
- "attributes": {
- }
- },
- "$abc$24763$n2936_1": {
- "hide_name": 1,
- "bits": [ 1228 ],
- "attributes": {
- }
- },
- "$abc$24763$n2937_1": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$24763$n2938": {
- "hide_name": 1,
- "bits": [ 2323 ],
- "attributes": {
- }
- },
- "$abc$24763$n2939_1": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$24763$n2940": {
- "hide_name": 1,
- "bits": [ 2325 ],
- "attributes": {
- }
- },
- "$abc$24763$n2940_1": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$24763$n2941_1": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$24763$n2942": {
- "hide_name": 1,
- "bits": [ 2327 ],
- "attributes": {
- }
- },
- "$abc$24763$n2942_1": {
- "hide_name": 1,
- "bits": [ 1248 ],
- "attributes": {
- }
- },
- "$abc$24763$n2944": {
- "hide_name": 1,
- "bits": [ 2329 ],
- "attributes": {
- }
- },
- "$abc$24763$n2944_1": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$24763$n2945_1": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$24763$n2946": {
- "hide_name": 1,
- "bits": [ 2331 ],
- "attributes": {
- }
- },
- "$abc$24763$n2946_1": {
- "hide_name": 1,
- "bits": [ 1250 ],
- "attributes": {
- }
- },
- "$abc$24763$n2947_1": {
- "hide_name": 1,
- "bits": [ 1254 ],
- "attributes": {
- }
- },
- "$abc$24763$n2948": {
- "hide_name": 1,
- "bits": [ 2333 ],
- "attributes": {
- }
- },
- "$abc$24763$n2949_1": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$24763$n2950": {
- "hide_name": 1,
- "bits": [ 2335 ],
- "attributes": {
- }
- },
- "$abc$24763$n2950_1": {
- "hide_name": 1,
- "bits": [ 1259 ],
- "attributes": {
- }
- },
- "$abc$24763$n2951_1": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$24763$n2952": {
- "hide_name": 1,
- "bits": [ 2337 ],
- "attributes": {
- }
- },
- "$abc$24763$n2952_1": {
- "hide_name": 1,
- "bits": [ 1260 ],
- "attributes": {
- }
- },
- "$abc$24763$n2954": {
- "hide_name": 1,
- "bits": [ 2339 ],
- "attributes": {
- }
- },
- "$abc$24763$n2954_1": {
- "hide_name": 1,
- "bits": [ 1262 ],
- "attributes": {
- }
- },
- "$abc$24763$n2955_1": {
- "hide_name": 1,
- "bits": [ 1265 ],
- "attributes": {
- }
- },
- "$abc$24763$n2956": {
- "hide_name": 1,
- "bits": [ 2341 ],
- "attributes": {
- }
- },
- "$abc$24763$n2956_1": {
- "hide_name": 1,
- "bits": [ 1266 ],
- "attributes": {
- }
- },
- "$abc$24763$n2957_1": {
- "hide_name": 1,
- "bits": [ 1263 ],
- "attributes": {
- }
- },
- "$abc$24763$n2958": {
- "hide_name": 1,
- "bits": [ 2343 ],
- "attributes": {
- }
- },
- "$abc$24763$n2959_1": {
- "hide_name": 1,
- "bits": [ 1269 ],
- "attributes": {
- }
- },
- "$abc$24763$n2960": {
- "hide_name": 1,
- "bits": [ 2345 ],
- "attributes": {
- }
- },
- "$abc$24763$n2960_1": {
- "hide_name": 1,
- "bits": [ 1271 ],
- "attributes": {
- }
- },
- "$abc$24763$n2961_1": {
- "hide_name": 1,
- "bits": [ 1268 ],
- "attributes": {
- }
- },
- "$abc$24763$n2962": {
- "hide_name": 1,
- "bits": [ 2347 ],
- "attributes": {
- }
- },
- "$abc$24763$n2962_1": {
- "hide_name": 1,
- "bits": [ 1272 ],
- "attributes": {
- }
- },
- "$abc$24763$n2964": {
- "hide_name": 1,
- "bits": [ 2349 ],
- "attributes": {
- }
- },
- "$abc$24763$n2964_1": {
- "hide_name": 1,
- "bits": [ 1275 ],
- "attributes": {
- }
- },
- "$abc$24763$n2965_1": {
- "hide_name": 1,
- "bits": [ 1277 ],
- "attributes": {
- }
- },
- "$abc$24763$n2966": {
- "hide_name": 1,
- "bits": [ 2351 ],
- "attributes": {
- }
- },
- "$abc$24763$n2966_1": {
- "hide_name": 1,
- "bits": [ 1274 ],
- "attributes": {
- }
- },
- "$abc$24763$n2967_1": {
- "hide_name": 1,
- "bits": [ 1278 ],
- "attributes": {
- }
- },
- "$abc$24763$n2968": {
- "hide_name": 1,
- "bits": [ 2353 ],
- "attributes": {
- }
- },
- "$abc$24763$n2969_1": {
- "hide_name": 1,
- "bits": [ 1281 ],
- "attributes": {
- }
- },
- "$abc$24763$n2970": {
- "hide_name": 1,
- "bits": [ 2355 ],
- "attributes": {
- }
- },
- "$abc$24763$n2970_1": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$24763$n2971": {
- "hide_name": 1,
- "bits": [ 2356 ],
- "attributes": {
- }
- },
- "$abc$24763$n2971_1": {
- "hide_name": 1,
- "bits": [ 1280 ],
- "attributes": {
- }
- },
- "$abc$24763$n2972": {
- "hide_name": 1,
- "bits": [ 2357 ],
- "attributes": {
- }
- },
- "$abc$24763$n2972_1": {
- "hide_name": 1,
- "bits": [ 1284 ],
- "attributes": {
- }
- },
- "$abc$24763$n2973": {
- "hide_name": 1,
- "bits": [ 2358 ],
- "attributes": {
- }
- },
- "$abc$24763$n2974": {
- "hide_name": 1,
- "bits": [ 2359 ],
- "attributes": {
- }
- },
- "$abc$24763$n2975": {
- "hide_name": 1,
- "bits": [ 2360 ],
- "attributes": {
- }
- },
- "$abc$24763$n2975_1": {
- "hide_name": 1,
- "bits": [ 1289 ],
- "attributes": {
- }
- },
- "$abc$24763$n2976": {
- "hide_name": 1,
- "bits": [ 2361 ],
- "attributes": {
- }
- },
- "$abc$24763$n2976_1": {
- "hide_name": 1,
- "bits": [ 1292 ],
- "attributes": {
- }
- },
- "$abc$24763$n2977_1": {
- "hide_name": 1,
- "bits": [ 1290 ],
- "attributes": {
- }
- },
- "$abc$24763$n2994_1": {
- "hide_name": 1,
- "bits": [ 1321 ],
- "attributes": {
- }
- },
- "$abc$24763$n3": {
- "hide_name": 1,
- "bits": [ 3580 ],
- "attributes": {
- }
- },
- "$abc$24763$n3023_1": {
- "hide_name": 1,
- "bits": [ 1363 ],
- "attributes": {
- }
- },
- "$abc$24763$n3041": {
- "hide_name": 1,
- "bits": [ 770 ],
- "attributes": {
- }
- },
- "$abc$24763$n3042": {
- "hide_name": 1,
- "bits": [ 771 ],
- "attributes": {
- }
- },
- "$abc$24763$n3043": {
- "hide_name": 1,
- "bits": [ 767 ],
- "attributes": {
- }
- },
- "$abc$24763$n3044": {
- "hide_name": 1,
- "bits": [ 768 ],
- "attributes": {
- }
- },
- "$abc$24763$n307": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$24763$n3070": {
- "hide_name": 1,
- "bits": [ 1426 ],
- "attributes": {
- }
- },
- "$abc$24763$n3075_1": {
- "hide_name": 1,
- "bits": [ 1436 ],
- "attributes": {
- }
- },
- "$abc$24763$n3076": {
- "hide_name": 1,
- "bits": [ 1437 ],
- "attributes": {
- }
- },
- "$abc$24763$n3078": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$abc$24763$n3079_1": {
- "hide_name": 1,
- "bits": [ 1444 ],
- "attributes": {
- }
- },
- "$abc$24763$n3080": {
- "hide_name": 1,
- "bits": [ 1447 ],
- "attributes": {
- }
- },
- "$abc$24763$n3081_1": {
- "hide_name": 1,
- "bits": [ 1450 ],
- "attributes": {
- }
- },
- "$abc$24763$n3082": {
- "hide_name": 1,
- "bits": [ 1452 ],
- "attributes": {
- }
- },
- "$abc$24763$n3083_1": {
- "hide_name": 1,
- "bits": [ 1453 ],
- "attributes": {
- }
- },
- "$abc$24763$n3084": {
- "hide_name": 1,
- "bits": [ 1451 ],
- "attributes": {
- }
- },
- "$abc$24763$n3085_1": {
- "hide_name": 1,
- "bits": [ 1448 ],
- "attributes": {
- }
- },
- "$abc$24763$n3086": {
- "hide_name": 1,
- "bits": [ 1463 ],
- "attributes": {
- }
- },
- "$abc$24763$n3087_1": {
- "hide_name": 1,
- "bits": [ 1449 ],
- "attributes": {
- }
- },
- "$abc$24763$n3088": {
- "hide_name": 1,
- "bits": [ 1467 ],
- "attributes": {
- }
- },
- "$abc$24763$n3089_1": {
- "hide_name": 1,
- "bits": [ 1468 ],
- "attributes": {
- }
- },
- "$abc$24763$n3090": {
- "hide_name": 1,
- "bits": [ 1469 ],
- "attributes": {
- }
- },
- "$abc$24763$n3091_1": {
- "hide_name": 1,
- "bits": [ 1446 ],
- "attributes": {
- }
- },
- "$abc$24763$n3092": {
- "hide_name": 1,
- "bits": [ 1445 ],
- "attributes": {
- }
- },
- "$abc$24763$n3093_1": {
- "hide_name": 1,
- "bits": [ 1476 ],
- "attributes": {
- }
- },
- "$abc$24763$n3094": {
- "hide_name": 1,
- "bits": [ 1440 ],
- "attributes": {
- }
- },
- "$abc$24763$n3096": {
- "hide_name": 1,
- "bits": [ 1478 ],
- "attributes": {
- }
- },
- "$abc$24763$n3097_1": {
- "hide_name": 1,
- "bits": [ 1480 ],
- "attributes": {
- }
- },
- "$abc$24763$n3100": {
- "hide_name": 1,
- "bits": [ 1485 ],
- "attributes": {
- }
- },
- "$abc$24763$n3101_1": {
- "hide_name": 1,
- "bits": [ 1483 ],
- "attributes": {
- }
- },
- "$abc$24763$n3102": {
- "hide_name": 1,
- "bits": [ 1486 ],
- "attributes": {
- }
- },
- "$abc$24763$n3103_1": {
- "hide_name": 1,
- "bits": [ 1487 ],
- "attributes": {
- }
- },
- "$abc$24763$n3104": {
- "hide_name": 1,
- "bits": [ 1488 ],
- "attributes": {
- }
- },
- "$abc$24763$n3105_1": {
- "hide_name": 1,
- "bits": [ 1490 ],
- "attributes": {
- }
- },
- "$abc$24763$n3106": {
- "hide_name": 1,
- "bits": [ 1491 ],
- "attributes": {
- }
- },
- "$abc$24763$n3107": {
- "hide_name": 1,
- "bits": [ 1492 ],
- "attributes": {
- }
- },
- "$abc$24763$n3108_1": {
- "hide_name": 1,
- "bits": [ 1489 ],
- "attributes": {
- }
- },
- "$abc$24763$n3109": {
- "hide_name": 1,
- "bits": [ 1499 ],
- "attributes": {
- }
- },
- "$abc$24763$n3110_1": {
- "hide_name": 1,
- "bits": [ 1500 ],
- "attributes": {
- }
- },
- "$abc$24763$n3111": {
- "hide_name": 1,
- "bits": [ 1501 ],
- "attributes": {
- }
- },
- "$abc$24763$n3112_1": {
- "hide_name": 1,
- "bits": [ 1484 ],
- "attributes": {
- }
- },
- "$abc$24763$n3114_1": {
- "hide_name": 1,
- "bits": [ 1506 ],
- "attributes": {
- }
- },
- "$abc$24763$n3116_1": {
- "hide_name": 1,
- "bits": [ 1510 ],
- "attributes": {
- }
- },
- "$abc$24763$n3118_1": {
- "hide_name": 1,
- "bits": [ 1514 ],
- "attributes": {
- }
- },
- "$abc$24763$n3120_1": {
- "hide_name": 1,
- "bits": [ 1518 ],
- "attributes": {
- }
- },
- "$abc$24763$n3122_1": {
- "hide_name": 1,
- "bits": [ 1523 ],
- "attributes": {
- }
- },
- "$abc$24763$n3124_1": {
- "hide_name": 1,
- "bits": [ 1528 ],
- "attributes": {
- }
- },
- "$abc$24763$n3126_1": {
- "hide_name": 1,
- "bits": [ 1533 ],
- "attributes": {
- }
- },
- "$abc$24763$n3128_1": {
- "hide_name": 1,
- "bits": [ 1538 ],
- "attributes": {
- }
- },
- "$abc$24763$n3130_1": {
- "hide_name": 1,
- "bits": [ 1543 ],
- "attributes": {
- }
- },
- "$abc$24763$n3132_1": {
- "hide_name": 1,
- "bits": [ 1548 ],
- "attributes": {
- }
- },
- "$abc$24763$n3134_1": {
- "hide_name": 1,
- "bits": [ 1553 ],
- "attributes": {
- }
- },
- "$abc$24763$n3136_1": {
- "hide_name": 1,
- "bits": [ 1558 ],
- "attributes": {
- }
- },
- "$abc$24763$n3138_1": {
- "hide_name": 1,
- "bits": [ 1563 ],
- "attributes": {
- }
- },
- "$abc$24763$n3140_1": {
- "hide_name": 1,
- "bits": [ 1568 ],
- "attributes": {
- }
- },
- "$abc$24763$n3142_1": {
- "hide_name": 1,
- "bits": [ 1572 ],
- "attributes": {
- }
- },
- "$abc$24763$n3144_1": {
- "hide_name": 1,
- "bits": [ 1577 ],
- "attributes": {
- }
- },
- "$abc$24763$n3146_1": {
- "hide_name": 1,
- "bits": [ 1582 ],
- "attributes": {
- }
- },
- "$abc$24763$n3148_1": {
- "hide_name": 1,
- "bits": [ 1587 ],
- "attributes": {
- }
- },
- "$abc$24763$n3150_1": {
- "hide_name": 1,
- "bits": [ 1592 ],
- "attributes": {
- }
- },
- "$abc$24763$n3152_1": {
- "hide_name": 1,
- "bits": [ 1597 ],
- "attributes": {
- }
- },
- "$abc$24763$n3154_1": {
- "hide_name": 1,
- "bits": [ 1602 ],
- "attributes": {
- }
- },
- "$abc$24763$n3156_1": {
- "hide_name": 1,
- "bits": [ 1607 ],
- "attributes": {
- }
- },
- "$abc$24763$n3158_1": {
- "hide_name": 1,
- "bits": [ 1612 ],
- "attributes": {
- }
- },
- "$abc$24763$n3160_1": {
- "hide_name": 1,
- "bits": [ 1617 ],
- "attributes": {
- }
- },
- "$abc$24763$n3162_1": {
- "hide_name": 1,
- "bits": [ 1622 ],
- "attributes": {
- }
- },
- "$abc$24763$n3164_1": {
- "hide_name": 1,
- "bits": [ 1627 ],
- "attributes": {
- }
- },
- "$abc$24763$n3166_1": {
- "hide_name": 1,
- "bits": [ 1632 ],
- "attributes": {
- }
- },
- "$abc$24763$n3168_1": {
- "hide_name": 1,
- "bits": [ 1637 ],
- "attributes": {
- }
- },
- "$abc$24763$n3170": {
- "hide_name": 1,
- "bits": [ 1642 ],
- "attributes": {
- }
- },
- "$abc$24763$n3172": {
- "hide_name": 1,
- "bits": [ 1648 ],
- "attributes": {
- }
- },
- "$abc$24763$n3173": {
- "hide_name": 1,
- "bits": [ 1646 ],
- "attributes": {
- }
- },
- "$abc$24763$n3175": {
- "hide_name": 1,
- "bits": [ 3592 ],
- "attributes": {
- }
- },
- "$abc$24763$n3177": {
- "hide_name": 1,
- "bits": [ 3593 ],
- "attributes": {
- }
- },
- "$abc$24763$n3177_1": {
- "hide_name": 1,
- "bits": [ 1655 ],
- "attributes": {
- }
- },
- "$abc$24763$n3178": {
- "hide_name": 1,
- "bits": [ 1652 ],
- "attributes": {
- }
- },
- "$abc$24763$n3179": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$24763$n3179_1": {
- "hide_name": 1,
- "bits": [ 1656 ],
- "attributes": {
- }
- },
- "$abc$24763$n318": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$24763$n3180": {
- "hide_name": 1,
- "bits": [ 1658 ],
- "attributes": {
- }
- },
- "$abc$24763$n3181": {
- "hide_name": 1,
- "bits": [ 1659 ],
- "attributes": {
- }
- },
- "$abc$24763$n3182": {
- "hide_name": 1,
- "bits": [ 1657 ],
- "attributes": {
- }
- },
- "$abc$24763$n3183_1": {
- "hide_name": 1,
- "bits": [ 1653 ],
- "attributes": {
- }
- },
- "$abc$24763$n3184_1": {
- "hide_name": 1,
- "bits": [ 1669 ],
- "attributes": {
- }
- },
- "$abc$24763$n3185": {
- "hide_name": 1,
- "bits": [ 1482 ],
- "attributes": {
- }
- },
- "$abc$24763$n3185_1": {
- "hide_name": 1,
- "bits": [ 1654 ],
- "attributes": {
- }
- },
- "$abc$24763$n3186": {
- "hide_name": 1,
- "bits": [ 1673 ],
- "attributes": {
- }
- },
- "$abc$24763$n3187": {
- "hide_name": 1,
- "bits": [ 1481 ],
- "attributes": {
- }
- },
- "$abc$24763$n3187_1": {
- "hide_name": 1,
- "bits": [ 1674 ],
- "attributes": {
- }
- },
- "$abc$24763$n3188": {
- "hide_name": 1,
- "bits": [ 1689 ],
- "attributes": {
- }
- },
- "$abc$24763$n3188_1": {
- "hide_name": 1,
- "bits": [ 1675 ],
- "attributes": {
- }
- },
- "$abc$24763$n3189": {
- "hide_name": 1,
- "bits": [ 1683 ],
- "attributes": {
- }
- },
- "$abc$24763$n3190": {
- "hide_name": 1,
- "bits": [ 1684 ],
- "attributes": {
- }
- },
- "$abc$24763$n3190_1": {
- "hide_name": 1,
- "bits": [ 1682 ],
- "attributes": {
- }
- },
- "$abc$24763$n3197": {
- "hide_name": 1,
- "bits": [ 1687 ],
- "attributes": {
- }
- },
- "$abc$24763$n3198": {
- "hide_name": 1,
- "bits": [ 1685 ],
- "attributes": {
- }
- },
- "$abc$24763$n3199": {
- "hide_name": 1,
- "bits": [ 1688 ],
- "attributes": {
- }
- },
- "$abc$24763$n3200": {
- "hide_name": 1,
- "bits": [ 1690 ],
- "attributes": {
- }
- },
- "$abc$24763$n3201": {
- "hide_name": 1,
- "bits": [ 1691 ],
- "attributes": {
- }
- },
- "$abc$24763$n3202": {
- "hide_name": 1,
- "bits": [ 1693 ],
- "attributes": {
- }
- },
- "$abc$24763$n3203": {
- "hide_name": 1,
- "bits": [ 1694 ],
- "attributes": {
- }
- },
- "$abc$24763$n3204": {
- "hide_name": 1,
- "bits": [ 1695 ],
- "attributes": {
- }
- },
- "$abc$24763$n3205": {
- "hide_name": 1,
- "bits": [ 1692 ],
- "attributes": {
- }
- },
- "$abc$24763$n3206": {
- "hide_name": 1,
- "bits": [ 1702 ],
- "attributes": {
- }
- },
- "$abc$24763$n3207": {
- "hide_name": 1,
- "bits": [ 1703 ],
- "attributes": {
- }
- },
- "$abc$24763$n3208": {
- "hide_name": 1,
- "bits": [ 1704 ],
- "attributes": {
- }
- },
- "$abc$24763$n3209": {
- "hide_name": 1,
- "bits": [ 1686 ],
- "attributes": {
- }
- },
- "$abc$24763$n3211": {
- "hide_name": 1,
- "bits": [ 1708 ],
- "attributes": {
- }
- },
- "$abc$24763$n3213": {
- "hide_name": 1,
- "bits": [ 1713 ],
- "attributes": {
- }
- },
- "$abc$24763$n3215": {
- "hide_name": 1,
- "bits": [ 1718 ],
- "attributes": {
- }
- },
- "$abc$24763$n3217": {
- "hide_name": 1,
- "bits": [ 1723 ],
- "attributes": {
- }
- },
- "$abc$24763$n3219": {
- "hide_name": 1,
- "bits": [ 1728 ],
- "attributes": {
- }
- },
- "$abc$24763$n3221": {
- "hide_name": 1,
- "bits": [ 1733 ],
- "attributes": {
- }
- },
- "$abc$24763$n3223": {
- "hide_name": 1,
- "bits": [ 1738 ],
- "attributes": {
- }
- },
- "$abc$24763$n3225": {
- "hide_name": 1,
- "bits": [ 3594 ],
- "attributes": {
- }
- },
- "$abc$24763$n3225_1": {
- "hide_name": 1,
- "bits": [ 1743 ],
- "attributes": {
- }
- },
- "$abc$24763$n3226": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$24763$n3227": {
- "hide_name": 1,
- "bits": [ 1748 ],
- "attributes": {
- }
- },
- "$abc$24763$n3229": {
- "hide_name": 1,
- "bits": [ 1753 ],
- "attributes": {
- }
- },
- "$abc$24763$n3231": {
- "hide_name": 1,
- "bits": [ 1758 ],
- "attributes": {
- }
- },
- "$abc$24763$n3233": {
- "hide_name": 1,
- "bits": [ 1763 ],
- "attributes": {
- }
- },
- "$abc$24763$n3235": {
- "hide_name": 1,
- "bits": [ 1768 ],
- "attributes": {
- }
- },
- "$abc$24763$n3237": {
- "hide_name": 1,
- "bits": [ 1773 ],
- "attributes": {
- }
- },
- "$abc$24763$n3239": {
- "hide_name": 1,
- "bits": [ 1778 ],
- "attributes": {
- }
- },
- "$abc$24763$n3241": {
- "hide_name": 1,
- "bits": [ 1783 ],
- "attributes": {
- }
- },
- "$abc$24763$n3243": {
- "hide_name": 1,
- "bits": [ 3595 ],
- "attributes": {
- }
- },
- "$abc$24763$n3243_1": {
- "hide_name": 1,
- "bits": [ 1788 ],
- "attributes": {
- }
- },
- "$abc$24763$n3244": {
- "hide_name": 1,
- "bits": [ 3596 ],
- "attributes": {
- }
- },
- "$abc$24763$n3245": {
- "hide_name": 1,
- "bits": [ 3597 ],
- "attributes": {
- }
- },
- "$abc$24763$n3245_1": {
- "hide_name": 1,
- "bits": [ 1793 ],
- "attributes": {
- }
- },
- "$abc$24763$n3246": {
- "hide_name": 1,
- "bits": [ 3598 ],
- "attributes": {
- }
- },
- "$abc$24763$n3247": {
- "hide_name": 1,
- "bits": [ 3599 ],
- "attributes": {
- }
- },
- "$abc$24763$n3247_1": {
- "hide_name": 1,
- "bits": [ 1798 ],
- "attributes": {
- }
- },
- "$abc$24763$n3248": {
- "hide_name": 1,
- "bits": [ 3600 ],
- "attributes": {
- }
- },
- "$abc$24763$n3249": {
- "hide_name": 1,
- "bits": [ 3601 ],
- "attributes": {
- }
- },
- "$abc$24763$n3249_1": {
- "hide_name": 1,
- "bits": [ 1803 ],
- "attributes": {
- }
- },
- "$abc$24763$n3250": {
- "hide_name": 1,
- "bits": [ 3602 ],
- "attributes": {
- }
- },
- "$abc$24763$n3251": {
- "hide_name": 1,
- "bits": [ 1808 ],
- "attributes": {
- }
- },
- "$abc$24763$n3253": {
- "hide_name": 1,
- "bits": [ 1813 ],
- "attributes": {
- }
- },
- "$abc$24763$n3255": {
- "hide_name": 1,
- "bits": [ 1818 ],
- "attributes": {
- }
- },
- "$abc$24763$n3257": {
- "hide_name": 1,
- "bits": [ 1823 ],
- "attributes": {
- }
- },
- "$abc$24763$n3259": {
- "hide_name": 1,
- "bits": [ 1828 ],
- "attributes": {
- }
- },
- "$abc$24763$n3261": {
- "hide_name": 1,
- "bits": [ 1833 ],
- "attributes": {
- }
- },
- "$abc$24763$n3263": {
- "hide_name": 1,
- "bits": [ 1838 ],
- "attributes": {
- }
- },
- "$abc$24763$n3265": {
- "hide_name": 1,
- "bits": [ 1843 ],
- "attributes": {
- }
- },
- "$abc$24763$n3267": {
- "hide_name": 1,
- "bits": [ 1848 ],
- "attributes": {
- }
- },
- "$abc$24763$n3270": {
- "hide_name": 1,
- "bits": [ 1862 ],
- "attributes": {
- }
- },
- "$abc$24763$n3271": {
- "hide_name": 1,
- "bits": [ 1858 ],
- "attributes": {
- }
- },
- "$abc$24763$n3272": {
- "hide_name": 1,
- "bits": [ 1865 ],
- "attributes": {
- }
- },
- "$abc$24763$n3273": {
- "hide_name": 1,
- "bits": [ 1868 ],
- "attributes": {
- }
- },
- "$abc$24763$n3274": {
- "hide_name": 1,
- "bits": [ 1870 ],
- "attributes": {
- }
- },
- "$abc$24763$n3275": {
- "hide_name": 1,
- "bits": [ 1874 ],
- "attributes": {
- }
- },
- "$abc$24763$n3276": {
- "hide_name": 1,
- "bits": [ 1878 ],
- "attributes": {
- }
- },
- "$abc$24763$n3277": {
- "hide_name": 1,
- "bits": [ 1877 ],
- "attributes": {
- }
- },
- "$abc$24763$n3278": {
- "hide_name": 1,
- "bits": [ 1876 ],
- "attributes": {
- }
- },
- "$abc$24763$n3279": {
- "hide_name": 1,
- "bits": [ 1873 ],
- "attributes": {
- }
- },
- "$abc$24763$n328": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$24763$n3280": {
- "hide_name": 1,
- "bits": [ 1886 ],
- "attributes": {
- }
- },
- "$abc$24763$n3281": {
- "hide_name": 1,
- "bits": [ 1885 ],
- "attributes": {
- }
- },
- "$abc$24763$n3282": {
- "hide_name": 1,
- "bits": [ 1869 ],
- "attributes": {
- }
- },
- "$abc$24763$n3283": {
- "hide_name": 1,
- "bits": [ 1890 ],
- "attributes": {
- }
- },
- "$abc$24763$n3284": {
- "hide_name": 1,
- "bits": [ 1892 ],
- "attributes": {
- }
- },
- "$abc$24763$n3285": {
- "hide_name": 1,
- "bits": [ 1891 ],
- "attributes": {
- }
- },
- "$abc$24763$n3286": {
- "hide_name": 1,
- "bits": [ 1889 ],
- "attributes": {
- }
- },
- "$abc$24763$n3287": {
- "hide_name": 1,
- "bits": [ 1896 ],
- "attributes": {
- }
- },
- "$abc$24763$n3288": {
- "hide_name": 1,
- "bits": [ 1895 ],
- "attributes": {
- }
- },
- "$abc$24763$n3289": {
- "hide_name": 1,
- "bits": [ 1867 ],
- "attributes": {
- }
- },
- "$abc$24763$n3290": {
- "hide_name": 1,
- "bits": [ 1900 ],
- "attributes": {
- }
- },
- "$abc$24763$n3291_1": {
- "hide_name": 1,
- "bits": [ 1902 ],
- "attributes": {
- }
- },
- "$abc$24763$n3292_1": {
- "hide_name": 1,
- "bits": [ 1904 ],
- "attributes": {
- }
- },
- "$abc$24763$n3293_1": {
- "hide_name": 1,
- "bits": [ 1903 ],
- "attributes": {
- }
- },
- "$abc$24763$n3294": {
- "hide_name": 1,
- "bits": [ 1901 ],
- "attributes": {
- }
- },
- "$abc$24763$n3295": {
- "hide_name": 1,
- "bits": [ 1908 ],
- "attributes": {
- }
- },
- "$abc$24763$n3296": {
- "hide_name": 1,
- "bits": [ 1907 ],
- "attributes": {
- }
- },
- "$abc$24763$n3297": {
- "hide_name": 1,
- "bits": [ 1899 ],
- "attributes": {
- }
- },
- "$abc$24763$n3298": {
- "hide_name": 1,
- "bits": [ 1912 ],
- "attributes": {
- }
- },
- "$abc$24763$n3299": {
- "hide_name": 1,
- "bits": [ 1914 ],
- "attributes": {
- }
- },
- "$abc$24763$n3300": {
- "hide_name": 1,
- "bits": [ 1913 ],
- "attributes": {
- }
- },
- "$abc$24763$n3301": {
- "hide_name": 1,
- "bits": [ 1911 ],
- "attributes": {
- }
- },
- "$abc$24763$n3302": {
- "hide_name": 1,
- "bits": [ 1918 ],
- "attributes": {
- }
- },
- "$abc$24763$n3303": {
- "hide_name": 1,
- "bits": [ 1917 ],
- "attributes": {
- }
- },
- "$abc$24763$n3304": {
- "hide_name": 1,
- "bits": [ 1923 ],
- "attributes": {
- }
- },
- "$abc$24763$n3305": {
- "hide_name": 1,
- "bits": [ 1863 ],
- "attributes": {
- }
- },
- "$abc$24763$n3306": {
- "hide_name": 1,
- "bits": [ 1924 ],
- "attributes": {
- }
- },
- "$abc$24763$n3307": {
- "hide_name": 1,
- "bits": [ 1927 ],
- "attributes": {
- }
- },
- "$abc$24763$n3308": {
- "hide_name": 1,
- "bits": [ 1929 ],
- "attributes": {
- }
- },
- "$abc$24763$n3309": {
- "hide_name": 1,
- "bits": [ 1928 ],
- "attributes": {
- }
- },
- "$abc$24763$n3310": {
- "hide_name": 1,
- "bits": [ 1926 ],
- "attributes": {
- }
- },
- "$abc$24763$n3311": {
- "hide_name": 1,
- "bits": [ 1931 ],
- "attributes": {
- }
- },
- "$abc$24763$n3312": {
- "hide_name": 1,
- "bits": [ 1930 ],
- "attributes": {
- }
- },
- "$abc$24763$n3313": {
- "hide_name": 1,
- "bits": [ 1925 ],
- "attributes": {
- }
- },
- "$abc$24763$n3314": {
- "hide_name": 1,
- "bits": [ 1933 ],
- "attributes": {
- }
- },
- "$abc$24763$n3315": {
- "hide_name": 1,
- "bits": [ 1935 ],
- "attributes": {
- }
- },
- "$abc$24763$n3316": {
- "hide_name": 1,
- "bits": [ 1934 ],
- "attributes": {
- }
- },
- "$abc$24763$n3317": {
- "hide_name": 1,
- "bits": [ 1932 ],
- "attributes": {
- }
- },
- "$abc$24763$n3318": {
- "hide_name": 1,
- "bits": [ 1937 ],
- "attributes": {
- }
- },
- "$abc$24763$n3319": {
- "hide_name": 1,
- "bits": [ 1936 ],
- "attributes": {
- }
- },
- "$abc$24763$n3320": {
- "hide_name": 1,
- "bits": [ 1938 ],
- "attributes": {
- }
- },
- "$abc$24763$n3321": {
- "hide_name": 1,
- "bits": [ 1864 ],
- "attributes": {
- }
- },
- "$abc$24763$n3322": {
- "hide_name": 1,
- "bits": [ 1939 ],
- "attributes": {
- }
- },
- "$abc$24763$n3323": {
- "hide_name": 1,
- "bits": [ 1940 ],
- "attributes": {
- }
- },
- "$abc$24763$n3324": {
- "hide_name": 1,
- "bits": [ 1866 ],
- "attributes": {
- }
- },
- "$abc$24763$n3325": {
- "hide_name": 1,
- "bits": [ 1941 ],
- "attributes": {
- }
- },
- "$abc$24763$n3326_1": {
- "hide_name": 1,
- "bits": [ 1944 ],
- "attributes": {
- }
- },
- "$abc$24763$n3327_1": {
- "hide_name": 1,
- "bits": [ 1943 ],
- "attributes": {
- }
- },
- "$abc$24763$n3328_1": {
- "hide_name": 1,
- "bits": [ 1942 ],
- "attributes": {
- }
- },
- "$abc$24763$n3329_1": {
- "hide_name": 1,
- "bits": [ 1945 ],
- "attributes": {
- }
- },
- "$abc$24763$n3330_1": {
- "hide_name": 1,
- "bits": [ 1859 ],
- "attributes": {
- }
- },
- "$abc$24763$n3331_1": {
- "hide_name": 1,
- "bits": [ 1946 ],
- "attributes": {
- }
- },
- "$abc$24763$n3332_1": {
- "hide_name": 1,
- "bits": [ 1951 ],
- "attributes": {
- }
- },
- "$abc$24763$n3333_1": {
- "hide_name": 1,
- "bits": [ 1950 ],
- "attributes": {
- }
- },
- "$abc$24763$n3334_1": {
- "hide_name": 1,
- "bits": [ 1953 ],
- "attributes": {
- }
- },
- "$abc$24763$n3335_1": {
- "hide_name": 1,
- "bits": [ 1952 ],
- "attributes": {
- }
- },
- "$abc$24763$n3336_1": {
- "hide_name": 1,
- "bits": [ 1947 ],
- "attributes": {
- }
- },
- "$abc$24763$n3337_1": {
- "hide_name": 1,
- "bits": [ 1954 ],
- "attributes": {
- }
- },
- "$abc$24763$n3338_1": {
- "hide_name": 1,
- "bits": [ 1956 ],
- "attributes": {
- }
- },
- "$abc$24763$n3339_1": {
- "hide_name": 1,
- "bits": [ 1955 ],
- "attributes": {
- }
- },
- "$abc$24763$n3340_1": {
- "hide_name": 1,
- "bits": [ 1948 ],
- "attributes": {
- }
- },
- "$abc$24763$n3341_1": {
- "hide_name": 1,
- "bits": [ 1957 ],
- "attributes": {
- }
- },
- "$abc$24763$n3342": {
- "hide_name": 1,
- "bits": [ 1960 ],
- "attributes": {
- }
- },
- "$abc$24763$n3343": {
- "hide_name": 1,
- "bits": [ 1959 ],
- "attributes": {
- }
- },
- "$abc$24763$n3344": {
- "hide_name": 1,
- "bits": [ 1958 ],
- "attributes": {
- }
- },
- "$abc$24763$n3345": {
- "hide_name": 1,
- "bits": [ 1962 ],
- "attributes": {
- }
- },
- "$abc$24763$n3346": {
- "hide_name": 1,
- "bits": [ 1961 ],
- "attributes": {
- }
- },
- "$abc$24763$n3347": {
- "hide_name": 1,
- "bits": [ 1949 ],
- "attributes": {
- }
- },
- "$abc$24763$n3348": {
- "hide_name": 1,
- "bits": [ 1963 ],
- "attributes": {
- }
- },
- "$abc$24763$n3349": {
- "hide_name": 1,
- "bits": [ 1964 ],
- "attributes": {
- }
- },
- "$abc$24763$n335": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$24763$n3350": {
- "hide_name": 1,
- "bits": [ 1967 ],
- "attributes": {
- }
- },
- "$abc$24763$n3351": {
- "hide_name": 1,
- "bits": [ 1965 ],
- "attributes": {
- }
- },
- "$abc$24763$n3352": {
- "hide_name": 1,
- "bits": [ 1968 ],
- "attributes": {
- }
- },
- "$abc$24763$n3353": {
- "hide_name": 1,
- "bits": [ 1966 ],
- "attributes": {
- }
- },
- "$abc$24763$n3354": {
- "hide_name": 1,
- "bits": [ 1860 ],
- "attributes": {
- }
- },
- "$abc$24763$n3355": {
- "hide_name": 1,
- "bits": [ 1969 ],
- "attributes": {
- }
- },
- "$abc$24763$n3356": {
- "hide_name": 1,
- "bits": [ 1972 ],
- "attributes": {
- }
- },
- "$abc$24763$n3357": {
- "hide_name": 1,
- "bits": [ 1973 ],
- "attributes": {
- }
- },
- "$abc$24763$n3361": {
- "hide_name": 1,
- "bits": [ 1974 ],
- "attributes": {
- }
- },
- "$abc$24763$n3362": {
- "hide_name": 1,
- "bits": [ 1971 ],
- "attributes": {
- }
- },
- "$abc$24763$n3363": {
- "hide_name": 1,
- "bits": [ 1975 ],
- "attributes": {
- }
- },
- "$abc$24763$n3364": {
- "hide_name": 1,
- "bits": [ 1976 ],
- "attributes": {
- }
- },
- "$abc$24763$n3365": {
- "hide_name": 1,
- "bits": [ 1977 ],
- "attributes": {
- }
- },
- "$abc$24763$n3366": {
- "hide_name": 1,
- "bits": [ 1978 ],
- "attributes": {
- }
- },
- "$abc$24763$n3367": {
- "hide_name": 1,
- "bits": [ 1861 ],
- "attributes": {
- }
- },
- "$abc$24763$n3368": {
- "hide_name": 1,
- "bits": [ 1982 ],
- "attributes": {
- }
- },
- "$abc$24763$n3369": {
- "hide_name": 1,
- "bits": [ 1979 ],
- "attributes": {
- }
- },
- "$abc$24763$n3370": {
- "hide_name": 1,
- "bits": [ 1984 ],
- "attributes": {
- }
- },
- "$abc$24763$n3371": {
- "hide_name": 1,
- "bits": [ 1986 ],
- "attributes": {
- }
- },
- "$abc$24763$n3372": {
- "hide_name": 1,
- "bits": [ 1987 ],
- "attributes": {
- }
- },
- "$abc$24763$n3373": {
- "hide_name": 1,
- "bits": [ 1989 ],
- "attributes": {
- }
- },
- "$abc$24763$n3374_1": {
- "hide_name": 1,
- "bits": [ 1991 ],
- "attributes": {
- }
- },
- "$abc$24763$n3375_1": {
- "hide_name": 1,
- "bits": [ 1990 ],
- "attributes": {
- }
- },
- "$abc$24763$n3376_1": {
- "hide_name": 1,
- "bits": [ 1988 ],
- "attributes": {
- }
- },
- "$abc$24763$n3377_1": {
- "hide_name": 1,
- "bits": [ 1994 ],
- "attributes": {
- }
- },
- "$abc$24763$n3378_1": {
- "hide_name": 1,
- "bits": [ 1985 ],
- "attributes": {
- }
- },
- "$abc$24763$n3379_1": {
- "hide_name": 1,
- "bits": [ 1996 ],
- "attributes": {
- }
- },
- "$abc$24763$n3380_1": {
- "hide_name": 1,
- "bits": [ 1997 ],
- "attributes": {
- }
- },
- "$abc$24763$n3381_1": {
- "hide_name": 1,
- "bits": [ 1999 ],
- "attributes": {
- }
- },
- "$abc$24763$n3382_1": {
- "hide_name": 1,
- "bits": [ 2001 ],
- "attributes": {
- }
- },
- "$abc$24763$n3383_1": {
- "hide_name": 1,
- "bits": [ 2002 ],
- "attributes": {
- }
- },
- "$abc$24763$n3384_1": {
- "hide_name": 1,
- "bits": [ 2000 ],
- "attributes": {
- }
- },
- "$abc$24763$n3385_1": {
- "hide_name": 1,
- "bits": [ 1998 ],
- "attributes": {
- }
- },
- "$abc$24763$n3386_1": {
- "hide_name": 1,
- "bits": [ 2005 ],
- "attributes": {
- }
- },
- "$abc$24763$n3387_1": {
- "hide_name": 1,
- "bits": [ 2007 ],
- "attributes": {
- }
- },
- "$abc$24763$n3388_1": {
- "hide_name": 1,
- "bits": [ 2006 ],
- "attributes": {
- }
- },
- "$abc$24763$n3389_1": {
- "hide_name": 1,
- "bits": [ 2004 ],
- "attributes": {
- }
- },
- "$abc$24763$n3390_1": {
- "hide_name": 1,
- "bits": [ 2011 ],
- "attributes": {
- }
- },
- "$abc$24763$n3391_1": {
- "hide_name": 1,
- "bits": [ 2010 ],
- "attributes": {
- }
- },
- "$abc$24763$n3392_1": {
- "hide_name": 1,
- "bits": [ 1983 ],
- "attributes": {
- }
- },
- "$abc$24763$n3393_1": {
- "hide_name": 1,
- "bits": [ 1980 ],
- "attributes": {
- }
- },
- "$abc$24763$n3394_1": {
- "hide_name": 1,
- "bits": [ 2014 ],
- "attributes": {
- }
- },
- "$abc$24763$n3395_1": {
- "hide_name": 1,
- "bits": [ 2016 ],
- "attributes": {
- }
- },
- "$abc$24763$n3396_1": {
- "hide_name": 1,
- "bits": [ 2019 ],
- "attributes": {
- }
- },
- "$abc$24763$n3397_1": {
- "hide_name": 1,
- "bits": [ 2018 ],
- "attributes": {
- }
- },
- "$abc$24763$n3398_1": {
- "hide_name": 1,
- "bits": [ 2017 ],
- "attributes": {
- }
- },
- "$abc$24763$n3399_1": {
- "hide_name": 1,
- "bits": [ 2015 ],
- "attributes": {
- }
- },
- "$abc$24763$n3400_1": {
- "hide_name": 1,
- "bits": [ 2022 ],
- "attributes": {
- }
- },
- "$abc$24763$n3401_1": {
- "hide_name": 1,
- "bits": [ 2020 ],
- "attributes": {
- }
- },
- "$abc$24763$n3402_1": {
- "hide_name": 1,
- "bits": [ 2021 ],
- "attributes": {
- }
- },
- "$abc$24763$n3403_1": {
- "hide_name": 1,
- "bits": [ 2023 ],
- "attributes": {
- }
- },
- "$abc$24763$n3404_1": {
- "hide_name": 1,
- "bits": [ 1981 ],
- "attributes": {
- }
- },
- "$abc$24763$n3405_1": {
- "hide_name": 1,
- "bits": [ 2027 ],
- "attributes": {
- }
- },
- "$abc$24763$n3406": {
- "hide_name": 1,
- "bits": [ 2028 ],
- "attributes": {
- }
- },
- "$abc$24763$n3407": {
- "hide_name": 1,
- "bits": [ 2364 ],
- "attributes": {
- }
- },
- "$abc$24763$n3407_1": {
- "hide_name": 1,
- "bits": [ 2029 ],
- "attributes": {
- }
- },
- "$abc$24763$n3408": {
- "hide_name": 1,
- "bits": [ 2030 ],
- "attributes": {
- }
- },
- "$abc$24763$n3409": {
- "hide_name": 1,
- "bits": [ 2365 ],
- "attributes": {
- }
- },
- "$abc$24763$n3409_1": {
- "hide_name": 1,
- "bits": [ 2024 ],
- "attributes": {
- }
- },
- "$abc$24763$n341": {
- "hide_name": 1,
- "bits": [ 296 ],
- "attributes": {
- }
- },
- "$abc$24763$n3410": {
- "hide_name": 1,
- "bits": [ 2031 ],
- "attributes": {
- }
- },
- "$abc$24763$n3411": {
- "hide_name": 1,
- "bits": [ 2366 ],
- "attributes": {
- }
- },
- "$abc$24763$n3411_1": {
- "hide_name": 1,
- "bits": [ 2033 ],
- "attributes": {
- }
- },
- "$abc$24763$n3412": {
- "hide_name": 1,
- "bits": [ 2032 ],
- "attributes": {
- }
- },
- "$abc$24763$n3413": {
- "hide_name": 1,
- "bits": [ 2367 ],
- "attributes": {
- }
- },
- "$abc$24763$n3413_1": {
- "hide_name": 1,
- "bits": [ 2025 ],
- "attributes": {
- }
- },
- "$abc$24763$n3414": {
- "hide_name": 1,
- "bits": [ 2034 ],
- "attributes": {
- }
- },
- "$abc$24763$n3415": {
- "hide_name": 1,
- "bits": [ 2368 ],
- "attributes": {
- }
- },
- "$abc$24763$n3415_1": {
- "hide_name": 1,
- "bits": [ 2035 ],
- "attributes": {
- }
- },
- "$abc$24763$n3416": {
- "hide_name": 1,
- "bits": [ 2036 ],
- "attributes": {
- }
- },
- "$abc$24763$n3417": {
- "hide_name": 1,
- "bits": [ 2369 ],
- "attributes": {
- }
- },
- "$abc$24763$n3417_1": {
- "hide_name": 1,
- "bits": [ 2037 ],
- "attributes": {
- }
- },
- "$abc$24763$n3418": {
- "hide_name": 1,
- "bits": [ 2026 ],
- "attributes": {
- }
- },
- "$abc$24763$n3419": {
- "hide_name": 1,
- "bits": [ 2370 ],
- "attributes": {
- }
- },
- "$abc$24763$n3419_1": {
- "hide_name": 1,
- "bits": [ 2038 ],
- "attributes": {
- }
- },
- "$abc$24763$n3420": {
- "hide_name": 1,
- "bits": [ 2040 ],
- "attributes": {
- }
- },
- "$abc$24763$n3421": {
- "hide_name": 1,
- "bits": [ 2371 ],
- "attributes": {
- }
- },
- "$abc$24763$n3421_1": {
- "hide_name": 1,
- "bits": [ 2041 ],
- "attributes": {
- }
- },
- "$abc$24763$n3422": {
- "hide_name": 1,
- "bits": [ 2039 ],
- "attributes": {
- }
- },
- "$abc$24763$n3423": {
- "hide_name": 1,
- "bits": [ 2372 ],
- "attributes": {
- }
- },
- "$abc$24763$n3423_1": {
- "hide_name": 1,
- "bits": [ 2045 ],
- "attributes": {
- }
- },
- "$abc$24763$n3424": {
- "hide_name": 1,
- "bits": [ 2042 ],
- "attributes": {
- }
- },
- "$abc$24763$n3425": {
- "hide_name": 1,
- "bits": [ 2373 ],
- "attributes": {
- }
- },
- "$abc$24763$n3425_1": {
- "hide_name": 1,
- "bits": [ 2043 ],
- "attributes": {
- }
- },
- "$abc$24763$n3426": {
- "hide_name": 1,
- "bits": [ 2046 ],
- "attributes": {
- }
- },
- "$abc$24763$n3427": {
- "hide_name": 1,
- "bits": [ 2374 ],
- "attributes": {
- }
- },
- "$abc$24763$n3427_1": {
- "hide_name": 1,
- "bits": [ 2047 ],
- "attributes": {
- }
- },
- "$abc$24763$n3428": {
- "hide_name": 1,
- "bits": [ 2044 ],
- "attributes": {
- }
- },
- "$abc$24763$n3429": {
- "hide_name": 1,
- "bits": [ 2375 ],
- "attributes": {
- }
- },
- "$abc$24763$n3429_1": {
- "hide_name": 1,
- "bits": [ 2048 ],
- "attributes": {
- }
- },
- "$abc$24763$n3431": {
- "hide_name": 1,
- "bits": [ 2376 ],
- "attributes": {
- }
- },
- "$abc$24763$n3433": {
- "hide_name": 1,
- "bits": [ 2377 ],
- "attributes": {
- }
- },
- "$abc$24763$n3434": {
- "hide_name": 1,
- "bits": [ 2050 ],
- "attributes": {
- }
- },
- "$abc$24763$n3435": {
- "hide_name": 1,
- "bits": [ 2378 ],
- "attributes": {
- }
- },
- "$abc$24763$n3437": {
- "hide_name": 1,
- "bits": [ 2379 ],
- "attributes": {
- }
- },
- "$abc$24763$n3437_1": {
- "hide_name": 1,
- "bits": [ 2049 ],
- "attributes": {
- }
- },
- "$abc$24763$n3438": {
- "hide_name": 1,
- "bits": [ 2052 ],
- "attributes": {
- }
- },
- "$abc$24763$n3439": {
- "hide_name": 1,
- "bits": [ 2051 ],
- "attributes": {
- }
- },
- "$abc$24763$n3440": {
- "hide_name": 1,
- "bits": [ 1853 ],
- "attributes": {
- }
- },
- "$abc$24763$n3441": {
- "hide_name": 1,
- "bits": [ 2054 ],
- "attributes": {
- }
- },
- "$abc$24763$n3442": {
- "hide_name": 1,
- "bits": [ 2056 ],
- "attributes": {
- }
- },
- "$abc$24763$n3443": {
- "hide_name": 1,
- "bits": [ 2058 ],
- "attributes": {
- }
- },
- "$abc$24763$n3444": {
- "hide_name": 1,
- "bits": [ 2060 ],
- "attributes": {
- }
- },
- "$abc$24763$n3445": {
- "hide_name": 1,
- "bits": [ 2059 ],
- "attributes": {
- }
- },
- "$abc$24763$n3446": {
- "hide_name": 1,
- "bits": [ 2057 ],
- "attributes": {
- }
- },
- "$abc$24763$n3447": {
- "hide_name": 1,
- "bits": [ 2063 ],
- "attributes": {
- }
- },
- "$abc$24763$n3448": {
- "hide_name": 1,
- "bits": [ 2055 ],
- "attributes": {
- }
- },
- "$abc$24763$n3449": {
- "hide_name": 1,
- "bits": [ 2066 ],
- "attributes": {
- }
- },
- "$abc$24763$n3450": {
- "hide_name": 1,
- "bits": [ 2065 ],
- "attributes": {
- }
- },
- "$abc$24763$n3451": {
- "hide_name": 1,
- "bits": [ 2053 ],
- "attributes": {
- }
- },
- "$abc$24763$n3452": {
- "hide_name": 1,
- "bits": [ 1854 ],
- "attributes": {
- }
- },
- "$abc$24763$n3456": {
- "hide_name": 1,
- "bits": [ 2070 ],
- "attributes": {
- }
- },
- "$abc$24763$n3457": {
- "hide_name": 1,
- "bits": [ 2069 ],
- "attributes": {
- }
- },
- "$abc$24763$n3458": {
- "hide_name": 1,
- "bits": [ 2072 ],
- "attributes": {
- }
- },
- "$abc$24763$n3459": {
- "hide_name": 1,
- "bits": [ 2073 ],
- "attributes": {
- }
- },
- "$abc$24763$n346": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$24763$n3460": {
- "hide_name": 1,
- "bits": [ 2071 ],
- "attributes": {
- }
- },
- "$abc$24763$n3461": {
- "hide_name": 1,
- "bits": [ 2068 ],
- "attributes": {
- }
- },
- "$abc$24763$n3462": {
- "hide_name": 1,
- "bits": [ 2074 ],
- "attributes": {
- }
- },
- "$abc$24763$n3463": {
- "hide_name": 1,
- "bits": [ 2075 ],
- "attributes": {
- }
- },
- "$abc$24763$n3465": {
- "hide_name": 1,
- "bits": [ 2076 ],
- "attributes": {
- }
- },
- "$abc$24763$n3466": {
- "hide_name": 1,
- "bits": [ 2080 ],
- "attributes": {
- }
- },
- "$abc$24763$n3467": {
- "hide_name": 1,
- "bits": [ 2082 ],
- "attributes": {
- }
- },
- "$abc$24763$n3468": {
- "hide_name": 1,
- "bits": [ 2081 ],
- "attributes": {
- }
- },
- "$abc$24763$n3469": {
- "hide_name": 1,
- "bits": [ 2079 ],
- "attributes": {
- }
- },
- "$abc$24763$n3470_1": {
- "hide_name": 1,
- "bits": [ 2077 ],
- "attributes": {
- }
- },
- "$abc$24763$n3472_1": {
- "hide_name": 1,
- "bits": [ 2083 ],
- "attributes": {
- }
- },
- "$abc$24763$n3473_1": {
- "hide_name": 1,
- "bits": [ 2085 ],
- "attributes": {
- }
- },
- "$abc$24763$n3474_1": {
- "hide_name": 1,
- "bits": [ 2088 ],
- "attributes": {
- }
- },
- "$abc$24763$n3475_1": {
- "hide_name": 1,
- "bits": [ 2086 ],
- "attributes": {
- }
- },
- "$abc$24763$n3476": {
- "hide_name": 1,
- "bits": [ 2087 ],
- "attributes": {
- }
- },
- "$abc$24763$n3478": {
- "hide_name": 1,
- "bits": [ 2089 ],
- "attributes": {
- }
- },
- "$abc$24763$n3479": {
- "hide_name": 1,
- "bits": [ 2092 ],
- "attributes": {
- }
- },
- "$abc$24763$n3480": {
- "hide_name": 1,
- "bits": [ 2090 ],
- "attributes": {
- }
- },
- "$abc$24763$n3484": {
- "hide_name": 1,
- "bits": [ 2093 ],
- "attributes": {
- }
- },
- "$abc$24763$n3485": {
- "hide_name": 1,
- "bits": [ 2094 ],
- "attributes": {
- }
- },
- "$abc$24763$n3488": {
- "hide_name": 1,
- "bits": [ 2095 ],
- "attributes": {
- }
- },
- "$abc$24763$n3490": {
- "hide_name": 1,
- "bits": [ 2096 ],
- "attributes": {
- }
- },
- "$abc$24763$n3492": {
- "hide_name": 1,
- "bits": [ 2099 ],
- "attributes": {
- }
- },
- "$abc$24763$n3493": {
- "hide_name": 1,
- "bits": [ 2102 ],
- "attributes": {
- }
- },
- "$abc$24763$n3494": {
- "hide_name": 1,
- "bits": [ 2105 ],
- "attributes": {
- }
- },
- "$abc$24763$n3495": {
- "hide_name": 1,
- "bits": [ 2108 ],
- "attributes": {
- }
- },
- "$abc$24763$n3496": {
- "hide_name": 1,
- "bits": [ 2114 ],
- "attributes": {
- }
- },
- "$abc$24763$n3497": {
- "hide_name": 1,
- "bits": [ 2116 ],
- "attributes": {
- }
- },
- "$abc$24763$n3498": {
- "hide_name": 1,
- "bits": [ 2118 ],
- "attributes": {
- }
- },
- "$abc$24763$n3499": {
- "hide_name": 1,
- "bits": [ 2121 ],
- "attributes": {
- }
- },
- "$abc$24763$n3500": {
- "hide_name": 1,
- "bits": [ 2120 ],
- "attributes": {
- }
- },
- "$abc$24763$n3501": {
- "hide_name": 1,
- "bits": [ 2119 ],
- "attributes": {
- }
- },
- "$abc$24763$n3502": {
- "hide_name": 1,
- "bits": [ 2117 ],
- "attributes": {
- }
- },
- "$abc$24763$n3503": {
- "hide_name": 1,
- "bits": [ 2125 ],
- "attributes": {
- }
- },
- "$abc$24763$n3504": {
- "hide_name": 1,
- "bits": [ 2124 ],
- "attributes": {
- }
- },
- "$abc$24763$n3505": {
- "hide_name": 1,
- "bits": [ 2115 ],
- "attributes": {
- }
- },
- "$abc$24763$n3506": {
- "hide_name": 1,
- "bits": [ 2129 ],
- "attributes": {
- }
- },
- "$abc$24763$n3507": {
- "hide_name": 1,
- "bits": [ 2131 ],
- "attributes": {
- }
- },
- "$abc$24763$n3508": {
- "hide_name": 1,
- "bits": [ 2130 ],
- "attributes": {
- }
- },
- "$abc$24763$n3509": {
- "hide_name": 1,
- "bits": [ 2128 ],
- "attributes": {
- }
- },
- "$abc$24763$n351": {
- "hide_name": 1,
- "bits": [ 302 ],
- "attributes": {
- }
- },
- "$abc$24763$n3510": {
- "hide_name": 1,
- "bits": [ 2135 ],
- "attributes": {
- }
- },
- "$abc$24763$n3511": {
- "hide_name": 1,
- "bits": [ 2134 ],
- "attributes": {
- }
- },
- "$abc$24763$n3512": {
- "hide_name": 1,
- "bits": [ 2112 ],
- "attributes": {
- }
- },
- "$abc$24763$n3513": {
- "hide_name": 1,
- "bits": [ 2139 ],
- "attributes": {
- }
- },
- "$abc$24763$n3514": {
- "hide_name": 1,
- "bits": [ 2141 ],
- "attributes": {
- }
- },
- "$abc$24763$n3515": {
- "hide_name": 1,
- "bits": [ 2140 ],
- "attributes": {
- }
- },
- "$abc$24763$n3516": {
- "hide_name": 1,
- "bits": [ 2138 ],
- "attributes": {
- }
- },
- "$abc$24763$n3517": {
- "hide_name": 1,
- "bits": [ 2145 ],
- "attributes": {
- }
- },
- "$abc$24763$n3518": {
- "hide_name": 1,
- "bits": [ 2144 ],
- "attributes": {
- }
- },
- "$abc$24763$n3519": {
- "hide_name": 1,
- "bits": [ 2113 ],
- "attributes": {
- }
- },
- "$abc$24763$n3520": {
- "hide_name": 1,
- "bits": [ 2148 ],
- "attributes": {
- }
- },
- "$abc$24763$n3521": {
- "hide_name": 1,
- "bits": [ 2109 ],
- "attributes": {
- }
- },
- "$abc$24763$n3522": {
- "hide_name": 1,
- "bits": [ 2151 ],
- "attributes": {
- }
- },
- "$abc$24763$n3523": {
- "hide_name": 1,
- "bits": [ 2153 ],
- "attributes": {
- }
- },
- "$abc$24763$n3524": {
- "hide_name": 1,
- "bits": [ 2152 ],
- "attributes": {
- }
- },
- "$abc$24763$n3525_1": {
- "hide_name": 1,
- "bits": [ 2150 ],
- "attributes": {
- }
- },
- "$abc$24763$n3526": {
- "hide_name": 1,
- "bits": [ 2155 ],
- "attributes": {
- }
- },
- "$abc$24763$n3527": {
- "hide_name": 1,
- "bits": [ 2154 ],
- "attributes": {
- }
- },
- "$abc$24763$n3528_1": {
- "hide_name": 1,
- "bits": [ 2156 ],
- "attributes": {
- }
- },
- "$abc$24763$n3529": {
- "hide_name": 1,
- "bits": [ 2158 ],
- "attributes": {
- }
- },
- "$abc$24763$n353": {
- "hide_name": 1,
- "bits": [ 300 ],
- "attributes": {
- }
- },
- "$abc$24763$n3530": {
- "hide_name": 1,
- "bits": [ 2157 ],
- "attributes": {
- }
- },
- "$abc$24763$n3531_1": {
- "hide_name": 1,
- "bits": [ 2110 ],
- "attributes": {
- }
- },
- "$abc$24763$n3534_1": {
- "hide_name": 1,
- "bits": [ 2164 ],
- "attributes": {
- }
- },
- "$abc$24763$n3535": {
- "hide_name": 1,
- "bits": [ 2165 ],
- "attributes": {
- }
- },
- "$abc$24763$n3537_1": {
- "hide_name": 1,
- "bits": [ 2166 ],
- "attributes": {
- }
- },
- "$abc$24763$n3538": {
- "hide_name": 1,
- "bits": [ 2167 ],
- "attributes": {
- }
- },
- "$abc$24763$n3539": {
- "hide_name": 1,
- "bits": [ 2161 ],
- "attributes": {
- }
- },
- "$abc$24763$n3540_1": {
- "hide_name": 1,
- "bits": [ 2169 ],
- "attributes": {
- }
- },
- "$abc$24763$n3541": {
- "hide_name": 1,
- "bits": [ 2168 ],
- "attributes": {
- }
- },
- "$abc$24763$n3542": {
- "hide_name": 1,
- "bits": [ 2162 ],
- "attributes": {
- }
- },
- "$abc$24763$n3543_1": {
- "hide_name": 1,
- "bits": [ 2170 ],
- "attributes": {
- }
- },
- "$abc$24763$n3544": {
- "hide_name": 1,
- "bits": [ 2171 ],
- "attributes": {
- }
- },
- "$abc$24763$n3545": {
- "hide_name": 1,
- "bits": [ 2111 ],
- "attributes": {
- }
- },
- "$abc$24763$n3546_1": {
- "hide_name": 1,
- "bits": [ 2106 ],
- "attributes": {
- }
- },
- "$abc$24763$n3547": {
- "hide_name": 1,
- "bits": [ 2172 ],
- "attributes": {
- }
- },
- "$abc$24763$n3548": {
- "hide_name": 1,
- "bits": [ 2173 ],
- "attributes": {
- }
- },
- "$abc$24763$n3549_1": {
- "hide_name": 1,
- "bits": [ 2177 ],
- "attributes": {
- }
- },
- "$abc$24763$n3550": {
- "hide_name": 1,
- "bits": [ 2178 ],
- "attributes": {
- }
- },
- "$abc$24763$n3551": {
- "hide_name": 1,
- "bits": [ 2176 ],
- "attributes": {
- }
- },
- "$abc$24763$n3552_1": {
- "hide_name": 1,
- "bits": [ 2180 ],
- "attributes": {
- }
- },
- "$abc$24763$n3553": {
- "hide_name": 1,
- "bits": [ 2179 ],
- "attributes": {
- }
- },
- "$abc$24763$n3554": {
- "hide_name": 1,
- "bits": [ 2174 ],
- "attributes": {
- }
- },
- "$abc$24763$n3555_1": {
- "hide_name": 1,
- "bits": [ 2181 ],
- "attributes": {
- }
- },
- "$abc$24763$n3556": {
- "hide_name": 1,
- "bits": [ 2175 ],
- "attributes": {
- }
- },
- "$abc$24763$n3557": {
- "hide_name": 1,
- "bits": [ 2184 ],
- "attributes": {
- }
- },
- "$abc$24763$n3558_1": {
- "hide_name": 1,
- "bits": [ 2182 ],
- "attributes": {
- }
- },
- "$abc$24763$n3559": {
- "hide_name": 1,
- "bits": [ 2183 ],
- "attributes": {
- }
- },
- "$abc$24763$n3560": {
- "hide_name": 1,
- "bits": [ 2107 ],
- "attributes": {
- }
- },
- "$abc$24763$n3561_1": {
- "hide_name": 1,
- "bits": [ 2185 ],
- "attributes": {
- }
- },
- "$abc$24763$n3562": {
- "hide_name": 1,
- "bits": [ 2189 ],
- "attributes": {
- }
- },
- "$abc$24763$n3563": {
- "hide_name": 1,
- "bits": [ 2186 ],
- "attributes": {
- }
- },
- "$abc$24763$n3564_1": {
- "hide_name": 1,
- "bits": [ 2190 ],
- "attributes": {
- }
- },
- "$abc$24763$n3565": {
- "hide_name": 1,
- "bits": [ 2187 ],
- "attributes": {
- }
- },
- "$abc$24763$n3566": {
- "hide_name": 1,
- "bits": [ 2191 ],
- "attributes": {
- }
- },
- "$abc$24763$n3567_1": {
- "hide_name": 1,
- "bits": [ 2192 ],
- "attributes": {
- }
- },
- "$abc$24763$n3568": {
- "hide_name": 1,
- "bits": [ 2193 ],
- "attributes": {
- }
- },
- "$abc$24763$n3569": {
- "hide_name": 1,
- "bits": [ 2196 ],
- "attributes": {
- }
- },
- "$abc$24763$n3570_1": {
- "hide_name": 1,
- "bits": [ 2195 ],
- "attributes": {
- }
- },
- "$abc$24763$n3571": {
- "hide_name": 1,
- "bits": [ 2197 ],
- "attributes": {
- }
- },
- "$abc$24763$n3572_1": {
- "hide_name": 1,
- "bits": [ 2194 ],
- "attributes": {
- }
- },
- "$abc$24763$n3573_1": {
- "hide_name": 1,
- "bits": [ 2188 ],
- "attributes": {
- }
- },
- "$abc$24763$n3574_1": {
- "hide_name": 1,
- "bits": [ 2103 ],
- "attributes": {
- }
- },
- "$abc$24763$n3575_1": {
- "hide_name": 1,
- "bits": [ 2198 ],
- "attributes": {
- }
- },
- "$abc$24763$n3576_1": {
- "hide_name": 1,
- "bits": [ 2202 ],
- "attributes": {
- }
- },
- "$abc$24763$n3577_1": {
- "hide_name": 1,
- "bits": [ 2204 ],
- "attributes": {
- }
- },
- "$abc$24763$n3578_1": {
- "hide_name": 1,
- "bits": [ 2206 ],
- "attributes": {
- }
- },
- "$abc$24763$n3579_1": {
- "hide_name": 1,
- "bits": [ 2208 ],
- "attributes": {
- }
- },
- "$abc$24763$n358": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$24763$n3580_1": {
- "hide_name": 1,
- "bits": [ 2210 ],
- "attributes": {
- }
- },
- "$abc$24763$n3581_1": {
- "hide_name": 1,
- "bits": [ 2209 ],
- "attributes": {
- }
- },
- "$abc$24763$n3582_1": {
- "hide_name": 1,
- "bits": [ 2207 ],
- "attributes": {
- }
- },
- "$abc$24763$n3583_1": {
- "hide_name": 1,
- "bits": [ 2214 ],
- "attributes": {
- }
- },
- "$abc$24763$n3584_1": {
- "hide_name": 1,
- "bits": [ 2213 ],
- "attributes": {
- }
- },
- "$abc$24763$n3585_1": {
- "hide_name": 1,
- "bits": [ 2205 ],
- "attributes": {
- }
- },
- "$abc$24763$n3586_1": {
- "hide_name": 1,
- "bits": [ 2218 ],
- "attributes": {
- }
- },
- "$abc$24763$n3587_1": {
- "hide_name": 1,
- "bits": [ 2220 ],
- "attributes": {
- }
- },
- "$abc$24763$n3588_1": {
- "hide_name": 1,
- "bits": [ 2219 ],
- "attributes": {
- }
- },
- "$abc$24763$n3589_1": {
- "hide_name": 1,
- "bits": [ 2217 ],
- "attributes": {
- }
- },
- "$abc$24763$n3590_1": {
- "hide_name": 1,
- "bits": [ 2224 ],
- "attributes": {
- }
- },
- "$abc$24763$n3591_1": {
- "hide_name": 1,
- "bits": [ 2223 ],
- "attributes": {
- }
- },
- "$abc$24763$n3592_1": {
- "hide_name": 1,
- "bits": [ 2203 ],
- "attributes": {
- }
- },
- "$abc$24763$n3593_1": {
- "hide_name": 1,
- "bits": [ 2199 ],
- "attributes": {
- }
- },
- "$abc$24763$n3594_1": {
- "hide_name": 1,
- "bits": [ 2227 ],
- "attributes": {
- }
- },
- "$abc$24763$n3595_1": {
- "hide_name": 1,
- "bits": [ 2229 ],
- "attributes": {
- }
- },
- "$abc$24763$n3596_1": {
- "hide_name": 1,
- "bits": [ 2228 ],
- "attributes": {
- }
- },
- "$abc$24763$n3597_1": {
- "hide_name": 1,
- "bits": [ 2230 ],
- "attributes": {
- }
- },
- "$abc$24763$n3598_1": {
- "hide_name": 1,
- "bits": [ 2200 ],
- "attributes": {
- }
- },
- "$abc$24763$n3599_1": {
- "hide_name": 1,
- "bits": [ 2232 ],
- "attributes": {
- }
- },
- "$abc$24763$n3600_1": {
- "hide_name": 1,
- "bits": [ 2235 ],
- "attributes": {
- }
- },
- "$abc$24763$n3601_1": {
- "hide_name": 1,
- "bits": [ 2234 ],
- "attributes": {
- }
- },
- "$abc$24763$n3602_1": {
- "hide_name": 1,
- "bits": [ 2238 ],
- "attributes": {
- }
- },
- "$abc$24763$n3603_1": {
- "hide_name": 1,
- "bits": [ 2240 ],
- "attributes": {
- }
- },
- "$abc$24763$n3604": {
- "hide_name": 1,
- "bits": [ 2239 ],
- "attributes": {
- }
- },
- "$abc$24763$n3605": {
- "hide_name": 1,
- "bits": [ 2237 ],
- "attributes": {
- }
- },
- "$abc$24763$n3606": {
- "hide_name": 1,
- "bits": [ 2236 ],
- "attributes": {
- }
- },
- "$abc$24763$n3607": {
- "hide_name": 1,
- "bits": [ 2231 ],
- "attributes": {
- }
- },
- "$abc$24763$n3608": {
- "hide_name": 1,
- "bits": [ 2241 ],
- "attributes": {
- }
- },
- "$abc$24763$n3609": {
- "hide_name": 1,
- "bits": [ 2243 ],
- "attributes": {
- }
- },
- "$abc$24763$n3610": {
- "hide_name": 1,
- "bits": [ 2242 ],
- "attributes": {
- }
- },
- "$abc$24763$n3611": {
- "hide_name": 1,
- "bits": [ 2245 ],
- "attributes": {
- }
- },
- "$abc$24763$n3612": {
- "hide_name": 1,
- "bits": [ 2244 ],
- "attributes": {
- }
- },
- "$abc$24763$n3613": {
- "hide_name": 1,
- "bits": [ 2233 ],
- "attributes": {
- }
- },
- "$abc$24763$n3614": {
- "hide_name": 1,
- "bits": [ 2201 ],
- "attributes": {
- }
- },
- "$abc$24763$n3615": {
- "hide_name": 1,
- "bits": [ 2246 ],
- "attributes": {
- }
- },
- "$abc$24763$n3616": {
- "hide_name": 1,
- "bits": [ 2247 ],
- "attributes": {
- }
- },
- "$abc$24763$n3617": {
- "hide_name": 1,
- "bits": [ 2249 ],
- "attributes": {
- }
- },
- "$abc$24763$n3618": {
- "hide_name": 1,
- "bits": [ 2251 ],
- "attributes": {
- }
- },
- "$abc$24763$n3619": {
- "hide_name": 1,
- "bits": [ 2250 ],
- "attributes": {
- }
- },
- "$abc$24763$n362": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$24763$n3620": {
- "hide_name": 1,
- "bits": [ 2248 ],
- "attributes": {
- }
- },
- "$abc$24763$n3621": {
- "hide_name": 1,
- "bits": [ 2252 ],
- "attributes": {
- }
- },
- "$abc$24763$n3622": {
- "hide_name": 1,
- "bits": [ 2104 ],
- "attributes": {
- }
- },
- "$abc$24763$n3623": {
- "hide_name": 1,
- "bits": [ 2253 ],
- "attributes": {
- }
- },
- "$abc$24763$n3624": {
- "hide_name": 1,
- "bits": [ 2256 ],
- "attributes": {
- }
- },
- "$abc$24763$n3625": {
- "hide_name": 1,
- "bits": [ 2257 ],
- "attributes": {
- }
- },
- "$abc$24763$n3626": {
- "hide_name": 1,
- "bits": [ 2254 ],
- "attributes": {
- }
- },
- "$abc$24763$n3627": {
- "hide_name": 1,
- "bits": [ 2258 ],
- "attributes": {
- }
- },
- "$abc$24763$n3628": {
- "hide_name": 1,
- "bits": [ 2259 ],
- "attributes": {
- }
- },
- "$abc$24763$n3629": {
- "hide_name": 1,
- "bits": [ 2260 ],
- "attributes": {
- }
- },
- "$abc$24763$n3630": {
- "hide_name": 1,
- "bits": [ 2261 ],
- "attributes": {
- }
- },
- "$abc$24763$n3631": {
- "hide_name": 1,
- "bits": [ 2262 ],
- "attributes": {
- }
- },
- "$abc$24763$n3632": {
- "hide_name": 1,
- "bits": [ 2255 ],
- "attributes": {
- }
- },
- "$abc$24763$n3633": {
- "hide_name": 1,
- "bits": [ 2264 ],
- "attributes": {
- }
- },
- "$abc$24763$n3634": {
- "hide_name": 1,
- "bits": [ 2265 ],
- "attributes": {
- }
- },
- "$abc$24763$n3635": {
- "hide_name": 1,
- "bits": [ 2266 ],
- "attributes": {
- }
- },
- "$abc$24763$n3637": {
- "hide_name": 1,
- "bits": [ 2270 ],
- "attributes": {
- }
- },
- "$abc$24763$n3638": {
- "hide_name": 1,
- "bits": [ 2267 ],
- "attributes": {
- }
- },
- "$abc$24763$n3639": {
- "hide_name": 1,
- "bits": [ 2268 ],
- "attributes": {
- }
- },
- "$abc$24763$n3640": {
- "hide_name": 1,
- "bits": [ 2271 ],
- "attributes": {
- }
- },
- "$abc$24763$n3641": {
- "hide_name": 1,
- "bits": [ 2269 ],
- "attributes": {
- }
- },
- "$abc$24763$n3645": {
- "hide_name": 1,
- "bits": [ 2272 ],
- "attributes": {
- }
- },
- "$abc$24763$n3647": {
- "hide_name": 1,
- "bits": [ 2273 ],
- "attributes": {
- }
- },
- "$abc$24763$n3648": {
- "hide_name": 1,
- "bits": [ 2098 ],
- "attributes": {
- }
- },
- "$abc$24763$n3649": {
- "hide_name": 1,
- "bits": [ 2274 ],
- "attributes": {
- }
- },
- "$abc$24763$n3650": {
- "hide_name": 1,
- "bits": [ 2275 ],
- "attributes": {
- }
- },
- "$abc$24763$n3651": {
- "hide_name": 1,
- "bits": [ 2277 ],
- "attributes": {
- }
- },
- "$abc$24763$n3652": {
- "hide_name": 1,
- "bits": [ 2279 ],
- "attributes": {
- }
- },
- "$abc$24763$n3653_1": {
- "hide_name": 1,
- "bits": [ 2281 ],
- "attributes": {
- }
- },
- "$abc$24763$n3654": {
- "hide_name": 1,
- "bits": [ 2280 ],
- "attributes": {
- }
- },
- "$abc$24763$n3655": {
- "hide_name": 1,
- "bits": [ 2278 ],
- "attributes": {
- }
- },
- "$abc$24763$n3656_1": {
- "hide_name": 1,
- "bits": [ 2284 ],
- "attributes": {
- }
- },
- "$abc$24763$n3657": {
- "hide_name": 1,
- "bits": [ 2276 ],
- "attributes": {
- }
- },
- "$abc$24763$n3658": {
- "hide_name": 1,
- "bits": [ 2287 ],
- "attributes": {
- }
- },
- "$abc$24763$n3659_1": {
- "hide_name": 1,
- "bits": [ 2286 ],
- "attributes": {
- }
- },
- "$abc$24763$n3664": {
- "hide_name": 1,
- "bits": [ 2290 ],
- "attributes": {
- }
- },
- "$abc$24763$n3665_1": {
- "hide_name": 1,
- "bits": [ 2289 ],
- "attributes": {
- }
- },
- "$abc$24763$n3667": {
- "hide_name": 1,
- "bits": [ 2292 ],
- "attributes": {
- }
- },
- "$abc$24763$n3668_1": {
- "hide_name": 1,
- "bits": [ 2291 ],
- "attributes": {
- }
- },
- "$abc$24763$n367": {
- "hide_name": 1,
- "bits": [ 314 ],
- "attributes": {
- }
- },
- "$abc$24763$n3670": {
- "hide_name": 1,
- "bits": [ 2293 ],
- "attributes": {
- }
- },
- "$abc$24763$n3671_1": {
- "hide_name": 1,
- "bits": [ 2294 ],
- "attributes": {
- }
- },
- "$abc$24763$n3673": {
- "hide_name": 1,
- "bits": [ 2295 ],
- "attributes": {
- }
- },
- "$abc$24763$n3674_1": {
- "hide_name": 1,
- "bits": [ 2297 ],
- "attributes": {
- }
- },
- "$abc$24763$n3675": {
- "hide_name": 1,
- "bits": [ 2298 ],
- "attributes": {
- }
- },
- "$abc$24763$n3676": {
- "hide_name": 1,
- "bits": [ 2300 ],
- "attributes": {
- }
- },
- "$abc$24763$n3677_1": {
- "hide_name": 1,
- "bits": [ 2299 ],
- "attributes": {
- }
- },
- "$abc$24763$n3679": {
- "hide_name": 1,
- "bits": [ 2301 ],
- "attributes": {
- }
- },
- "$abc$24763$n3680_1": {
- "hide_name": 1,
- "bits": [ 2303 ],
- "attributes": {
- }
- },
- "$abc$24763$n3681": {
- "hide_name": 1,
- "bits": [ 2304 ],
- "attributes": {
- }
- },
- "$abc$24763$n3682": {
- "hide_name": 1,
- "bits": [ 2305 ],
- "attributes": {
- }
- },
- "$abc$24763$n3684": {
- "hide_name": 1,
- "bits": [ 2306 ],
- "attributes": {
- }
- },
- "$abc$24763$n3685": {
- "hide_name": 1,
- "bits": [ 2308 ],
- "attributes": {
- }
- },
- "$abc$24763$n3686_1": {
- "hide_name": 1,
- "bits": [ 2309 ],
- "attributes": {
- }
- },
- "$abc$24763$n3688": {
- "hide_name": 1,
- "bits": [ 2310 ],
- "attributes": {
- }
- },
- "$abc$24763$n3689_1": {
- "hide_name": 1,
- "bits": [ 2312 ],
- "attributes": {
- }
- },
- "$abc$24763$n3690": {
- "hide_name": 1,
- "bits": [ 2314 ],
- "attributes": {
- }
- },
- "$abc$24763$n3691": {
- "hide_name": 1,
- "bits": [ 2313 ],
- "attributes": {
- }
- },
- "$abc$24763$n3693": {
- "hide_name": 1,
- "bits": [ 2315 ],
- "attributes": {
- }
- },
- "$abc$24763$n3694": {
- "hide_name": 1,
- "bits": [ 2317 ],
- "attributes": {
- }
- },
- "$abc$24763$n3695_1": {
- "hide_name": 1,
- "bits": [ 2318 ],
- "attributes": {
- }
- },
- "$abc$24763$n3718_1": {
- "hide_name": 1,
- "bits": [ 2362 ],
- "attributes": {
- }
- },
- "$abc$24763$n3719_1": {
- "hide_name": 1,
- "bits": [ 2363 ],
- "attributes": {
- }
- },
- "$abc$24763$n373": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$24763$n3739": {
- "hide_name": 1,
- "bits": [ 2383 ],
- "attributes": {
- }
- },
- "$abc$24763$n374": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$24763$n3740": {
- "hide_name": 1,
- "bits": [ 2385 ],
- "attributes": {
- }
- },
- "$abc$24763$n3741": {
- "hide_name": 1,
- "bits": [ 2387 ],
- "attributes": {
- }
- },
- "$abc$24763$n3742": {
- "hide_name": 1,
- "bits": [ 2388 ],
- "attributes": {
- }
- },
- "$abc$24763$n3743": {
- "hide_name": 1,
- "bits": [ 2390 ],
- "attributes": {
- }
- },
- "$abc$24763$n3744": {
- "hide_name": 1,
- "bits": [ 2391 ],
- "attributes": {
- }
- },
- "$abc$24763$n3745": {
- "hide_name": 1,
- "bits": [ 2392 ],
- "attributes": {
- }
- },
- "$abc$24763$n3746": {
- "hide_name": 1,
- "bits": [ 2389 ],
- "attributes": {
- }
- },
- "$abc$24763$n3747": {
- "hide_name": 1,
- "bits": [ 2398 ],
- "attributes": {
- }
- },
- "$abc$24763$n3748": {
- "hide_name": 1,
- "bits": [ 2399 ],
- "attributes": {
- }
- },
- "$abc$24763$n3749": {
- "hide_name": 1,
- "bits": [ 2400 ],
- "attributes": {
- }
- },
- "$abc$24763$n3750": {
- "hide_name": 1,
- "bits": [ 2384 ],
- "attributes": {
- }
- },
- "$abc$24763$n3752": {
- "hide_name": 1,
- "bits": [ 2404 ],
- "attributes": {
- }
- },
- "$abc$24763$n3753": {
- "hide_name": 1,
- "bits": [ 2406 ],
- "attributes": {
- }
- },
- "$abc$24763$n3754": {
- "hide_name": 1,
- "bits": [ 2408 ],
- "attributes": {
- }
- },
- "$abc$24763$n3755": {
- "hide_name": 1,
- "bits": [ 2409 ],
- "attributes": {
- }
- },
- "$abc$24763$n3756": {
- "hide_name": 1,
- "bits": [ 2411 ],
- "attributes": {
- }
- },
- "$abc$24763$n3757": {
- "hide_name": 1,
- "bits": [ 2412 ],
- "attributes": {
- }
- },
- "$abc$24763$n3758": {
- "hide_name": 1,
- "bits": [ 2413 ],
- "attributes": {
- }
- },
- "$abc$24763$n3759": {
- "hide_name": 1,
- "bits": [ 2410 ],
- "attributes": {
- }
- },
- "$abc$24763$n3760": {
- "hide_name": 1,
- "bits": [ 2419 ],
- "attributes": {
- }
- },
- "$abc$24763$n3761": {
- "hide_name": 1,
- "bits": [ 2420 ],
- "attributes": {
- }
- },
- "$abc$24763$n3762": {
- "hide_name": 1,
- "bits": [ 2421 ],
- "attributes": {
- }
- },
- "$abc$24763$n3763": {
- "hide_name": 1,
- "bits": [ 2405 ],
- "attributes": {
- }
- },
- "$abc$24763$n3765": {
- "hide_name": 1,
- "bits": [ 2425 ],
- "attributes": {
- }
- },
- "$abc$24763$n3766": {
- "hide_name": 1,
- "bits": [ 2427 ],
- "attributes": {
- }
- },
- "$abc$24763$n3767": {
- "hide_name": 1,
- "bits": [ 2429 ],
- "attributes": {
- }
- },
- "$abc$24763$n3768": {
- "hide_name": 1,
- "bits": [ 2430 ],
- "attributes": {
- }
- },
- "$abc$24763$n3769": {
- "hide_name": 1,
- "bits": [ 2432 ],
- "attributes": {
- }
- },
- "$abc$24763$n3770": {
- "hide_name": 1,
- "bits": [ 2433 ],
- "attributes": {
- }
- },
- "$abc$24763$n3771": {
- "hide_name": 1,
- "bits": [ 2434 ],
- "attributes": {
- }
- },
- "$abc$24763$n3772": {
- "hide_name": 1,
- "bits": [ 2431 ],
- "attributes": {
- }
- },
- "$abc$24763$n3773": {
- "hide_name": 1,
- "bits": [ 2440 ],
- "attributes": {
- }
- },
- "$abc$24763$n3774": {
- "hide_name": 1,
- "bits": [ 2441 ],
- "attributes": {
- }
- },
- "$abc$24763$n3775": {
- "hide_name": 1,
- "bits": [ 2442 ],
- "attributes": {
- }
- },
- "$abc$24763$n3776": {
- "hide_name": 1,
- "bits": [ 2426 ],
- "attributes": {
- }
- },
- "$abc$24763$n3778": {
- "hide_name": 1,
- "bits": [ 2446 ],
- "attributes": {
- }
- },
- "$abc$24763$n3779": {
- "hide_name": 1,
- "bits": [ 2448 ],
- "attributes": {
- }
- },
- "$abc$24763$n378": {
- "hide_name": 1,
- "bits": [ 327 ],
- "attributes": {
- }
- },
- "$abc$24763$n3780": {
- "hide_name": 1,
- "bits": [ 2450 ],
- "attributes": {
- }
- },
- "$abc$24763$n3781": {
- "hide_name": 1,
- "bits": [ 2451 ],
- "attributes": {
- }
- },
- "$abc$24763$n3782": {
- "hide_name": 1,
- "bits": [ 2453 ],
- "attributes": {
- }
- },
- "$abc$24763$n3783": {
- "hide_name": 1,
- "bits": [ 2454 ],
- "attributes": {
- }
- },
- "$abc$24763$n3784": {
- "hide_name": 1,
- "bits": [ 2455 ],
- "attributes": {
- }
- },
- "$abc$24763$n3785": {
- "hide_name": 1,
- "bits": [ 2452 ],
- "attributes": {
- }
- },
- "$abc$24763$n3786": {
- "hide_name": 1,
- "bits": [ 2461 ],
- "attributes": {
- }
- },
- "$abc$24763$n3787": {
- "hide_name": 1,
- "bits": [ 2462 ],
- "attributes": {
- }
- },
- "$abc$24763$n3788": {
- "hide_name": 1,
- "bits": [ 2463 ],
- "attributes": {
- }
- },
- "$abc$24763$n3789": {
- "hide_name": 1,
- "bits": [ 2447 ],
- "attributes": {
- }
- },
- "$abc$24763$n3791": {
- "hide_name": 1,
- "bits": [ 2467 ],
- "attributes": {
- }
- },
- "$abc$24763$n3792": {
- "hide_name": 1,
- "bits": [ 2469 ],
- "attributes": {
- }
- },
- "$abc$24763$n3793": {
- "hide_name": 1,
- "bits": [ 2471 ],
- "attributes": {
- }
- },
- "$abc$24763$n3794": {
- "hide_name": 1,
- "bits": [ 2472 ],
- "attributes": {
- }
- },
- "$abc$24763$n3795": {
- "hide_name": 1,
- "bits": [ 2474 ],
- "attributes": {
- }
- },
- "$abc$24763$n3796": {
- "hide_name": 1,
- "bits": [ 2475 ],
- "attributes": {
- }
- },
- "$abc$24763$n3797": {
- "hide_name": 1,
- "bits": [ 2476 ],
- "attributes": {
- }
- },
- "$abc$24763$n3798": {
- "hide_name": 1,
- "bits": [ 2473 ],
- "attributes": {
- }
- },
- "$abc$24763$n3799": {
- "hide_name": 1,
- "bits": [ 2482 ],
- "attributes": {
- }
- },
- "$abc$24763$n3800": {
- "hide_name": 1,
- "bits": [ 2483 ],
- "attributes": {
- }
- },
- "$abc$24763$n3801": {
- "hide_name": 1,
- "bits": [ 2484 ],
- "attributes": {
- }
- },
- "$abc$24763$n3802": {
- "hide_name": 1,
- "bits": [ 2468 ],
- "attributes": {
- }
- },
- "$abc$24763$n3804": {
- "hide_name": 1,
- "bits": [ 2488 ],
- "attributes": {
- }
- },
- "$abc$24763$n3805": {
- "hide_name": 1,
- "bits": [ 2490 ],
- "attributes": {
- }
- },
- "$abc$24763$n3806": {
- "hide_name": 1,
- "bits": [ 2492 ],
- "attributes": {
- }
- },
- "$abc$24763$n3807": {
- "hide_name": 1,
- "bits": [ 2493 ],
- "attributes": {
- }
- },
- "$abc$24763$n3808": {
- "hide_name": 1,
- "bits": [ 2495 ],
- "attributes": {
- }
- },
- "$abc$24763$n3809": {
- "hide_name": 1,
- "bits": [ 2496 ],
- "attributes": {
- }
- },
- "$abc$24763$n3810": {
- "hide_name": 1,
- "bits": [ 2497 ],
- "attributes": {
- }
- },
- "$abc$24763$n3811": {
- "hide_name": 1,
- "bits": [ 2494 ],
- "attributes": {
- }
- },
- "$abc$24763$n3812": {
- "hide_name": 1,
- "bits": [ 2503 ],
- "attributes": {
- }
- },
- "$abc$24763$n3813": {
- "hide_name": 1,
- "bits": [ 2504 ],
- "attributes": {
- }
- },
- "$abc$24763$n3814": {
- "hide_name": 1,
- "bits": [ 2505 ],
- "attributes": {
- }
- },
- "$abc$24763$n3815": {
- "hide_name": 1,
- "bits": [ 2489 ],
- "attributes": {
- }
- },
- "$abc$24763$n3817": {
- "hide_name": 1,
- "bits": [ 2509 ],
- "attributes": {
- }
- },
- "$abc$24763$n3818": {
- "hide_name": 1,
- "bits": [ 2511 ],
- "attributes": {
- }
- },
- "$abc$24763$n3819": {
- "hide_name": 1,
- "bits": [ 2513 ],
- "attributes": {
- }
- },
- "$abc$24763$n3820": {
- "hide_name": 1,
- "bits": [ 2514 ],
- "attributes": {
- }
- },
- "$abc$24763$n3821": {
- "hide_name": 1,
- "bits": [ 2516 ],
- "attributes": {
- }
- },
- "$abc$24763$n3822": {
- "hide_name": 1,
- "bits": [ 2517 ],
- "attributes": {
- }
- },
- "$abc$24763$n3823": {
- "hide_name": 1,
- "bits": [ 2518 ],
- "attributes": {
- }
- },
- "$abc$24763$n3824": {
- "hide_name": 1,
- "bits": [ 2515 ],
- "attributes": {
- }
- },
- "$abc$24763$n3825": {
- "hide_name": 1,
- "bits": [ 2524 ],
- "attributes": {
- }
- },
- "$abc$24763$n3826": {
- "hide_name": 1,
- "bits": [ 2525 ],
- "attributes": {
- }
- },
- "$abc$24763$n3827": {
- "hide_name": 1,
- "bits": [ 2526 ],
- "attributes": {
- }
- },
- "$abc$24763$n3828": {
- "hide_name": 1,
- "bits": [ 2510 ],
- "attributes": {
- }
- },
- "$abc$24763$n3830": {
- "hide_name": 1,
- "bits": [ 2530 ],
- "attributes": {
- }
- },
- "$abc$24763$n3831": {
- "hide_name": 1,
- "bits": [ 2532 ],
- "attributes": {
- }
- },
- "$abc$24763$n3832": {
- "hide_name": 1,
- "bits": [ 2534 ],
- "attributes": {
- }
- },
- "$abc$24763$n3833": {
- "hide_name": 1,
- "bits": [ 2535 ],
- "attributes": {
- }
- },
- "$abc$24763$n3834": {
- "hide_name": 1,
- "bits": [ 2537 ],
- "attributes": {
- }
- },
- "$abc$24763$n3835": {
- "hide_name": 1,
- "bits": [ 2538 ],
- "attributes": {
- }
- },
- "$abc$24763$n3836": {
- "hide_name": 1,
- "bits": [ 2539 ],
- "attributes": {
- }
- },
- "$abc$24763$n3837": {
- "hide_name": 1,
- "bits": [ 2536 ],
- "attributes": {
- }
- },
- "$abc$24763$n3838": {
- "hide_name": 1,
- "bits": [ 2545 ],
- "attributes": {
- }
- },
- "$abc$24763$n3839": {
- "hide_name": 1,
- "bits": [ 2546 ],
- "attributes": {
- }
- },
- "$abc$24763$n3840": {
- "hide_name": 1,
- "bits": [ 2547 ],
- "attributes": {
- }
- },
- "$abc$24763$n3841": {
- "hide_name": 1,
- "bits": [ 2531 ],
- "attributes": {
- }
- },
- "$abc$24763$n3843": {
- "hide_name": 1,
- "bits": [ 2551 ],
- "attributes": {
- }
- },
- "$abc$24763$n3844": {
- "hide_name": 1,
- "bits": [ 2553 ],
- "attributes": {
- }
- },
- "$abc$24763$n3845": {
- "hide_name": 1,
- "bits": [ 2555 ],
- "attributes": {
- }
- },
- "$abc$24763$n3846": {
- "hide_name": 1,
- "bits": [ 2556 ],
- "attributes": {
- }
- },
- "$abc$24763$n3847": {
- "hide_name": 1,
- "bits": [ 2558 ],
- "attributes": {
- }
- },
- "$abc$24763$n3848": {
- "hide_name": 1,
- "bits": [ 2559 ],
- "attributes": {
- }
- },
- "$abc$24763$n3849": {
- "hide_name": 1,
- "bits": [ 2560 ],
- "attributes": {
- }
- },
- "$abc$24763$n3850": {
- "hide_name": 1,
- "bits": [ 2557 ],
- "attributes": {
- }
- },
- "$abc$24763$n3851": {
- "hide_name": 1,
- "bits": [ 2566 ],
- "attributes": {
- }
- },
- "$abc$24763$n3852": {
- "hide_name": 1,
- "bits": [ 2567 ],
- "attributes": {
- }
- },
- "$abc$24763$n3853": {
- "hide_name": 1,
- "bits": [ 2568 ],
- "attributes": {
- }
- },
- "$abc$24763$n3854": {
- "hide_name": 1,
- "bits": [ 2552 ],
- "attributes": {
- }
- },
- "$abc$24763$n3856": {
- "hide_name": 1,
- "bits": [ 2572 ],
- "attributes": {
- }
- },
- "$abc$24763$n3857": {
- "hide_name": 1,
- "bits": [ 2574 ],
- "attributes": {
- }
- },
- "$abc$24763$n3858": {
- "hide_name": 1,
- "bits": [ 2576 ],
- "attributes": {
- }
- },
- "$abc$24763$n3859": {
- "hide_name": 1,
- "bits": [ 2577 ],
- "attributes": {
- }
- },
- "$abc$24763$n386": {
- "hide_name": 1,
- "bits": [ 334 ],
- "attributes": {
- }
- },
- "$abc$24763$n3860": {
- "hide_name": 1,
- "bits": [ 2579 ],
- "attributes": {
- }
- },
- "$abc$24763$n3861": {
- "hide_name": 1,
- "bits": [ 2580 ],
- "attributes": {
- }
- },
- "$abc$24763$n3862": {
- "hide_name": 1,
- "bits": [ 2581 ],
- "attributes": {
- }
- },
- "$abc$24763$n3863": {
- "hide_name": 1,
- "bits": [ 2578 ],
- "attributes": {
- }
- },
- "$abc$24763$n3864": {
- "hide_name": 1,
- "bits": [ 2587 ],
- "attributes": {
- }
- },
- "$abc$24763$n3865": {
- "hide_name": 1,
- "bits": [ 2588 ],
- "attributes": {
- }
- },
- "$abc$24763$n3866": {
- "hide_name": 1,
- "bits": [ 2589 ],
- "attributes": {
- }
- },
- "$abc$24763$n3867": {
- "hide_name": 1,
- "bits": [ 2573 ],
- "attributes": {
- }
- },
- "$abc$24763$n3869": {
- "hide_name": 1,
- "bits": [ 2593 ],
- "attributes": {
- }
- },
- "$abc$24763$n3870": {
- "hide_name": 1,
- "bits": [ 2595 ],
- "attributes": {
- }
- },
- "$abc$24763$n3871": {
- "hide_name": 1,
- "bits": [ 2597 ],
- "attributes": {
- }
- },
- "$abc$24763$n3872": {
- "hide_name": 1,
- "bits": [ 2598 ],
- "attributes": {
- }
- },
- "$abc$24763$n3873": {
- "hide_name": 1,
- "bits": [ 2600 ],
- "attributes": {
- }
- },
- "$abc$24763$n3874": {
- "hide_name": 1,
- "bits": [ 2601 ],
- "attributes": {
- }
- },
- "$abc$24763$n3875": {
- "hide_name": 1,
- "bits": [ 2602 ],
- "attributes": {
- }
- },
- "$abc$24763$n3876": {
- "hide_name": 1,
- "bits": [ 2599 ],
- "attributes": {
- }
- },
- "$abc$24763$n3877": {
- "hide_name": 1,
- "bits": [ 2608 ],
- "attributes": {
- }
- },
- "$abc$24763$n3878": {
- "hide_name": 1,
- "bits": [ 2609 ],
- "attributes": {
- }
- },
- "$abc$24763$n3879": {
- "hide_name": 1,
- "bits": [ 2610 ],
- "attributes": {
- }
- },
- "$abc$24763$n388": {
- "hide_name": 1,
- "bits": [ 339 ],
- "attributes": {
- }
- },
- "$abc$24763$n3880": {
- "hide_name": 1,
- "bits": [ 2594 ],
- "attributes": {
- }
- },
- "$abc$24763$n3882": {
- "hide_name": 1,
- "bits": [ 2614 ],
- "attributes": {
- }
- },
- "$abc$24763$n3883": {
- "hide_name": 1,
- "bits": [ 2616 ],
- "attributes": {
- }
- },
- "$abc$24763$n3884": {
- "hide_name": 1,
- "bits": [ 2618 ],
- "attributes": {
- }
- },
- "$abc$24763$n3885": {
- "hide_name": 1,
- "bits": [ 2619 ],
- "attributes": {
- }
- },
- "$abc$24763$n3886": {
- "hide_name": 1,
- "bits": [ 2621 ],
- "attributes": {
- }
- },
- "$abc$24763$n3887": {
- "hide_name": 1,
- "bits": [ 2622 ],
- "attributes": {
- }
- },
- "$abc$24763$n3888": {
- "hide_name": 1,
- "bits": [ 2623 ],
- "attributes": {
- }
- },
- "$abc$24763$n3889": {
- "hide_name": 1,
- "bits": [ 2620 ],
- "attributes": {
- }
- },
- "$abc$24763$n389": {
- "hide_name": 1,
- "bits": [ 342 ],
- "attributes": {
- }
- },
- "$abc$24763$n3890": {
- "hide_name": 1,
- "bits": [ 2629 ],
- "attributes": {
- }
- },
- "$abc$24763$n3891": {
- "hide_name": 1,
- "bits": [ 2630 ],
- "attributes": {
- }
- },
- "$abc$24763$n3892": {
- "hide_name": 1,
- "bits": [ 2631 ],
- "attributes": {
- }
- },
- "$abc$24763$n3893": {
- "hide_name": 1,
- "bits": [ 2615 ],
- "attributes": {
- }
- },
- "$abc$24763$n3895": {
- "hide_name": 1,
- "bits": [ 2635 ],
- "attributes": {
- }
- },
- "$abc$24763$n3896": {
- "hide_name": 1,
- "bits": [ 2637 ],
- "attributes": {
- }
- },
- "$abc$24763$n3897": {
- "hide_name": 1,
- "bits": [ 2639 ],
- "attributes": {
- }
- },
- "$abc$24763$n3898": {
- "hide_name": 1,
- "bits": [ 2640 ],
- "attributes": {
- }
- },
- "$abc$24763$n3899": {
- "hide_name": 1,
- "bits": [ 2642 ],
- "attributes": {
- }
- },
- "$abc$24763$n3900": {
- "hide_name": 1,
- "bits": [ 2643 ],
- "attributes": {
- }
- },
- "$abc$24763$n3901": {
- "hide_name": 1,
- "bits": [ 2644 ],
- "attributes": {
- }
- },
- "$abc$24763$n3902": {
- "hide_name": 1,
- "bits": [ 2641 ],
- "attributes": {
- }
- },
- "$abc$24763$n3903": {
- "hide_name": 1,
- "bits": [ 2650 ],
- "attributes": {
- }
- },
- "$abc$24763$n3904": {
- "hide_name": 1,
- "bits": [ 2651 ],
- "attributes": {
- }
- },
- "$abc$24763$n3905": {
- "hide_name": 1,
- "bits": [ 2652 ],
- "attributes": {
- }
- },
- "$abc$24763$n3906_1": {
- "hide_name": 1,
- "bits": [ 2636 ],
- "attributes": {
- }
- },
- "$abc$24763$n3908": {
- "hide_name": 1,
- "bits": [ 2656 ],
- "attributes": {
- }
- },
- "$abc$24763$n3909_1": {
- "hide_name": 1,
- "bits": [ 2658 ],
- "attributes": {
- }
- },
- "$abc$24763$n3910": {
- "hide_name": 1,
- "bits": [ 2660 ],
- "attributes": {
- }
- },
- "$abc$24763$n3911": {
- "hide_name": 1,
- "bits": [ 2661 ],
- "attributes": {
- }
- },
- "$abc$24763$n3912_1": {
- "hide_name": 1,
- "bits": [ 2663 ],
- "attributes": {
- }
- },
- "$abc$24763$n3913": {
- "hide_name": 1,
- "bits": [ 2664 ],
- "attributes": {
- }
- },
- "$abc$24763$n3914": {
- "hide_name": 1,
- "bits": [ 2662 ],
- "attributes": {
- }
- },
- "$abc$24763$n3915_1": {
- "hide_name": 1,
- "bits": [ 2665 ],
- "attributes": {
- }
- },
- "$abc$24763$n3916": {
- "hide_name": 1,
- "bits": [ 2657 ],
- "attributes": {
- }
- },
- "$abc$24763$n3918_1": {
- "hide_name": 1,
- "bits": [ 2666 ],
- "attributes": {
- }
- },
- "$abc$24763$n3919": {
- "hide_name": 1,
- "bits": [ 2668 ],
- "attributes": {
- }
- },
- "$abc$24763$n3920": {
- "hide_name": 1,
- "bits": [ 2670 ],
- "attributes": {
- }
- },
- "$abc$24763$n3921_1": {
- "hide_name": 1,
- "bits": [ 2671 ],
- "attributes": {
- }
- },
- "$abc$24763$n3922": {
- "hide_name": 1,
- "bits": [ 2672 ],
- "attributes": {
- }
- },
- "$abc$24763$n3923": {
- "hide_name": 1,
- "bits": [ 2673 ],
- "attributes": {
- }
- },
- "$abc$24763$n3924_1": {
- "hide_name": 1,
- "bits": [ 2667 ],
- "attributes": {
- }
- },
- "$abc$24763$n3926": {
- "hide_name": 1,
- "bits": [ 2674 ],
- "attributes": {
- }
- },
- "$abc$24763$n3927_1": {
- "hide_name": 1,
- "bits": [ 2675 ],
- "attributes": {
- }
- },
- "$abc$24763$n3928": {
- "hide_name": 1,
- "bits": [ 2677 ],
- "attributes": {
- }
- },
- "$abc$24763$n3929": {
- "hide_name": 1,
- "bits": [ 2678 ],
- "attributes": {
- }
- },
- "$abc$24763$n393": {
- "hide_name": 1,
- "bits": [ 346 ],
- "attributes": {
- }
- },
- "$abc$24763$n3930_1": {
- "hide_name": 1,
- "bits": [ 2679 ],
- "attributes": {
- }
- },
- "$abc$24763$n3931": {
- "hide_name": 1,
- "bits": [ 2680 ],
- "attributes": {
- }
- },
- "$abc$24763$n3933_1": {
- "hide_name": 1,
- "bits": [ 2681 ],
- "attributes": {
- }
- },
- "$abc$24763$n3934": {
- "hide_name": 1,
- "bits": [ 2682 ],
- "attributes": {
- }
- },
- "$abc$24763$n3935": {
- "hide_name": 1,
- "bits": [ 2684 ],
- "attributes": {
- }
- },
- "$abc$24763$n3936_1": {
- "hide_name": 1,
- "bits": [ 2685 ],
- "attributes": {
- }
- },
- "$abc$24763$n3937": {
- "hide_name": 1,
- "bits": [ 2686 ],
- "attributes": {
- }
- },
- "$abc$24763$n3938": {
- "hide_name": 1,
- "bits": [ 2687 ],
- "attributes": {
- }
- },
- "$abc$24763$n3940": {
- "hide_name": 1,
- "bits": [ 2688 ],
- "attributes": {
- }
- },
- "$abc$24763$n3941": {
- "hide_name": 1,
- "bits": [ 2689 ],
- "attributes": {
- }
- },
- "$abc$24763$n3942_1": {
- "hide_name": 1,
- "bits": [ 2691 ],
- "attributes": {
- }
- },
- "$abc$24763$n3943": {
- "hide_name": 1,
- "bits": [ 2692 ],
- "attributes": {
- }
- },
- "$abc$24763$n3944": {
- "hide_name": 1,
- "bits": [ 2693 ],
- "attributes": {
- }
- },
- "$abc$24763$n3945_1": {
- "hide_name": 1,
- "bits": [ 2694 ],
- "attributes": {
- }
- },
- "$abc$24763$n3947": {
- "hide_name": 1,
- "bits": [ 2695 ],
- "attributes": {
- }
- },
- "$abc$24763$n3948_1": {
- "hide_name": 1,
- "bits": [ 2696 ],
- "attributes": {
- }
- },
- "$abc$24763$n3949": {
- "hide_name": 1,
- "bits": [ 2698 ],
- "attributes": {
- }
- },
- "$abc$24763$n3950": {
- "hide_name": 1,
- "bits": [ 2699 ],
- "attributes": {
- }
- },
- "$abc$24763$n3951_1": {
- "hide_name": 1,
- "bits": [ 2700 ],
- "attributes": {
- }
- },
- "$abc$24763$n3952": {
- "hide_name": 1,
- "bits": [ 2701 ],
- "attributes": {
- }
- },
- "$abc$24763$n3954": {
- "hide_name": 1,
- "bits": [ 2702 ],
- "attributes": {
- }
- },
- "$abc$24763$n3955": {
- "hide_name": 1,
- "bits": [ 2703 ],
- "attributes": {
- }
- },
- "$abc$24763$n3956": {
- "hide_name": 1,
- "bits": [ 2705 ],
- "attributes": {
- }
- },
- "$abc$24763$n3957": {
- "hide_name": 1,
- "bits": [ 2706 ],
- "attributes": {
- }
- },
- "$abc$24763$n3958": {
- "hide_name": 1,
- "bits": [ 2707 ],
- "attributes": {
- }
- },
- "$abc$24763$n3959": {
- "hide_name": 1,
- "bits": [ 2708 ],
- "attributes": {
- }
- },
- "$abc$24763$n396": {
- "hide_name": 1,
- "bits": [ 349 ],
- "attributes": {
- }
- },
- "$abc$24763$n3961": {
- "hide_name": 1,
- "bits": [ 2709 ],
- "attributes": {
- }
- },
- "$abc$24763$n3962": {
- "hide_name": 1,
- "bits": [ 2710 ],
- "attributes": {
- }
- },
- "$abc$24763$n3963": {
- "hide_name": 1,
- "bits": [ 2712 ],
- "attributes": {
- }
- },
- "$abc$24763$n3964": {
- "hide_name": 1,
- "bits": [ 2713 ],
- "attributes": {
- }
- },
- "$abc$24763$n3965": {
- "hide_name": 1,
- "bits": [ 2714 ],
- "attributes": {
- }
- },
- "$abc$24763$n3966": {
- "hide_name": 1,
- "bits": [ 2715 ],
- "attributes": {
- }
- },
- "$abc$24763$n3968": {
- "hide_name": 1,
- "bits": [ 2716 ],
- "attributes": {
- }
- },
- "$abc$24763$n3969": {
- "hide_name": 1,
- "bits": [ 2717 ],
- "attributes": {
- }
- },
- "$abc$24763$n3970": {
- "hide_name": 1,
- "bits": [ 2719 ],
- "attributes": {
- }
- },
- "$abc$24763$n3971": {
- "hide_name": 1,
- "bits": [ 2720 ],
- "attributes": {
- }
- },
- "$abc$24763$n3972": {
- "hide_name": 1,
- "bits": [ 2721 ],
- "attributes": {
- }
- },
- "$abc$24763$n3973": {
- "hide_name": 1,
- "bits": [ 2722 ],
- "attributes": {
- }
- },
- "$abc$24763$n3975": {
- "hide_name": 1,
- "bits": [ 2723 ],
- "attributes": {
- }
- },
- "$abc$24763$n3976": {
- "hide_name": 1,
- "bits": [ 2724 ],
- "attributes": {
- }
- },
- "$abc$24763$n3977": {
- "hide_name": 1,
- "bits": [ 2726 ],
- "attributes": {
- }
- },
- "$abc$24763$n3978": {
- "hide_name": 1,
- "bits": [ 2727 ],
- "attributes": {
- }
- },
- "$abc$24763$n3979": {
- "hide_name": 1,
- "bits": [ 2728 ],
- "attributes": {
- }
- },
- "$abc$24763$n3980": {
- "hide_name": 1,
- "bits": [ 2729 ],
- "attributes": {
- }
- },
- "$abc$24763$n3982": {
- "hide_name": 1,
- "bits": [ 2730 ],
- "attributes": {
- }
- },
- "$abc$24763$n3983": {
- "hide_name": 1,
- "bits": [ 2731 ],
- "attributes": {
- }
- },
- "$abc$24763$n3984": {
- "hide_name": 1,
- "bits": [ 2733 ],
- "attributes": {
- }
- },
- "$abc$24763$n3985_1": {
- "hide_name": 1,
- "bits": [ 2734 ],
- "attributes": {
- }
- },
- "$abc$24763$n3986_1": {
- "hide_name": 1,
- "bits": [ 2735 ],
- "attributes": {
- }
- },
- "$abc$24763$n3987_1": {
- "hide_name": 1,
- "bits": [ 2736 ],
- "attributes": {
- }
- },
- "$abc$24763$n3989_1": {
- "hide_name": 1,
- "bits": [ 2737 ],
- "attributes": {
- }
- },
- "$abc$24763$n3990_1": {
- "hide_name": 1,
- "bits": [ 2738 ],
- "attributes": {
- }
- },
- "$abc$24763$n3991_1": {
- "hide_name": 1,
- "bits": [ 2740 ],
- "attributes": {
- }
- },
- "$abc$24763$n3992_1": {
- "hide_name": 1,
- "bits": [ 2741 ],
- "attributes": {
- }
- },
- "$abc$24763$n3993_1": {
- "hide_name": 1,
- "bits": [ 2742 ],
- "attributes": {
- }
- },
- "$abc$24763$n3994_1": {
- "hide_name": 1,
- "bits": [ 2743 ],
- "attributes": {
- }
- },
- "$abc$24763$n3996_1": {
- "hide_name": 1,
- "bits": [ 2744 ],
- "attributes": {
- }
- },
- "$abc$24763$n3997_1": {
- "hide_name": 1,
- "bits": [ 2745 ],
- "attributes": {
- }
- },
- "$abc$24763$n3998_1": {
- "hide_name": 1,
- "bits": [ 2747 ],
- "attributes": {
- }
- },
- "$abc$24763$n3999_1": {
- "hide_name": 1,
- "bits": [ 2748 ],
- "attributes": {
- }
- },
- "$abc$24763$n4000_1": {
- "hide_name": 1,
- "bits": [ 2749 ],
- "attributes": {
- }
- },
- "$abc$24763$n4001": {
- "hide_name": 1,
- "bits": [ 2750 ],
- "attributes": {
- }
- },
- "$abc$24763$n4003": {
- "hide_name": 1,
- "bits": [ 2751 ],
- "attributes": {
- }
- },
- "$abc$24763$n4004": {
- "hide_name": 1,
- "bits": [ 2752 ],
- "attributes": {
- }
- },
- "$abc$24763$n4005": {
- "hide_name": 1,
- "bits": [ 2754 ],
- "attributes": {
- }
- },
- "$abc$24763$n4006": {
- "hide_name": 1,
- "bits": [ 2755 ],
- "attributes": {
- }
- },
- "$abc$24763$n4007": {
- "hide_name": 1,
- "bits": [ 2756 ],
- "attributes": {
- }
- },
- "$abc$24763$n4008": {
- "hide_name": 1,
- "bits": [ 2757 ],
- "attributes": {
- }
- },
- "$abc$24763$n4010": {
- "hide_name": 1,
- "bits": [ 2758 ],
- "attributes": {
- }
- },
- "$abc$24763$n4011": {
- "hide_name": 1,
- "bits": [ 2759 ],
- "attributes": {
- }
- },
- "$abc$24763$n4012": {
- "hide_name": 1,
- "bits": [ 2761 ],
- "attributes": {
- }
- },
- "$abc$24763$n4013": {
- "hide_name": 1,
- "bits": [ 2762 ],
- "attributes": {
- }
- },
- "$abc$24763$n4014": {
- "hide_name": 1,
- "bits": [ 2763 ],
- "attributes": {
- }
- },
- "$abc$24763$n4015": {
- "hide_name": 1,
- "bits": [ 2764 ],
- "attributes": {
- }
- },
- "$abc$24763$n4045": {
- "hide_name": 1,
- "bits": [ 2793 ],
- "attributes": {
- }
- },
- "$abc$24763$n4046": {
- "hide_name": 1,
- "bits": [ 2795 ],
- "attributes": {
- }
- },
- "$abc$24763$n4047": {
- "hide_name": 1,
- "bits": [ 2796 ],
- "attributes": {
- }
- },
- "$abc$24763$n4048": {
- "hide_name": 1,
- "bits": [ 2797 ],
- "attributes": {
- }
- },
- "$abc$24763$n4051": {
- "hide_name": 1,
- "bits": [ 2799 ],
- "attributes": {
- }
- },
- "$abc$24763$n4052": {
- "hide_name": 1,
- "bits": [ 2801 ],
- "attributes": {
- }
- },
- "$abc$24763$n4053": {
- "hide_name": 1,
- "bits": [ 2803 ],
- "attributes": {
- }
- },
- "$abc$24763$n4054": {
- "hide_name": 1,
- "bits": [ 2804 ],
- "attributes": {
- }
- },
- "$abc$24763$n4055": {
- "hide_name": 1,
- "bits": [ 2806 ],
- "attributes": {
- }
- },
- "$abc$24763$n4056": {
- "hide_name": 1,
- "bits": [ 2807 ],
- "attributes": {
- }
- },
- "$abc$24763$n4057": {
- "hide_name": 1,
- "bits": [ 2808 ],
- "attributes": {
- }
- },
- "$abc$24763$n4058": {
- "hide_name": 1,
- "bits": [ 2805 ],
- "attributes": {
- }
- },
- "$abc$24763$n4059": {
- "hide_name": 1,
- "bits": [ 2814 ],
- "attributes": {
- }
- },
- "$abc$24763$n4060": {
- "hide_name": 1,
- "bits": [ 2815 ],
- "attributes": {
- }
- },
- "$abc$24763$n4061": {
- "hide_name": 1,
- "bits": [ 2816 ],
- "attributes": {
- }
- },
- "$abc$24763$n4062": {
- "hide_name": 1,
- "bits": [ 2800 ],
- "attributes": {
- }
- },
- "$abc$24763$n4064": {
- "hide_name": 1,
- "bits": [ 2820 ],
- "attributes": {
- }
- },
- "$abc$24763$n4065": {
- "hide_name": 1,
- "bits": [ 2822 ],
- "attributes": {
- }
- },
- "$abc$24763$n4066": {
- "hide_name": 1,
- "bits": [ 2824 ],
- "attributes": {
- }
- },
- "$abc$24763$n4067": {
- "hide_name": 1,
- "bits": [ 2825 ],
- "attributes": {
- }
- },
- "$abc$24763$n4068": {
- "hide_name": 1,
- "bits": [ 2827 ],
- "attributes": {
- }
- },
- "$abc$24763$n4069": {
- "hide_name": 1,
- "bits": [ 2828 ],
- "attributes": {
- }
- },
- "$abc$24763$n4070": {
- "hide_name": 1,
- "bits": [ 2829 ],
- "attributes": {
- }
- },
- "$abc$24763$n4071": {
- "hide_name": 1,
- "bits": [ 2826 ],
- "attributes": {
- }
- },
- "$abc$24763$n4072": {
- "hide_name": 1,
- "bits": [ 2835 ],
- "attributes": {
- }
- },
- "$abc$24763$n4073": {
- "hide_name": 1,
- "bits": [ 2836 ],
- "attributes": {
- }
- },
- "$abc$24763$n4074": {
- "hide_name": 1,
- "bits": [ 2837 ],
- "attributes": {
- }
- },
- "$abc$24763$n4075": {
- "hide_name": 1,
- "bits": [ 2821 ],
- "attributes": {
- }
- },
- "$abc$24763$n4077": {
- "hide_name": 1,
- "bits": [ 2841 ],
- "attributes": {
- }
- },
- "$abc$24763$n4078": {
- "hide_name": 1,
- "bits": [ 2843 ],
- "attributes": {
- }
- },
- "$abc$24763$n4079": {
- "hide_name": 1,
- "bits": [ 2845 ],
- "attributes": {
- }
- },
- "$abc$24763$n408": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$24763$n4080": {
- "hide_name": 1,
- "bits": [ 2846 ],
- "attributes": {
- }
- },
- "$abc$24763$n4081": {
- "hide_name": 1,
- "bits": [ 2848 ],
- "attributes": {
- }
- },
- "$abc$24763$n4082": {
- "hide_name": 1,
- "bits": [ 2849 ],
- "attributes": {
- }
- },
- "$abc$24763$n4083": {
- "hide_name": 1,
- "bits": [ 2850 ],
- "attributes": {
- }
- },
- "$abc$24763$n4084": {
- "hide_name": 1,
- "bits": [ 2847 ],
- "attributes": {
- }
- },
- "$abc$24763$n4085": {
- "hide_name": 1,
- "bits": [ 2856 ],
- "attributes": {
- }
- },
- "$abc$24763$n4086": {
- "hide_name": 1,
- "bits": [ 2857 ],
- "attributes": {
- }
- },
- "$abc$24763$n4087": {
- "hide_name": 1,
- "bits": [ 2858 ],
- "attributes": {
- }
- },
- "$abc$24763$n4088": {
- "hide_name": 1,
- "bits": [ 2842 ],
- "attributes": {
- }
- },
- "$abc$24763$n4090": {
- "hide_name": 1,
- "bits": [ 2862 ],
- "attributes": {
- }
- },
- "$abc$24763$n4091": {
- "hide_name": 1,
- "bits": [ 2864 ],
- "attributes": {
- }
- },
- "$abc$24763$n4092": {
- "hide_name": 1,
- "bits": [ 2866 ],
- "attributes": {
- }
- },
- "$abc$24763$n4093": {
- "hide_name": 1,
- "bits": [ 2867 ],
- "attributes": {
- }
- },
- "$abc$24763$n4094": {
- "hide_name": 1,
- "bits": [ 2869 ],
- "attributes": {
- }
- },
- "$abc$24763$n4095": {
- "hide_name": 1,
- "bits": [ 2870 ],
- "attributes": {
- }
- },
- "$abc$24763$n4096": {
- "hide_name": 1,
- "bits": [ 2871 ],
- "attributes": {
- }
- },
- "$abc$24763$n4097": {
- "hide_name": 1,
- "bits": [ 2868 ],
- "attributes": {
- }
- },
- "$abc$24763$n4098": {
- "hide_name": 1,
- "bits": [ 2877 ],
- "attributes": {
- }
- },
- "$abc$24763$n4099": {
- "hide_name": 1,
- "bits": [ 2878 ],
- "attributes": {
- }
- },
- "$abc$24763$n4100": {
- "hide_name": 1,
- "bits": [ 2879 ],
- "attributes": {
- }
- },
- "$abc$24763$n4101": {
- "hide_name": 1,
- "bits": [ 2863 ],
- "attributes": {
- }
- },
- "$abc$24763$n4103": {
- "hide_name": 1,
- "bits": [ 2883 ],
- "attributes": {
- }
- },
- "$abc$24763$n4104": {
- "hide_name": 1,
- "bits": [ 2885 ],
- "attributes": {
- }
- },
- "$abc$24763$n4105": {
- "hide_name": 1,
- "bits": [ 2887 ],
- "attributes": {
- }
- },
- "$abc$24763$n4106": {
- "hide_name": 1,
- "bits": [ 2888 ],
- "attributes": {
- }
- },
- "$abc$24763$n4107": {
- "hide_name": 1,
- "bits": [ 2890 ],
- "attributes": {
- }
- },
- "$abc$24763$n4108": {
- "hide_name": 1,
- "bits": [ 2891 ],
- "attributes": {
- }
- },
- "$abc$24763$n4109": {
- "hide_name": 1,
- "bits": [ 2892 ],
- "attributes": {
- }
- },
- "$abc$24763$n4110": {
- "hide_name": 1,
- "bits": [ 2889 ],
- "attributes": {
- }
- },
- "$abc$24763$n4111": {
- "hide_name": 1,
- "bits": [ 2898 ],
- "attributes": {
- }
- },
- "$abc$24763$n4112": {
- "hide_name": 1,
- "bits": [ 2899 ],
- "attributes": {
- }
- },
- "$abc$24763$n4113": {
- "hide_name": 1,
- "bits": [ 2900 ],
- "attributes": {
- }
- },
- "$abc$24763$n4114": {
- "hide_name": 1,
- "bits": [ 2884 ],
- "attributes": {
- }
- },
- "$abc$24763$n4116": {
- "hide_name": 1,
- "bits": [ 2904 ],
- "attributes": {
- }
- },
- "$abc$24763$n4117": {
- "hide_name": 1,
- "bits": [ 2906 ],
- "attributes": {
- }
- },
- "$abc$24763$n4118": {
- "hide_name": 1,
- "bits": [ 2908 ],
- "attributes": {
- }
- },
- "$abc$24763$n4119": {
- "hide_name": 1,
- "bits": [ 2909 ],
- "attributes": {
- }
- },
- "$abc$24763$n4120": {
- "hide_name": 1,
- "bits": [ 2911 ],
- "attributes": {
- }
- },
- "$abc$24763$n4121": {
- "hide_name": 1,
- "bits": [ 2912 ],
- "attributes": {
- }
- },
- "$abc$24763$n4122": {
- "hide_name": 1,
- "bits": [ 2913 ],
- "attributes": {
- }
- },
- "$abc$24763$n4123": {
- "hide_name": 1,
- "bits": [ 2910 ],
- "attributes": {
- }
- },
- "$abc$24763$n4124": {
- "hide_name": 1,
- "bits": [ 2919 ],
- "attributes": {
- }
- },
- "$abc$24763$n4125": {
- "hide_name": 1,
- "bits": [ 2920 ],
- "attributes": {
- }
- },
- "$abc$24763$n4126": {
- "hide_name": 1,
- "bits": [ 2921 ],
- "attributes": {
- }
- },
- "$abc$24763$n4127": {
- "hide_name": 1,
- "bits": [ 2905 ],
- "attributes": {
- }
- },
- "$abc$24763$n4129": {
- "hide_name": 1,
- "bits": [ 2925 ],
- "attributes": {
- }
- },
- "$abc$24763$n4130": {
- "hide_name": 1,
- "bits": [ 2927 ],
- "attributes": {
- }
- },
- "$abc$24763$n4131": {
- "hide_name": 1,
- "bits": [ 2929 ],
- "attributes": {
- }
- },
- "$abc$24763$n4132": {
- "hide_name": 1,
- "bits": [ 2930 ],
- "attributes": {
- }
- },
- "$abc$24763$n4133": {
- "hide_name": 1,
- "bits": [ 2932 ],
- "attributes": {
- }
- },
- "$abc$24763$n4134": {
- "hide_name": 1,
- "bits": [ 2933 ],
- "attributes": {
- }
- },
- "$abc$24763$n4135": {
- "hide_name": 1,
- "bits": [ 2934 ],
- "attributes": {
- }
- },
- "$abc$24763$n4136": {
- "hide_name": 1,
- "bits": [ 2931 ],
- "attributes": {
- }
- },
- "$abc$24763$n4137": {
- "hide_name": 1,
- "bits": [ 2940 ],
- "attributes": {
- }
- },
- "$abc$24763$n4138": {
- "hide_name": 1,
- "bits": [ 2941 ],
- "attributes": {
- }
- },
- "$abc$24763$n4139": {
- "hide_name": 1,
- "bits": [ 2942 ],
- "attributes": {
- }
- },
- "$abc$24763$n4140": {
- "hide_name": 1,
- "bits": [ 2926 ],
- "attributes": {
- }
- },
- "$abc$24763$n4142": {
- "hide_name": 1,
- "bits": [ 2946 ],
- "attributes": {
- }
- },
- "$abc$24763$n4143": {
- "hide_name": 1,
- "bits": [ 2948 ],
- "attributes": {
- }
- },
- "$abc$24763$n4144": {
- "hide_name": 1,
- "bits": [ 2950 ],
- "attributes": {
- }
- },
- "$abc$24763$n4145": {
- "hide_name": 1,
- "bits": [ 2951 ],
- "attributes": {
- }
- },
- "$abc$24763$n4146": {
- "hide_name": 1,
- "bits": [ 2953 ],
- "attributes": {
- }
- },
- "$abc$24763$n4147": {
- "hide_name": 1,
- "bits": [ 2954 ],
- "attributes": {
- }
- },
- "$abc$24763$n4148": {
- "hide_name": 1,
- "bits": [ 2955 ],
- "attributes": {
- }
- },
- "$abc$24763$n4149": {
- "hide_name": 1,
- "bits": [ 2952 ],
- "attributes": {
- }
- },
- "$abc$24763$n4150": {
- "hide_name": 1,
- "bits": [ 2961 ],
- "attributes": {
- }
- },
- "$abc$24763$n4151": {
- "hide_name": 1,
- "bits": [ 2962 ],
- "attributes": {
- }
- },
- "$abc$24763$n4152": {
- "hide_name": 1,
- "bits": [ 2963 ],
- "attributes": {
- }
- },
- "$abc$24763$n4153": {
- "hide_name": 1,
- "bits": [ 2947 ],
- "attributes": {
- }
- },
- "$abc$24763$n4155": {
- "hide_name": 1,
- "bits": [ 2967 ],
- "attributes": {
- }
- },
- "$abc$24763$n4156": {
- "hide_name": 1,
- "bits": [ 2969 ],
- "attributes": {
- }
- },
- "$abc$24763$n4157": {
- "hide_name": 1,
- "bits": [ 2971 ],
- "attributes": {
- }
- },
- "$abc$24763$n4158": {
- "hide_name": 1,
- "bits": [ 2972 ],
- "attributes": {
- }
- },
- "$abc$24763$n4159": {
- "hide_name": 1,
- "bits": [ 2974 ],
- "attributes": {
- }
- },
- "$abc$24763$n4160": {
- "hide_name": 1,
- "bits": [ 2975 ],
- "attributes": {
- }
- },
- "$abc$24763$n4161": {
- "hide_name": 1,
- "bits": [ 2976 ],
- "attributes": {
- }
- },
- "$abc$24763$n4162": {
- "hide_name": 1,
- "bits": [ 2973 ],
- "attributes": {
- }
- },
- "$abc$24763$n4163": {
- "hide_name": 1,
- "bits": [ 2982 ],
- "attributes": {
- }
- },
- "$abc$24763$n4164": {
- "hide_name": 1,
- "bits": [ 2983 ],
- "attributes": {
- }
- },
- "$abc$24763$n4165": {
- "hide_name": 1,
- "bits": [ 2984 ],
- "attributes": {
- }
- },
- "$abc$24763$n4166": {
- "hide_name": 1,
- "bits": [ 2968 ],
- "attributes": {
- }
- },
- "$abc$24763$n4168": {
- "hide_name": 1,
- "bits": [ 2988 ],
- "attributes": {
- }
- },
- "$abc$24763$n4169": {
- "hide_name": 1,
- "bits": [ 2990 ],
- "attributes": {
- }
- },
- "$abc$24763$n4170": {
- "hide_name": 1,
- "bits": [ 2992 ],
- "attributes": {
- }
- },
- "$abc$24763$n4171": {
- "hide_name": 1,
- "bits": [ 2993 ],
- "attributes": {
- }
- },
- "$abc$24763$n4172": {
- "hide_name": 1,
- "bits": [ 2995 ],
- "attributes": {
- }
- },
- "$abc$24763$n4173": {
- "hide_name": 1,
- "bits": [ 2996 ],
- "attributes": {
- }
- },
- "$abc$24763$n4174": {
- "hide_name": 1,
- "bits": [ 2997 ],
- "attributes": {
- }
- },
- "$abc$24763$n4175": {
- "hide_name": 1,
- "bits": [ 2994 ],
- "attributes": {
- }
- },
- "$abc$24763$n4176": {
- "hide_name": 1,
- "bits": [ 3003 ],
- "attributes": {
- }
- },
- "$abc$24763$n4177": {
- "hide_name": 1,
- "bits": [ 3004 ],
- "attributes": {
- }
- },
- "$abc$24763$n4178": {
- "hide_name": 1,
- "bits": [ 3005 ],
- "attributes": {
- }
- },
- "$abc$24763$n4179": {
- "hide_name": 1,
- "bits": [ 2989 ],
- "attributes": {
- }
- },
- "$abc$24763$n4181": {
- "hide_name": 1,
- "bits": [ 3009 ],
- "attributes": {
- }
- },
- "$abc$24763$n4182": {
- "hide_name": 1,
- "bits": [ 3011 ],
- "attributes": {
- }
- },
- "$abc$24763$n4183": {
- "hide_name": 1,
- "bits": [ 3013 ],
- "attributes": {
- }
- },
- "$abc$24763$n4184": {
- "hide_name": 1,
- "bits": [ 3014 ],
- "attributes": {
- }
- },
- "$abc$24763$n4185": {
- "hide_name": 1,
- "bits": [ 3016 ],
- "attributes": {
- }
- },
- "$abc$24763$n4186": {
- "hide_name": 1,
- "bits": [ 3017 ],
- "attributes": {
- }
- },
- "$abc$24763$n4187": {
- "hide_name": 1,
- "bits": [ 3018 ],
- "attributes": {
- }
- },
- "$abc$24763$n4188": {
- "hide_name": 1,
- "bits": [ 3015 ],
- "attributes": {
- }
- },
- "$abc$24763$n4189": {
- "hide_name": 1,
- "bits": [ 3024 ],
- "attributes": {
- }
- },
- "$abc$24763$n4190": {
- "hide_name": 1,
- "bits": [ 3025 ],
- "attributes": {
- }
- },
- "$abc$24763$n4191": {
- "hide_name": 1,
- "bits": [ 3026 ],
- "attributes": {
- }
- },
- "$abc$24763$n4192": {
- "hide_name": 1,
- "bits": [ 3010 ],
- "attributes": {
- }
- },
- "$abc$24763$n4194": {
- "hide_name": 1,
- "bits": [ 3030 ],
- "attributes": {
- }
- },
- "$abc$24763$n4195": {
- "hide_name": 1,
- "bits": [ 3032 ],
- "attributes": {
- }
- },
- "$abc$24763$n4196": {
- "hide_name": 1,
- "bits": [ 3034 ],
- "attributes": {
- }
- },
- "$abc$24763$n4197": {
- "hide_name": 1,
- "bits": [ 3035 ],
- "attributes": {
- }
- },
- "$abc$24763$n4198": {
- "hide_name": 1,
- "bits": [ 3037 ],
- "attributes": {
- }
- },
- "$abc$24763$n4199": {
- "hide_name": 1,
- "bits": [ 3038 ],
- "attributes": {
- }
- },
- "$abc$24763$n4200": {
- "hide_name": 1,
- "bits": [ 3039 ],
- "attributes": {
- }
- },
- "$abc$24763$n4201": {
- "hide_name": 1,
- "bits": [ 3036 ],
- "attributes": {
- }
- },
- "$abc$24763$n4202": {
- "hide_name": 1,
- "bits": [ 3045 ],
- "attributes": {
- }
- },
- "$abc$24763$n4203": {
- "hide_name": 1,
- "bits": [ 3046 ],
- "attributes": {
- }
- },
- "$abc$24763$n4204": {
- "hide_name": 1,
- "bits": [ 3047 ],
- "attributes": {
- }
- },
- "$abc$24763$n4205": {
- "hide_name": 1,
- "bits": [ 3031 ],
- "attributes": {
- }
- },
- "$abc$24763$n4207": {
- "hide_name": 1,
- "bits": [ 3051 ],
- "attributes": {
- }
- },
- "$abc$24763$n4208": {
- "hide_name": 1,
- "bits": [ 3053 ],
- "attributes": {
- }
- },
- "$abc$24763$n4209": {
- "hide_name": 1,
- "bits": [ 3055 ],
- "attributes": {
- }
- },
- "$abc$24763$n4210": {
- "hide_name": 1,
- "bits": [ 3056 ],
- "attributes": {
- }
- },
- "$abc$24763$n4211": {
- "hide_name": 1,
- "bits": [ 3058 ],
- "attributes": {
- }
- },
- "$abc$24763$n4212": {
- "hide_name": 1,
- "bits": [ 3059 ],
- "attributes": {
- }
- },
- "$abc$24763$n4213": {
- "hide_name": 1,
- "bits": [ 3060 ],
- "attributes": {
- }
- },
- "$abc$24763$n4214": {
- "hide_name": 1,
- "bits": [ 3057 ],
- "attributes": {
- }
- },
- "$abc$24763$n4215": {
- "hide_name": 1,
- "bits": [ 3066 ],
- "attributes": {
- }
- },
- "$abc$24763$n4216": {
- "hide_name": 1,
- "bits": [ 3067 ],
- "attributes": {
- }
- },
- "$abc$24763$n4217": {
- "hide_name": 1,
- "bits": [ 3068 ],
- "attributes": {
- }
- },
- "$abc$24763$n4218": {
- "hide_name": 1,
- "bits": [ 3052 ],
- "attributes": {
- }
- },
- "$abc$24763$n4220": {
- "hide_name": 1,
- "bits": [ 3072 ],
- "attributes": {
- }
- },
- "$abc$24763$n4221": {
- "hide_name": 1,
- "bits": [ 3074 ],
- "attributes": {
- }
- },
- "$abc$24763$n4222": {
- "hide_name": 1,
- "bits": [ 3076 ],
- "attributes": {
- }
- },
- "$abc$24763$n4223": {
- "hide_name": 1,
- "bits": [ 3077 ],
- "attributes": {
- }
- },
- "$abc$24763$n4224": {
- "hide_name": 1,
- "bits": [ 3079 ],
- "attributes": {
- }
- },
- "$abc$24763$n4225": {
- "hide_name": 1,
- "bits": [ 3080 ],
- "attributes": {
- }
- },
- "$abc$24763$n4226": {
- "hide_name": 1,
- "bits": [ 3078 ],
- "attributes": {
- }
- },
- "$abc$24763$n4227": {
- "hide_name": 1,
- "bits": [ 3081 ],
- "attributes": {
- }
- },
- "$abc$24763$n4228": {
- "hide_name": 1,
- "bits": [ 3073 ],
- "attributes": {
- }
- },
- "$abc$24763$n4230": {
- "hide_name": 1,
- "bits": [ 3082 ],
- "attributes": {
- }
- },
- "$abc$24763$n4231": {
- "hide_name": 1,
- "bits": [ 3084 ],
- "attributes": {
- }
- },
- "$abc$24763$n4232": {
- "hide_name": 1,
- "bits": [ 3086 ],
- "attributes": {
- }
- },
- "$abc$24763$n4233": {
- "hide_name": 1,
- "bits": [ 3087 ],
- "attributes": {
- }
- },
- "$abc$24763$n4234": {
- "hide_name": 1,
- "bits": [ 3088 ],
- "attributes": {
- }
- },
- "$abc$24763$n4235": {
- "hide_name": 1,
- "bits": [ 3089 ],
- "attributes": {
- }
- },
- "$abc$24763$n4236": {
- "hide_name": 1,
- "bits": [ 3083 ],
- "attributes": {
- }
- },
- "$abc$24763$n4238": {
- "hide_name": 1,
- "bits": [ 3090 ],
- "attributes": {
- }
- },
- "$abc$24763$n4239": {
- "hide_name": 1,
- "bits": [ 3091 ],
- "attributes": {
- }
- },
- "$abc$24763$n4240": {
- "hide_name": 1,
- "bits": [ 3093 ],
- "attributes": {
- }
- },
- "$abc$24763$n4241": {
- "hide_name": 1,
- "bits": [ 3094 ],
- "attributes": {
- }
- },
- "$abc$24763$n4242": {
- "hide_name": 1,
- "bits": [ 3095 ],
- "attributes": {
- }
- },
- "$abc$24763$n4243": {
- "hide_name": 1,
- "bits": [ 3096 ],
- "attributes": {
- }
- },
- "$abc$24763$n4245": {
- "hide_name": 1,
- "bits": [ 2380 ],
- "attributes": {
- }
- },
- "$abc$24763$n4245_1": {
- "hide_name": 1,
- "bits": [ 3097 ],
- "attributes": {
- }
- },
- "$abc$24763$n4246": {
- "hide_name": 1,
- "bits": [ 3098 ],
- "attributes": {
- }
- },
- "$abc$24763$n4247": {
- "hide_name": 1,
- "bits": [ 3100 ],
- "attributes": {
- }
- },
- "$abc$24763$n4248": {
- "hide_name": 1,
- "bits": [ 3101 ],
- "attributes": {
- }
- },
- "$abc$24763$n4249": {
- "hide_name": 1,
- "bits": [ 3102 ],
- "attributes": {
- }
- },
- "$abc$24763$n4250": {
- "hide_name": 1,
- "bits": [ 3103 ],
- "attributes": {
- }
- },
- "$abc$24763$n4252": {
- "hide_name": 1,
- "bits": [ 3104 ],
- "attributes": {
- }
- },
- "$abc$24763$n4253": {
- "hide_name": 1,
- "bits": [ 3105 ],
- "attributes": {
- }
- },
- "$abc$24763$n4254": {
- "hide_name": 1,
- "bits": [ 3107 ],
- "attributes": {
- }
- },
- "$abc$24763$n4255": {
- "hide_name": 1,
- "bits": [ 3108 ],
- "attributes": {
- }
- },
- "$abc$24763$n4256": {
- "hide_name": 1,
- "bits": [ 3109 ],
- "attributes": {
- }
- },
- "$abc$24763$n4257": {
- "hide_name": 1,
- "bits": [ 3110 ],
- "attributes": {
- }
- },
- "$abc$24763$n4259": {
- "hide_name": 1,
- "bits": [ 3111 ],
- "attributes": {
- }
- },
- "$abc$24763$n4260": {
- "hide_name": 1,
- "bits": [ 3112 ],
- "attributes": {
- }
- },
- "$abc$24763$n4261": {
- "hide_name": 1,
- "bits": [ 3114 ],
- "attributes": {
- }
- },
- "$abc$24763$n4262": {
- "hide_name": 1,
- "bits": [ 3115 ],
- "attributes": {
- }
- },
- "$abc$24763$n4263": {
- "hide_name": 1,
- "bits": [ 3116 ],
- "attributes": {
- }
- },
- "$abc$24763$n4264": {
- "hide_name": 1,
- "bits": [ 3117 ],
- "attributes": {
- }
- },
- "$abc$24763$n4266": {
- "hide_name": 1,
- "bits": [ 3118 ],
- "attributes": {
- }
- },
- "$abc$24763$n4267": {
- "hide_name": 1,
- "bits": [ 3119 ],
- "attributes": {
- }
- },
- "$abc$24763$n4268": {
- "hide_name": 1,
- "bits": [ 3121 ],
- "attributes": {
- }
- },
- "$abc$24763$n4269": {
- "hide_name": 1,
- "bits": [ 3122 ],
- "attributes": {
- }
- },
- "$abc$24763$n4270": {
- "hide_name": 1,
- "bits": [ 3123 ],
- "attributes": {
- }
- },
- "$abc$24763$n4271": {
- "hide_name": 1,
- "bits": [ 3124 ],
- "attributes": {
- }
- },
- "$abc$24763$n4273": {
- "hide_name": 1,
- "bits": [ 3125 ],
- "attributes": {
- }
- },
- "$abc$24763$n4274": {
- "hide_name": 1,
- "bits": [ 3126 ],
- "attributes": {
- }
- },
- "$abc$24763$n4275": {
- "hide_name": 1,
- "bits": [ 3128 ],
- "attributes": {
- }
- },
- "$abc$24763$n4276": {
- "hide_name": 1,
- "bits": [ 3129 ],
- "attributes": {
- }
- },
- "$abc$24763$n4277": {
- "hide_name": 1,
- "bits": [ 3130 ],
- "attributes": {
- }
- },
- "$abc$24763$n4278": {
- "hide_name": 1,
- "bits": [ 3131 ],
- "attributes": {
- }
- },
- "$abc$24763$n4280": {
- "hide_name": 1,
- "bits": [ 3132 ],
- "attributes": {
- }
- },
- "$abc$24763$n4281": {
- "hide_name": 1,
- "bits": [ 3133 ],
- "attributes": {
- }
- },
- "$abc$24763$n4282": {
- "hide_name": 1,
- "bits": [ 3135 ],
- "attributes": {
- }
- },
- "$abc$24763$n4283": {
- "hide_name": 1,
- "bits": [ 3136 ],
- "attributes": {
- }
- },
- "$abc$24763$n4284": {
- "hide_name": 1,
- "bits": [ 3137 ],
- "attributes": {
- }
- },
- "$abc$24763$n4285": {
- "hide_name": 1,
- "bits": [ 3138 ],
- "attributes": {
- }
- },
- "$abc$24763$n4287": {
- "hide_name": 1,
- "bits": [ 3139 ],
- "attributes": {
- }
- },
- "$abc$24763$n4288": {
- "hide_name": 1,
- "bits": [ 3140 ],
- "attributes": {
- }
- },
- "$abc$24763$n4289": {
- "hide_name": 1,
- "bits": [ 3142 ],
- "attributes": {
- }
- },
- "$abc$24763$n429": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$24763$n4290": {
- "hide_name": 1,
- "bits": [ 3143 ],
- "attributes": {
- }
- },
- "$abc$24763$n4291": {
- "hide_name": 1,
- "bits": [ 3144 ],
- "attributes": {
- }
- },
- "$abc$24763$n4292": {
- "hide_name": 1,
- "bits": [ 3145 ],
- "attributes": {
- }
- },
- "$abc$24763$n4294": {
- "hide_name": 1,
- "bits": [ 3146 ],
- "attributes": {
- }
- },
- "$abc$24763$n4295": {
- "hide_name": 1,
- "bits": [ 3147 ],
- "attributes": {
- }
- },
- "$abc$24763$n4296": {
- "hide_name": 1,
- "bits": [ 3149 ],
- "attributes": {
- }
- },
- "$abc$24763$n4297": {
- "hide_name": 1,
- "bits": [ 3150 ],
- "attributes": {
- }
- },
- "$abc$24763$n4298": {
- "hide_name": 1,
- "bits": [ 3151 ],
- "attributes": {
- }
- },
- "$abc$24763$n4299": {
- "hide_name": 1,
- "bits": [ 3152 ],
- "attributes": {
- }
- },
- "$abc$24763$n4301": {
- "hide_name": 1,
- "bits": [ 3153 ],
- "attributes": {
- }
- },
- "$abc$24763$n4302": {
- "hide_name": 1,
- "bits": [ 3154 ],
- "attributes": {
- }
- },
- "$abc$24763$n4303": {
- "hide_name": 1,
- "bits": [ 3156 ],
- "attributes": {
- }
- },
- "$abc$24763$n4304": {
- "hide_name": 1,
- "bits": [ 3157 ],
- "attributes": {
- }
- },
- "$abc$24763$n4305": {
- "hide_name": 1,
- "bits": [ 3158 ],
- "attributes": {
- }
- },
- "$abc$24763$n4306": {
- "hide_name": 1,
- "bits": [ 3159 ],
- "attributes": {
- }
- },
- "$abc$24763$n4308": {
- "hide_name": 1,
- "bits": [ 3160 ],
- "attributes": {
- }
- },
- "$abc$24763$n4309": {
- "hide_name": 1,
- "bits": [ 3161 ],
- "attributes": {
- }
- },
- "$abc$24763$n4310": {
- "hide_name": 1,
- "bits": [ 3163 ],
- "attributes": {
- }
- },
- "$abc$24763$n4311": {
- "hide_name": 1,
- "bits": [ 3164 ],
- "attributes": {
- }
- },
- "$abc$24763$n4312": {
- "hide_name": 1,
- "bits": [ 3165 ],
- "attributes": {
- }
- },
- "$abc$24763$n4313": {
- "hide_name": 1,
- "bits": [ 3166 ],
- "attributes": {
- }
- },
- "$abc$24763$n4315": {
- "hide_name": 1,
- "bits": [ 3167 ],
- "attributes": {
- }
- },
- "$abc$24763$n4316": {
- "hide_name": 1,
- "bits": [ 3168 ],
- "attributes": {
- }
- },
- "$abc$24763$n4317": {
- "hide_name": 1,
- "bits": [ 3170 ],
- "attributes": {
- }
- },
- "$abc$24763$n4318": {
- "hide_name": 1,
- "bits": [ 3171 ],
- "attributes": {
- }
- },
- "$abc$24763$n4319": {
- "hide_name": 1,
- "bits": [ 3172 ],
- "attributes": {
- }
- },
- "$abc$24763$n4320": {
- "hide_name": 1,
- "bits": [ 3173 ],
- "attributes": {
- }
- },
- "$abc$24763$n4322": {
- "hide_name": 1,
- "bits": [ 3174 ],
- "attributes": {
- }
- },
- "$abc$24763$n4323": {
- "hide_name": 1,
- "bits": [ 3175 ],
- "attributes": {
- }
- },
- "$abc$24763$n4324": {
- "hide_name": 1,
- "bits": [ 3177 ],
- "attributes": {
- }
- },
- "$abc$24763$n4325": {
- "hide_name": 1,
- "bits": [ 3178 ],
- "attributes": {
- }
- },
- "$abc$24763$n4326": {
- "hide_name": 1,
- "bits": [ 3179 ],
- "attributes": {
- }
- },
- "$abc$24763$n4327": {
- "hide_name": 1,
- "bits": [ 3180 ],
- "attributes": {
- }
- },
- "$abc$24763$n4357": {
- "hide_name": 1,
- "bits": [ 3209 ],
- "attributes": {
- }
- },
- "$abc$24763$n4358_1": {
- "hide_name": 1,
- "bits": [ 3210 ],
- "attributes": {
- }
- },
- "$abc$24763$n4359": {
- "hide_name": 1,
- "bits": [ 3211 ],
- "attributes": {
- }
- },
- "$abc$24763$n4360": {
- "hide_name": 1,
- "bits": [ 3213 ],
- "attributes": {
- }
- },
- "$abc$24763$n4381": {
- "hide_name": 1,
- "bits": [ 3250 ],
- "attributes": {
- }
- },
- "$abc$24763$n4382_1": {
- "hide_name": 1,
- "bits": [ 3249 ],
- "attributes": {
- }
- },
- "$abc$24763$n4384": {
- "hide_name": 1,
- "bits": [ 3256 ],
- "attributes": {
- }
- },
- "$abc$24763$n4385_1": {
- "hide_name": 1,
- "bits": [ 3255 ],
- "attributes": {
- }
- },
- "$abc$24763$n4387": {
- "hide_name": 1,
- "bits": [ 3262 ],
- "attributes": {
- }
- },
- "$abc$24763$n4388_1": {
- "hide_name": 1,
- "bits": [ 3261 ],
- "attributes": {
- }
- },
- "$abc$24763$n4390": {
- "hide_name": 1,
- "bits": [ 3268 ],
- "attributes": {
- }
- },
- "$abc$24763$n4391_1": {
- "hide_name": 1,
- "bits": [ 3267 ],
- "attributes": {
- }
- },
- "$abc$24763$n4393": {
- "hide_name": 1,
- "bits": [ 3274 ],
- "attributes": {
- }
- },
- "$abc$24763$n4394_1": {
- "hide_name": 1,
- "bits": [ 3273 ],
- "attributes": {
- }
- },
- "$abc$24763$n4396": {
- "hide_name": 1,
- "bits": [ 3280 ],
- "attributes": {
- }
- },
- "$abc$24763$n4397_1": {
- "hide_name": 1,
- "bits": [ 3279 ],
- "attributes": {
- }
- },
- "$abc$24763$n4399": {
- "hide_name": 1,
- "bits": [ 3286 ],
- "attributes": {
- }
- },
- "$abc$24763$n4400_1": {
- "hide_name": 1,
- "bits": [ 3285 ],
- "attributes": {
- }
- },
- "$abc$24763$n4402": {
- "hide_name": 1,
- "bits": [ 3292 ],
- "attributes": {
- }
- },
- "$abc$24763$n4403_1": {
- "hide_name": 1,
- "bits": [ 3291 ],
- "attributes": {
- }
- },
- "$abc$24763$n4405_1": {
- "hide_name": 1,
- "bits": [ 3297 ],
- "attributes": {
- }
- },
- "$abc$24763$n4406_1": {
- "hide_name": 1,
- "bits": [ 3299 ],
- "attributes": {
- }
- },
- "$abc$24763$n4408_1": {
- "hide_name": 1,
- "bits": [ 3301 ],
- "attributes": {
- }
- },
- "$abc$24763$n4409_1": {
- "hide_name": 1,
- "bits": [ 3303 ],
- "attributes": {
- }
- },
- "$abc$24763$n4411_1": {
- "hide_name": 1,
- "bits": [ 3305 ],
- "attributes": {
- }
- },
- "$abc$24763$n4412_1": {
- "hide_name": 1,
- "bits": [ 3307 ],
- "attributes": {
- }
- },
- "$abc$24763$n4414_1": {
- "hide_name": 1,
- "bits": [ 3309 ],
- "attributes": {
- }
- },
- "$abc$24763$n4415_1": {
- "hide_name": 1,
- "bits": [ 3311 ],
- "attributes": {
- }
- },
- "$abc$24763$n4417_1": {
- "hide_name": 1,
- "bits": [ 3313 ],
- "attributes": {
- }
- },
- "$abc$24763$n4418_1": {
- "hide_name": 1,
- "bits": [ 3315 ],
- "attributes": {
- }
- },
- "$abc$24763$n4420_1": {
- "hide_name": 1,
- "bits": [ 3317 ],
- "attributes": {
- }
- },
- "$abc$24763$n4421_1": {
- "hide_name": 1,
- "bits": [ 3319 ],
- "attributes": {
- }
- },
- "$abc$24763$n4423_1": {
- "hide_name": 1,
- "bits": [ 3321 ],
- "attributes": {
- }
- },
- "$abc$24763$n4424_1": {
- "hide_name": 1,
- "bits": [ 3323 ],
- "attributes": {
- }
- },
- "$abc$24763$n4426_1": {
- "hide_name": 1,
- "bits": [ 3325 ],
- "attributes": {
- }
- },
- "$abc$24763$n4427_1": {
- "hide_name": 1,
- "bits": [ 3327 ],
- "attributes": {
- }
- },
- "$abc$24763$n4429_1": {
- "hide_name": 1,
- "bits": [ 3329 ],
- "attributes": {
- }
- },
- "$abc$24763$n4431_1": {
- "hide_name": 1,
- "bits": [ 3331 ],
- "attributes": {
- }
- },
- "$abc$24763$n4433_1": {
- "hide_name": 1,
- "bits": [ 3333 ],
- "attributes": {
- }
- },
- "$abc$24763$n4435_1": {
- "hide_name": 1,
- "bits": [ 3335 ],
- "attributes": {
- }
- },
- "$abc$24763$n4437": {
- "hide_name": 1,
- "bits": [ 3337 ],
- "attributes": {
- }
- },
- "$abc$24763$n4439": {
- "hide_name": 1,
- "bits": [ 3339 ],
- "attributes": {
- }
- },
- "$abc$24763$n4441": {
- "hide_name": 1,
- "bits": [ 3341 ],
- "attributes": {
- }
- },
- "$abc$24763$n4443": {
- "hide_name": 1,
- "bits": [ 3343 ],
- "attributes": {
- }
- },
- "$abc$24763$n4485": {
- "hide_name": 1,
- "bits": [ 3386 ],
- "attributes": {
- }
- },
- "$abc$24763$n4486_1": {
- "hide_name": 1,
- "bits": [ 3385 ],
- "attributes": {
- }
- },
- "$abc$24763$n4488": {
- "hide_name": 1,
- "bits": [ 3395 ],
- "attributes": {
- }
- },
- "$abc$24763$n4489_1": {
- "hide_name": 1,
- "bits": [ 3394 ],
- "attributes": {
- }
- },
- "$abc$24763$n4491": {
- "hide_name": 1,
- "bits": [ 3402 ],
- "attributes": {
- }
- },
- "$abc$24763$n4492_1": {
- "hide_name": 1,
- "bits": [ 3401 ],
- "attributes": {
- }
- },
- "$abc$24763$n4494": {
- "hide_name": 1,
- "bits": [ 3409 ],
- "attributes": {
- }
- },
- "$abc$24763$n4495_1": {
- "hide_name": 1,
- "bits": [ 3408 ],
- "attributes": {
- }
- },
- "$abc$24763$n4497": {
- "hide_name": 1,
- "bits": [ 3416 ],
- "attributes": {
- }
- },
- "$abc$24763$n4498_1": {
- "hide_name": 1,
- "bits": [ 3415 ],
- "attributes": {
- }
- },
- "$abc$24763$n4500": {
- "hide_name": 1,
- "bits": [ 3423 ],
- "attributes": {
- }
- },
- "$abc$24763$n4501_1": {
- "hide_name": 1,
- "bits": [ 3422 ],
- "attributes": {
- }
- },
- "$abc$24763$n4503": {
- "hide_name": 1,
- "bits": [ 3430 ],
- "attributes": {
- }
- },
- "$abc$24763$n4504_1": {
- "hide_name": 1,
- "bits": [ 3429 ],
- "attributes": {
- }
- },
- "$abc$24763$n4506": {
- "hide_name": 1,
- "bits": [ 3437 ],
- "attributes": {
- }
- },
- "$abc$24763$n4507_1": {
- "hide_name": 1,
- "bits": [ 3436 ],
- "attributes": {
- }
- },
- "$abc$24763$n4509": {
- "hide_name": 1,
- "bits": [ 3444 ],
- "attributes": {
- }
- },
- "$abc$24763$n4510_1": {
- "hide_name": 1,
- "bits": [ 3443 ],
- "attributes": {
- }
- },
- "$abc$24763$n4512": {
- "hide_name": 1,
- "bits": [ 3451 ],
- "attributes": {
- }
- },
- "$abc$24763$n4513_1": {
- "hide_name": 1,
- "bits": [ 3450 ],
- "attributes": {
- }
- },
- "$abc$24763$n4515": {
- "hide_name": 1,
- "bits": [ 3458 ],
- "attributes": {
- }
- },
- "$abc$24763$n4516_1": {
- "hide_name": 1,
- "bits": [ 3457 ],
- "attributes": {
- }
- },
- "$abc$24763$n4518": {
- "hide_name": 1,
- "bits": [ 3465 ],
- "attributes": {
- }
- },
- "$abc$24763$n4519_1": {
- "hide_name": 1,
- "bits": [ 3464 ],
- "attributes": {
- }
- },
- "$abc$24763$n4521": {
- "hide_name": 1,
- "bits": [ 3472 ],
- "attributes": {
- }
- },
- "$abc$24763$n4522_1": {
- "hide_name": 1,
- "bits": [ 3471 ],
- "attributes": {
- }
- },
- "$abc$24763$n4524": {
- "hide_name": 1,
- "bits": [ 3479 ],
- "attributes": {
- }
- },
- "$abc$24763$n4525_1": {
- "hide_name": 1,
- "bits": [ 3478 ],
- "attributes": {
- }
- },
- "$abc$24763$n4527": {
- "hide_name": 1,
- "bits": [ 3486 ],
- "attributes": {
- }
- },
- "$abc$24763$n4528_1": {
- "hide_name": 1,
- "bits": [ 3485 ],
- "attributes": {
- }
- },
- "$abc$24763$n4530": {
- "hide_name": 1,
- "bits": [ 3493 ],
- "attributes": {
- }
- },
- "$abc$24763$n4531_1": {
- "hide_name": 1,
- "bits": [ 3492 ],
- "attributes": {
- }
- },
- "$abc$24763$n4533_1": {
- "hide_name": 1,
- "bits": [ 3500 ],
- "attributes": {
- }
- },
- "$abc$24763$n4534_1": {
- "hide_name": 1,
- "bits": [ 3499 ],
- "attributes": {
- }
- },
- "$abc$24763$n4536_1": {
- "hide_name": 1,
- "bits": [ 3503 ],
- "attributes": {
- }
- },
- "$abc$24763$n4537_1": {
- "hide_name": 1,
- "bits": [ 3502 ],
- "attributes": {
- }
- },
- "$abc$24763$n4539_1": {
- "hide_name": 1,
- "bits": [ 3506 ],
- "attributes": {
- }
- },
- "$abc$24763$n4540_1": {
- "hide_name": 1,
- "bits": [ 3505 ],
- "attributes": {
- }
- },
- "$abc$24763$n4542_1": {
- "hide_name": 1,
- "bits": [ 3509 ],
- "attributes": {
- }
- },
- "$abc$24763$n4543_1": {
- "hide_name": 1,
- "bits": [ 3508 ],
- "attributes": {
- }
- },
- "$abc$24763$n4545_1": {
- "hide_name": 1,
- "bits": [ 3512 ],
- "attributes": {
- }
- },
- "$abc$24763$n4546_1": {
- "hide_name": 1,
- "bits": [ 3511 ],
- "attributes": {
- }
- },
- "$abc$24763$n4548_1": {
- "hide_name": 1,
- "bits": [ 3515 ],
- "attributes": {
- }
- },
- "$abc$24763$n4549_1": {
- "hide_name": 1,
- "bits": [ 3514 ],
- "attributes": {
- }
- },
- "$abc$24763$n455": {
- "hide_name": 1,
- "bits": [ 396 ],
- "attributes": {
- }
- },
- "$abc$24763$n4551_1": {
- "hide_name": 1,
- "bits": [ 3518 ],
- "attributes": {
- }
- },
- "$abc$24763$n4552_1": {
- "hide_name": 1,
- "bits": [ 3517 ],
- "attributes": {
- }
- },
- "$abc$24763$n4554_1": {
- "hide_name": 1,
- "bits": [ 3521 ],
- "attributes": {
- }
- },
- "$abc$24763$n4555_1": {
- "hide_name": 1,
- "bits": [ 3520 ],
- "attributes": {
- }
- },
- "$abc$24763$n4557_1": {
- "hide_name": 1,
- "bits": [ 3524 ],
- "attributes": {
- }
- },
- "$abc$24763$n4558_1": {
- "hide_name": 1,
- "bits": [ 3523 ],
- "attributes": {
- }
- },
- "$abc$24763$n4560_1": {
- "hide_name": 1,
- "bits": [ 3527 ],
- "attributes": {
- }
- },
- "$abc$24763$n4561_1": {
- "hide_name": 1,
- "bits": [ 3526 ],
- "attributes": {
- }
- },
- "$abc$24763$n4563_1": {
- "hide_name": 1,
- "bits": [ 3530 ],
- "attributes": {
- }
- },
- "$abc$24763$n4564_1": {
- "hide_name": 1,
- "bits": [ 3529 ],
- "attributes": {
- }
- },
- "$abc$24763$n4566": {
- "hide_name": 1,
- "bits": [ 3533 ],
- "attributes": {
- }
- },
- "$abc$24763$n4567": {
- "hide_name": 1,
- "bits": [ 3532 ],
- "attributes": {
- }
- },
- "$abc$24763$n4569": {
- "hide_name": 1,
- "bits": [ 3536 ],
- "attributes": {
- }
- },
- "$abc$24763$n4570": {
- "hide_name": 1,
- "bits": [ 3535 ],
- "attributes": {
- }
- },
- "$abc$24763$n4572": {
- "hide_name": 1,
- "bits": [ 3539 ],
- "attributes": {
- }
- },
- "$abc$24763$n4573": {
- "hide_name": 1,
- "bits": [ 3538 ],
- "attributes": {
- }
- },
- "$abc$24763$n4575": {
- "hide_name": 1,
- "bits": [ 3542 ],
- "attributes": {
- }
- },
- "$abc$24763$n4576": {
- "hide_name": 1,
- "bits": [ 3541 ],
- "attributes": {
- }
- },
- "$abc$24763$n4578": {
- "hide_name": 1,
- "bits": [ 3545 ],
- "attributes": {
- }
- },
- "$abc$24763$n4579": {
- "hide_name": 1,
- "bits": [ 3544 ],
- "attributes": {
- }
- },
- "$abc$24763$n4639": {
- "hide_name": 1,
- "bits": [ 3603 ],
- "attributes": {
- }
- },
- "$abc$24763$n4640": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$24763$n4641": {
- "hide_name": 1,
- "bits": [ 3604 ],
- "attributes": {
- }
- },
- "$abc$24763$n4642": {
- "hide_name": 1,
- "bits": [ 3605 ],
- "attributes": {
- }
- },
- "$abc$24763$n4643": {
- "hide_name": 1,
- "bits": [ 3606 ],
- "attributes": {
- }
- },
- "$abc$24763$n4645": {
- "hide_name": 1,
- "bits": [ 3608 ],
- "attributes": {
- }
- },
- "$abc$24763$n4646": {
- "hide_name": 1,
- "bits": [ 3609 ],
- "attributes": {
- }
- },
- "$abc$24763$n4648": {
- "hide_name": 1,
- "bits": [ 3611 ],
- "attributes": {
- }
- },
- "$abc$24763$n4650": {
- "hide_name": 1,
- "bits": [ 3614 ],
- "attributes": {
- }
- },
- "$abc$24763$n4652": {
- "hide_name": 1,
- "bits": [ 3618 ],
- "attributes": {
- }
- },
- "$abc$24763$n4654": {
- "hide_name": 1,
- "bits": [ 3622 ],
- "attributes": {
- }
- },
- "$abc$24763$n4656": {
- "hide_name": 1,
- "bits": [ 3626 ],
- "attributes": {
- }
- },
- "$abc$24763$n4658": {
- "hide_name": 1,
- "bits": [ 3630 ],
- "attributes": {
- }
- },
- "$abc$24763$n4660": {
- "hide_name": 1,
- "bits": [ 3634 ],
- "attributes": {
- }
- },
- "$abc$24763$n4662": {
- "hide_name": 1,
- "bits": [ 3638 ],
- "attributes": {
- }
- },
- "$abc$24763$n4664": {
- "hide_name": 1,
- "bits": [ 3642 ],
- "attributes": {
- }
- },
- "$abc$24763$n4666": {
- "hide_name": 1,
- "bits": [ 3646 ],
- "attributes": {
- }
- },
- "$abc$24763$n4668": {
- "hide_name": 1,
- "bits": [ 3650 ],
- "attributes": {
- }
- },
- "$abc$24763$n4670": {
- "hide_name": 1,
- "bits": [ 3654 ],
- "attributes": {
- }
- },
- "$abc$24763$n4672": {
- "hide_name": 1,
- "bits": [ 3658 ],
- "attributes": {
- }
- },
- "$abc$24763$n4674": {
- "hide_name": 1,
- "bits": [ 3662 ],
- "attributes": {
- }
- },
- "$abc$24763$n4676": {
- "hide_name": 1,
- "bits": [ 3666 ],
- "attributes": {
- }
- },
- "$abc$24763$n4678": {
- "hide_name": 1,
- "bits": [ 3670 ],
- "attributes": {
- }
- },
- "$abc$24763$n4679": {
- "hide_name": 1,
- "bits": [ 3671 ],
- "attributes": {
- }
- },
- "$abc$24763$n4681": {
- "hide_name": 1,
- "bits": [ 3675 ],
- "attributes": {
- }
- },
- "$abc$24763$n4682": {
- "hide_name": 1,
- "bits": [ 3676 ],
- "attributes": {
- }
- },
- "$abc$24763$n4684": {
- "hide_name": 1,
- "bits": [ 3680 ],
- "attributes": {
- }
- },
- "$abc$24763$n4686": {
- "hide_name": 1,
- "bits": [ 3684 ],
- "attributes": {
- }
- },
- "$abc$24763$n4688": {
- "hide_name": 1,
- "bits": [ 3687 ],
- "attributes": {
- }
- },
- "$abc$24763$n4689": {
- "hide_name": 1,
- "bits": [ 3688 ],
- "attributes": {
- }
- },
- "$abc$24763$n4690": {
- "hide_name": 1,
- "bits": [ 1856 ],
- "attributes": {
- }
- },
- "$abc$24763$n4691": {
- "hide_name": 1,
- "bits": [ 3689 ],
- "attributes": {
- }
- },
- "$abc$24763$n4692": {
- "hide_name": 1,
- "bits": [ 1970 ],
- "attributes": {
- }
- },
- "$abc$24763$n4693": {
- "hide_name": 1,
- "bits": [ 3690 ],
- "attributes": {
- }
- },
- "$abc$24763$n4695": {
- "hide_name": 1,
- "bits": [ 3692 ],
- "attributes": {
- }
- },
- "$abc$24763$n4697": {
- "hide_name": 1,
- "bits": [ 3694 ],
- "attributes": {
- }
- },
- "$abc$24763$n4699": {
- "hide_name": 1,
- "bits": [ 3696 ],
- "attributes": {
- }
- },
- "$abc$24763$n4700": {
- "hide_name": 1,
- "bits": [ 2163 ],
- "attributes": {
- }
- },
- "$abc$24763$n4701": {
- "hide_name": 1,
- "bits": [ 3697 ],
- "attributes": {
- }
- },
- "$abc$24763$n4702": {
- "hide_name": 1,
- "bits": [ 3698 ],
- "attributes": {
- }
- },
- "$abc$24763$n4703": {
- "hide_name": 1,
- "bits": [ 3699 ],
- "attributes": {
- }
- },
- "$abc$24763$n4704": {
- "hide_name": 1,
- "bits": [ 2100 ],
- "attributes": {
- }
- },
- "$abc$24763$n4705": {
- "hide_name": 1,
- "bits": [ 3700 ],
- "attributes": {
- }
- },
- "$abc$24763$n4706": {
- "hide_name": 1,
- "bits": [ 3701 ],
- "attributes": {
- }
- },
- "$abc$24763$n4707": {
- "hide_name": 1,
- "bits": [ 3702 ],
- "attributes": {
- }
- },
- "$abc$24763$n4708": {
- "hide_name": 1,
- "bits": [ 3703 ],
- "attributes": {
- }
- },
- "$abc$24763$n476": {
- "hide_name": 1,
- "bits": [ 397 ],
- "attributes": {
- }
- },
- "$abc$24763$n5078": {
- "hide_name": 1,
- "bits": [ 2381 ],
- "attributes": {
- }
- },
- "$abc$24763$n5144": {
- "hide_name": 1,
- "bits": [ 2382 ],
- "attributes": {
- }
- },
- "$abc$24763$n5147": {
- "hide_name": 1,
- "bits": [ 2386 ],
- "attributes": {
- }
- },
- "$abc$24763$n5150": {
- "hide_name": 1,
- "bits": [ 2407 ],
- "attributes": {
- }
- },
- "$abc$24763$n5153": {
- "hide_name": 1,
- "bits": [ 2428 ],
- "attributes": {
- }
- },
- "$abc$24763$n5156": {
- "hide_name": 1,
- "bits": [ 2449 ],
- "attributes": {
- }
- },
- "$abc$24763$n5159": {
- "hide_name": 1,
- "bits": [ 2470 ],
- "attributes": {
- }
- },
- "$abc$24763$n5162": {
- "hide_name": 1,
- "bits": [ 2491 ],
- "attributes": {
- }
- },
- "$abc$24763$n5165": {
- "hide_name": 1,
- "bits": [ 2512 ],
- "attributes": {
- }
- },
- "$abc$24763$n5168": {
- "hide_name": 1,
- "bits": [ 2533 ],
- "attributes": {
- }
- },
- "$abc$24763$n5171": {
- "hide_name": 1,
- "bits": [ 2554 ],
- "attributes": {
- }
- },
- "$abc$24763$n5174": {
- "hide_name": 1,
- "bits": [ 2575 ],
- "attributes": {
- }
- },
- "$abc$24763$n5177": {
- "hide_name": 1,
- "bits": [ 2596 ],
- "attributes": {
- }
- },
- "$abc$24763$n5180": {
- "hide_name": 1,
- "bits": [ 2617 ],
- "attributes": {
- }
- },
- "$abc$24763$n5183": {
- "hide_name": 1,
- "bits": [ 2638 ],
- "attributes": {
- }
- },
- "$abc$24763$n5186": {
- "hide_name": 1,
- "bits": [ 2659 ],
- "attributes": {
- }
- },
- "$abc$24763$n5189": {
- "hide_name": 1,
- "bits": [ 2669 ],
- "attributes": {
- }
- },
- "$abc$24763$n5192": {
- "hide_name": 1,
- "bits": [ 2676 ],
- "attributes": {
- }
- },
- "$abc$24763$n5195": {
- "hide_name": 1,
- "bits": [ 2683 ],
- "attributes": {
- }
- },
- "$abc$24763$n5198": {
- "hide_name": 1,
- "bits": [ 2690 ],
- "attributes": {
- }
- },
- "$abc$24763$n5201": {
- "hide_name": 1,
- "bits": [ 2697 ],
- "attributes": {
- }
- },
- "$abc$24763$n5204": {
- "hide_name": 1,
- "bits": [ 2704 ],
- "attributes": {
- }
- },
- "$abc$24763$n5207": {
- "hide_name": 1,
- "bits": [ 2711 ],
- "attributes": {
- }
- },
- "$abc$24763$n5210": {
- "hide_name": 1,
- "bits": [ 2718 ],
- "attributes": {
- }
- },
- "$abc$24763$n5213": {
- "hide_name": 1,
- "bits": [ 2725 ],
- "attributes": {
- }
- },
- "$abc$24763$n5216": {
- "hide_name": 1,
- "bits": [ 2732 ],
- "attributes": {
- }
- },
- "$abc$24763$n5219": {
- "hide_name": 1,
- "bits": [ 2739 ],
- "attributes": {
- }
- },
- "$abc$24763$n5222": {
- "hide_name": 1,
- "bits": [ 2746 ],
- "attributes": {
- }
- },
- "$abc$24763$n5225": {
- "hide_name": 1,
- "bits": [ 2753 ],
- "attributes": {
- }
- },
- "$abc$24763$n5228": {
- "hide_name": 1,
- "bits": [ 2760 ],
- "attributes": {
- }
- },
- "$abc$24763$n5231": {
- "hide_name": 1,
- "bits": [ 2765 ],
- "attributes": {
- }
- },
- "$abc$24763$n5233": {
- "hide_name": 1,
- "bits": [ 2766 ],
- "attributes": {
- }
- },
- "$abc$24763$n5235": {
- "hide_name": 1,
- "bits": [ 2767 ],
- "attributes": {
- }
- },
- "$abc$24763$n5237": {
- "hide_name": 1,
- "bits": [ 2768 ],
- "attributes": {
- }
- },
- "$abc$24763$n5239": {
- "hide_name": 1,
- "bits": [ 2769 ],
- "attributes": {
- }
- },
- "$abc$24763$n5241": {
- "hide_name": 1,
- "bits": [ 2770 ],
- "attributes": {
- }
- },
- "$abc$24763$n5243": {
- "hide_name": 1,
- "bits": [ 2771 ],
- "attributes": {
- }
- },
- "$abc$24763$n5245": {
- "hide_name": 1,
- "bits": [ 2772 ],
- "attributes": {
- }
- },
- "$abc$24763$n5247": {
- "hide_name": 1,
- "bits": [ 2773 ],
- "attributes": {
- }
- },
- "$abc$24763$n5249": {
- "hide_name": 1,
- "bits": [ 2774 ],
- "attributes": {
- }
- },
- "$abc$24763$n5251": {
- "hide_name": 1,
- "bits": [ 2775 ],
- "attributes": {
- }
- },
- "$abc$24763$n5253": {
- "hide_name": 1,
- "bits": [ 2776 ],
- "attributes": {
- }
- },
- "$abc$24763$n5255": {
- "hide_name": 1,
- "bits": [ 2777 ],
- "attributes": {
- }
- },
- "$abc$24763$n5257": {
- "hide_name": 1,
- "bits": [ 2778 ],
- "attributes": {
- }
- },
- "$abc$24763$n5259": {
- "hide_name": 1,
- "bits": [ 2779 ],
- "attributes": {
- }
- },
- "$abc$24763$n5261": {
- "hide_name": 1,
- "bits": [ 2780 ],
- "attributes": {
- }
- },
- "$abc$24763$n5263": {
- "hide_name": 1,
- "bits": [ 2781 ],
- "attributes": {
- }
- },
- "$abc$24763$n5265": {
- "hide_name": 1,
- "bits": [ 2782 ],
- "attributes": {
- }
- },
- "$abc$24763$n5267": {
- "hide_name": 1,
- "bits": [ 2783 ],
- "attributes": {
- }
- },
- "$abc$24763$n5269": {
- "hide_name": 1,
- "bits": [ 2784 ],
- "attributes": {
- }
- },
- "$abc$24763$n5271": {
- "hide_name": 1,
- "bits": [ 2785 ],
- "attributes": {
- }
- },
- "$abc$24763$n5273": {
- "hide_name": 1,
- "bits": [ 2786 ],
- "attributes": {
- }
- },
- "$abc$24763$n5275": {
- "hide_name": 1,
- "bits": [ 2787 ],
- "attributes": {
- }
- },
- "$abc$24763$n5277": {
- "hide_name": 1,
- "bits": [ 2788 ],
- "attributes": {
- }
- },
- "$abc$24763$n5279": {
- "hide_name": 1,
- "bits": [ 2789 ],
- "attributes": {
- }
- },
- "$abc$24763$n528": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$24763$n5281": {
- "hide_name": 1,
- "bits": [ 2790 ],
- "attributes": {
- }
- },
- "$abc$24763$n5283": {
- "hide_name": 1,
- "bits": [ 2791 ],
- "attributes": {
- }
- },
- "$abc$24763$n5285": {
- "hide_name": 1,
- "bits": [ 2792 ],
- "attributes": {
- }
- },
- "$abc$24763$n5287": {
- "hide_name": 1,
- "bits": [ 2794 ],
- "attributes": {
- }
- },
- "$abc$24763$n5290": {
- "hide_name": 1,
- "bits": [ 2798 ],
- "attributes": {
- }
- },
- "$abc$24763$n5293": {
- "hide_name": 1,
- "bits": [ 2802 ],
- "attributes": {
- }
- },
- "$abc$24763$n5296": {
- "hide_name": 1,
- "bits": [ 2823 ],
- "attributes": {
- }
- },
- "$abc$24763$n5299": {
- "hide_name": 1,
- "bits": [ 2844 ],
- "attributes": {
- }
- },
- "$abc$24763$n5302": {
- "hide_name": 1,
- "bits": [ 2865 ],
- "attributes": {
- }
- },
- "$abc$24763$n5305": {
- "hide_name": 1,
- "bits": [ 2886 ],
- "attributes": {
- }
- },
- "$abc$24763$n5308": {
- "hide_name": 1,
- "bits": [ 2907 ],
- "attributes": {
- }
- },
- "$abc$24763$n5311": {
- "hide_name": 1,
- "bits": [ 2928 ],
- "attributes": {
- }
- },
- "$abc$24763$n5314": {
- "hide_name": 1,
- "bits": [ 2949 ],
- "attributes": {
- }
- },
- "$abc$24763$n5317": {
- "hide_name": 1,
- "bits": [ 2970 ],
- "attributes": {
- }
- },
- "$abc$24763$n5320": {
- "hide_name": 1,
- "bits": [ 2991 ],
- "attributes": {
- }
- },
- "$abc$24763$n5323": {
- "hide_name": 1,
- "bits": [ 3012 ],
- "attributes": {
- }
- },
- "$abc$24763$n5326": {
- "hide_name": 1,
- "bits": [ 3033 ],
- "attributes": {
- }
- },
- "$abc$24763$n5329": {
- "hide_name": 1,
- "bits": [ 3054 ],
- "attributes": {
- }
- },
- "$abc$24763$n533": {
- "hide_name": 1,
- "bits": [ 447 ],
- "attributes": {
- }
- },
- "$abc$24763$n5332": {
- "hide_name": 1,
- "bits": [ 3075 ],
- "attributes": {
- }
- },
- "$abc$24763$n5335": {
- "hide_name": 1,
- "bits": [ 3085 ],
- "attributes": {
- }
- },
- "$abc$24763$n5338": {
- "hide_name": 1,
- "bits": [ 3092 ],
- "attributes": {
- }
- },
- "$abc$24763$n5341": {
- "hide_name": 1,
- "bits": [ 3099 ],
- "attributes": {
- }
- },
- "$abc$24763$n5344": {
- "hide_name": 1,
- "bits": [ 3106 ],
- "attributes": {
- }
- },
- "$abc$24763$n5347": {
- "hide_name": 1,
- "bits": [ 3113 ],
- "attributes": {
- }
- },
- "$abc$24763$n5350": {
- "hide_name": 1,
- "bits": [ 3120 ],
- "attributes": {
- }
- },
- "$abc$24763$n5353": {
- "hide_name": 1,
- "bits": [ 3127 ],
- "attributes": {
- }
- },
- "$abc$24763$n5356": {
- "hide_name": 1,
- "bits": [ 3134 ],
- "attributes": {
- }
- },
- "$abc$24763$n5359": {
- "hide_name": 1,
- "bits": [ 3141 ],
- "attributes": {
- }
- },
- "$abc$24763$n5362": {
- "hide_name": 1,
- "bits": [ 3148 ],
- "attributes": {
- }
- },
- "$abc$24763$n5365": {
- "hide_name": 1,
- "bits": [ 3155 ],
- "attributes": {
- }
- },
- "$abc$24763$n5368": {
- "hide_name": 1,
- "bits": [ 3162 ],
- "attributes": {
- }
- },
- "$abc$24763$n5371": {
- "hide_name": 1,
- "bits": [ 3169 ],
- "attributes": {
- }
- },
- "$abc$24763$n5374": {
- "hide_name": 1,
- "bits": [ 3176 ],
- "attributes": {
- }
- },
- "$abc$24763$n5377": {
- "hide_name": 1,
- "bits": [ 3181 ],
- "attributes": {
- }
- },
- "$abc$24763$n5379": {
- "hide_name": 1,
- "bits": [ 3182 ],
- "attributes": {
- }
- },
- "$abc$24763$n538": {
- "hide_name": 1,
- "bits": [ 449 ],
- "attributes": {
- }
- },
- "$abc$24763$n5381": {
- "hide_name": 1,
- "bits": [ 3183 ],
- "attributes": {
- }
- },
- "$abc$24763$n5383": {
- "hide_name": 1,
- "bits": [ 3184 ],
- "attributes": {
- }
- },
- "$abc$24763$n5385": {
- "hide_name": 1,
- "bits": [ 3185 ],
- "attributes": {
- }
- },
- "$abc$24763$n5387": {
- "hide_name": 1,
- "bits": [ 3186 ],
- "attributes": {
- }
- },
- "$abc$24763$n5389": {
- "hide_name": 1,
- "bits": [ 3187 ],
- "attributes": {
- }
- },
- "$abc$24763$n5391": {
- "hide_name": 1,
- "bits": [ 3188 ],
- "attributes": {
- }
- },
- "$abc$24763$n5393": {
- "hide_name": 1,
- "bits": [ 3189 ],
- "attributes": {
- }
- },
- "$abc$24763$n5395": {
- "hide_name": 1,
- "bits": [ 3190 ],
- "attributes": {
- }
- },
- "$abc$24763$n5397": {
- "hide_name": 1,
- "bits": [ 3191 ],
- "attributes": {
- }
- },
- "$abc$24763$n5399": {
- "hide_name": 1,
- "bits": [ 3192 ],
- "attributes": {
- }
- },
- "$abc$24763$n5401": {
- "hide_name": 1,
- "bits": [ 3193 ],
- "attributes": {
- }
- },
- "$abc$24763$n5403": {
- "hide_name": 1,
- "bits": [ 3194 ],
- "attributes": {
- }
- },
- "$abc$24763$n5405": {
- "hide_name": 1,
- "bits": [ 3195 ],
- "attributes": {
- }
- },
- "$abc$24763$n5407": {
- "hide_name": 1,
- "bits": [ 3196 ],
- "attributes": {
- }
- },
- "$abc$24763$n5409": {
- "hide_name": 1,
- "bits": [ 3197 ],
- "attributes": {
- }
- },
- "$abc$24763$n5411": {
- "hide_name": 1,
- "bits": [ 3198 ],
- "attributes": {
- }
- },
- "$abc$24763$n5413": {
- "hide_name": 1,
- "bits": [ 3199 ],
- "attributes": {
- }
- },
- "$abc$24763$n5415": {
- "hide_name": 1,
- "bits": [ 3200 ],
- "attributes": {
- }
- },
- "$abc$24763$n5417": {
- "hide_name": 1,
- "bits": [ 3201 ],
- "attributes": {
- }
- },
- "$abc$24763$n5419": {
- "hide_name": 1,
- "bits": [ 3202 ],
- "attributes": {
- }
- },
- "$abc$24763$n5421": {
- "hide_name": 1,
- "bits": [ 3203 ],
- "attributes": {
- }
- },
- "$abc$24763$n5423": {
- "hide_name": 1,
- "bits": [ 3204 ],
- "attributes": {
- }
- },
- "$abc$24763$n5425": {
- "hide_name": 1,
- "bits": [ 3205 ],
- "attributes": {
- }
- },
- "$abc$24763$n5427": {
- "hide_name": 1,
- "bits": [ 3206 ],
- "attributes": {
- }
- },
- "$abc$24763$n5429": {
- "hide_name": 1,
- "bits": [ 3207 ],
- "attributes": {
- }
- },
- "$abc$24763$n5431": {
- "hide_name": 1,
- "bits": [ 3208 ],
- "attributes": {
- }
- },
- "$abc$24763$n5433": {
- "hide_name": 1,
- "bits": [ 3212 ],
- "attributes": {
- }
- },
- "$abc$24763$n545": {
- "hide_name": 1,
- "bits": [ 452 ],
- "attributes": {
- }
- },
- "$abc$24763$n5461": {
- "hide_name": 1,
- "bits": [ 3214 ],
- "attributes": {
- }
- },
- "$abc$24763$n5462": {
- "hide_name": 1,
- "bits": [ 3215 ],
- "attributes": {
- }
- },
- "$abc$24763$n5466": {
- "hide_name": 1,
- "bits": [ 3218 ],
- "attributes": {
- }
- },
- "$abc$24763$n5468": {
- "hide_name": 1,
- "bits": [ 3220 ],
- "attributes": {
- }
- },
- "$abc$24763$n5470": {
- "hide_name": 1,
- "bits": [ 3222 ],
- "attributes": {
- }
- },
- "$abc$24763$n5472": {
- "hide_name": 1,
- "bits": [ 3224 ],
- "attributes": {
- }
- },
- "$abc$24763$n5474": {
- "hide_name": 1,
- "bits": [ 3226 ],
- "attributes": {
- }
- },
- "$abc$24763$n5476": {
- "hide_name": 1,
- "bits": [ 3228 ],
- "attributes": {
- }
- },
- "$abc$24763$n5478": {
- "hide_name": 1,
- "bits": [ 3230 ],
- "attributes": {
- }
- },
- "$abc$24763$n5479": {
- "hide_name": 1,
- "bits": [ 3231 ],
- "attributes": {
- }
- },
- "$abc$24763$n5481": {
- "hide_name": 1,
- "bits": [ 3234 ],
- "attributes": {
- }
- },
- "$abc$24763$n5483": {
- "hide_name": 1,
- "bits": [ 3236 ],
- "attributes": {
- }
- },
- "$abc$24763$n5485": {
- "hide_name": 1,
- "bits": [ 3238 ],
- "attributes": {
- }
- },
- "$abc$24763$n5487": {
- "hide_name": 1,
- "bits": [ 3240 ],
- "attributes": {
- }
- },
- "$abc$24763$n5489": {
- "hide_name": 1,
- "bits": [ 3242 ],
- "attributes": {
- }
- },
- "$abc$24763$n5491": {
- "hide_name": 1,
- "bits": [ 3244 ],
- "attributes": {
- }
- },
- "$abc$24763$n5493": {
- "hide_name": 1,
- "bits": [ 3246 ],
- "attributes": {
- }
- },
- "$abc$24763$n5494": {
- "hide_name": 1,
- "bits": [ 3247 ],
- "attributes": {
- }
- },
- "$abc$24763$n5495": {
- "hide_name": 1,
- "bits": [ 3248 ],
- "attributes": {
- }
- },
- "$abc$24763$n556": {
- "hide_name": 1,
- "bits": [ 454 ],
- "attributes": {
- }
- },
- "$abc$24763$n561": {
- "hide_name": 1,
- "bits": [ 455 ],
- "attributes": {
- }
- },
- "$abc$24763$n6": {
- "hide_name": 1,
- "bits": [ 25 ],
- "attributes": {
- }
- },
- "$abc$24763$n6123": {
- "hide_name": 1,
- "bits": [ 3388 ],
- "attributes": {
- }
- },
- "$abc$24763$n6124": {
- "hide_name": 1,
- "bits": [ 3396 ],
- "attributes": {
- }
- },
- "$abc$24763$n6125": {
- "hide_name": 1,
- "bits": [ 3403 ],
- "attributes": {
- }
- },
- "$abc$24763$n6126": {
- "hide_name": 1,
- "bits": [ 3410 ],
- "attributes": {
- }
- },
- "$abc$24763$n6127": {
- "hide_name": 1,
- "bits": [ 3417 ],
- "attributes": {
- }
- },
- "$abc$24763$n6128": {
- "hide_name": 1,
- "bits": [ 3424 ],
- "attributes": {
- }
- },
- "$abc$24763$n6129": {
- "hide_name": 1,
- "bits": [ 3431 ],
- "attributes": {
- }
- },
- "$abc$24763$n6130": {
- "hide_name": 1,
- "bits": [ 3438 ],
- "attributes": {
- }
- },
- "$abc$24763$n6131": {
- "hide_name": 1,
- "bits": [ 3445 ],
- "attributes": {
- }
- },
- "$abc$24763$n6132": {
- "hide_name": 1,
- "bits": [ 3452 ],
- "attributes": {
- }
- },
- "$abc$24763$n6133": {
- "hide_name": 1,
- "bits": [ 3459 ],
- "attributes": {
- }
- },
- "$abc$24763$n6134": {
- "hide_name": 1,
- "bits": [ 3466 ],
- "attributes": {
- }
- },
- "$abc$24763$n6135": {
- "hide_name": 1,
- "bits": [ 3473 ],
- "attributes": {
- }
- },
- "$abc$24763$n6136": {
- "hide_name": 1,
- "bits": [ 3480 ],
- "attributes": {
- }
- },
- "$abc$24763$n6137": {
- "hide_name": 1,
- "bits": [ 3487 ],
- "attributes": {
- }
- },
- "$abc$24763$n6138": {
- "hide_name": 1,
- "bits": [ 3494 ],
- "attributes": {
- }
- },
- "$abc$24763$n6139": {
- "hide_name": 1,
- "bits": [ 3501 ],
- "attributes": {
- }
- },
- "$abc$24763$n6140": {
- "hide_name": 1,
- "bits": [ 3504 ],
- "attributes": {
- }
- },
- "$abc$24763$n6141": {
- "hide_name": 1,
- "bits": [ 3507 ],
- "attributes": {
- }
- },
- "$abc$24763$n6142": {
- "hide_name": 1,
- "bits": [ 3510 ],
- "attributes": {
- }
- },
- "$abc$24763$n6143": {
- "hide_name": 1,
- "bits": [ 3513 ],
- "attributes": {
- }
- },
- "$abc$24763$n6144": {
- "hide_name": 1,
- "bits": [ 3516 ],
- "attributes": {
- }
- },
- "$abc$24763$n6145": {
- "hide_name": 1,
- "bits": [ 3519 ],
- "attributes": {
- }
- },
- "$abc$24763$n6146": {
- "hide_name": 1,
- "bits": [ 3522 ],
- "attributes": {
- }
- },
- "$abc$24763$n6147": {
- "hide_name": 1,
- "bits": [ 3525 ],
- "attributes": {
- }
- },
- "$abc$24763$n6148": {
- "hide_name": 1,
- "bits": [ 3528 ],
- "attributes": {
- }
- },
- "$abc$24763$n6149": {
- "hide_name": 1,
- "bits": [ 3531 ],
- "attributes": {
- }
- },
- "$abc$24763$n6150": {
- "hide_name": 1,
- "bits": [ 3534 ],
- "attributes": {
- }
- },
- "$abc$24763$n6151": {
- "hide_name": 1,
- "bits": [ 3537 ],
- "attributes": {
- }
- },
- "$abc$24763$n6152": {
- "hide_name": 1,
- "bits": [ 3540 ],
- "attributes": {
- }
- },
- "$abc$24763$n6153": {
- "hide_name": 1,
- "bits": [ 3543 ],
- "attributes": {
- }
- },
- "$abc$24763$n6154": {
- "hide_name": 1,
- "bits": [ 3546 ],
- "attributes": {
- }
- },
- "$abc$24763$n6155": {
- "hide_name": 1,
- "bits": [ 3547 ],
- "attributes": {
- }
- },
- "$abc$24763$n6156": {
- "hide_name": 1,
- "bits": [ 3548 ],
- "attributes": {
- }
- },
- "$abc$24763$n6157": {
- "hide_name": 1,
- "bits": [ 3549 ],
- "attributes": {
- }
- },
- "$abc$24763$n6158": {
- "hide_name": 1,
- "bits": [ 3550 ],
- "attributes": {
- }
- },
- "$abc$24763$n6159": {
- "hide_name": 1,
- "bits": [ 3551 ],
- "attributes": {
- }
- },
- "$abc$24763$n6160": {
- "hide_name": 1,
- "bits": [ 3552 ],
- "attributes": {
- }
- },
- "$abc$24763$n6161": {
- "hide_name": 1,
- "bits": [ 3553 ],
- "attributes": {
- }
- },
- "$abc$24763$n6162": {
- "hide_name": 1,
- "bits": [ 3554 ],
- "attributes": {
- }
- },
- "$abc$24763$n6163": {
- "hide_name": 1,
- "bits": [ 3555 ],
- "attributes": {
- }
- },
- "$abc$24763$n6164": {
- "hide_name": 1,
- "bits": [ 3556 ],
- "attributes": {
- }
- },
- "$abc$24763$n6165": {
- "hide_name": 1,
- "bits": [ 3557 ],
- "attributes": {
- }
- },
- "$abc$24763$n6166": {
- "hide_name": 1,
- "bits": [ 3558 ],
- "attributes": {
- }
- },
- "$abc$24763$n6167": {
- "hide_name": 1,
- "bits": [ 3559 ],
- "attributes": {
- }
- },
- "$abc$24763$n6168": {
- "hide_name": 1,
- "bits": [ 3560 ],
- "attributes": {
- }
- },
- "$abc$24763$n6169": {
- "hide_name": 1,
- "bits": [ 3561 ],
- "attributes": {
- }
- },
- "$abc$24763$n6170": {
- "hide_name": 1,
- "bits": [ 3562 ],
- "attributes": {
- }
- },
- "$abc$24763$n6171": {
- "hide_name": 1,
- "bits": [ 3563 ],
- "attributes": {
- }
- },
- "$abc$24763$n6172": {
- "hide_name": 1,
- "bits": [ 3564 ],
- "attributes": {
- }
- },
- "$abc$24763$n6173": {
- "hide_name": 1,
- "bits": [ 3565 ],
- "attributes": {
- }
- },
- "$abc$24763$n6174": {
- "hide_name": 1,
- "bits": [ 3566 ],
- "attributes": {
- }
- },
- "$abc$24763$n6175": {
- "hide_name": 1,
- "bits": [ 3567 ],
- "attributes": {
- }
- },
- "$abc$24763$n6176": {
- "hide_name": 1,
- "bits": [ 3568 ],
- "attributes": {
- }
- },
- "$abc$24763$n6177": {
- "hide_name": 1,
- "bits": [ 3569 ],
- "attributes": {
- }
- },
- "$abc$24763$n6178": {
- "hide_name": 1,
- "bits": [ 3570 ],
- "attributes": {
- }
- },
- "$abc$24763$n6179": {
- "hide_name": 1,
- "bits": [ 3571 ],
- "attributes": {
- }
- },
- "$abc$24763$n6180": {
- "hide_name": 1,
- "bits": [ 3572 ],
- "attributes": {
- }
- },
- "$abc$24763$n6181": {
- "hide_name": 1,
- "bits": [ 3573 ],
- "attributes": {
- }
- },
- "$abc$24763$n6182": {
- "hide_name": 1,
- "bits": [ 3574 ],
- "attributes": {
- }
- },
- "$abc$24763$n6183": {
- "hide_name": 1,
- "bits": [ 3575 ],
- "attributes": {
- }
- },
- "$abc$24763$n6184": {
- "hide_name": 1,
- "bits": [ 3576 ],
- "attributes": {
- }
- },
- "$abc$24763$n6185": {
- "hide_name": 1,
- "bits": [ 3577 ],
- "attributes": {
- }
- },
- "$abc$24763$n6186": {
- "hide_name": 1,
- "bits": [ 3578 ],
- "attributes": {
- }
- },
- "$abc$24763$n683": {
- "hide_name": 1,
- "bits": [ 456 ],
- "attributes": {
- }
- },
- "$abc$24763$n7": {
- "hide_name": 1,
- "bits": [ 3581 ],
- "attributes": {
- }
- },
- "$abc$24763$n701": {
- "hide_name": 1,
- "bits": [ 459 ],
- "attributes": {
- }
- },
- "$abc$24763$n746": {
- "hide_name": 1,
- "bits": [ 460 ],
- "attributes": {
- }
- },
- "$abc$24763$n8": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$24763$n89": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$24763$n9": {
- "hide_name": 1,
- "bits": [ 3582 ],
- "attributes": {
- }
- },
- "$abc$24763$n937": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$24763$n954": {
- "hide_name": 1,
- "bits": [ 465 ],
- "attributes": {
- }
- },
- "$abc$24763$n975": {
- "hide_name": 1,
- "bits": [ 531 ],
- "attributes": {
- }
- },
- "$abc$24763$n995": {
- "hide_name": 1,
- "bits": [ 3585 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1671.C": {
- "hide_name": 1,
- "bits": [ 5003, 5004, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3705 ],
- "attributes": {
- "src": "../rtl/top.v:234|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1674.C": {
- "hide_name": 1,
- "bits": [ 5005, 3714, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3715 ],
- "attributes": {
- "src": "../rtl/top.v:288|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1677.C": {
- "hide_name": 1,
- "bits": [ 5006, 5007, 5008, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3724 ],
- "attributes": {
- "src": "../rtl/top.v:297|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1680.C": {
- "hide_name": 1,
- "bits": [ 5009, 5010, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3732, 3733, 3734, 3735, 3736, 3737 ],
- "attributes": {
- "src": "../rtl/top.v:298|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1683.C": {
- "hide_name": 1,
- "bits": [ 5011, 3747, 3749, 3751 ],
- "attributes": {
- "src": "../rtl/top.v:98|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1686.C": {
- "hide_name": 1,
- "bits": [ 5012, 3754, 3770, 3772, 3774, 3776, 3778, 3780, 3782, 3784, 3755, 3757, 3759, 3761, 3763, 3765, 3767 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1689.C": {
- "hide_name": 1,
- "bits": [ 5013, 3786, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3787, 3788, 3789, 3790, 3791, 3792, 3793 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:213|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1692.C": {
- "hide_name": 1,
- "bits": [ 5014, 3804, 3807, 3810, 3813, 3816, 3819, 3822, 3825 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1695.C": {
- "hide_name": 1,
- "bits": [ 5015, 3829, 3831, 3833, 3835, 3837, 3839, 3841, 3843 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1698.C": {
- "hide_name": 1,
- "bits": [ 5016, 3847, 3871, 3874, 3877, 3880, 3883, 3886, 3889, 3892, 3849, 3851, 3854, 3857, 3860, 3863, 3866 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1701.C": {
- "hide_name": 1,
- "bits": [ 5017, 3895, 3911, 3913, 3915, 3917, 3919, 3921, 3923, 3925, 3896, 3898, 3900, 3902, 3904, 3906, 3908 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1704.C": {
- "hide_name": 1,
- "bits": [ 5018, 5019, 3927, 3928, 3929, 3930, 3931, 3932 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:64|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1707.C": {
- "hide_name": 1,
- "bits": [ 5020, 3933, 3934, 3935, 3936, 3937, 3938, 3939 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1714.C": {
- "hide_name": 1,
- "bits": [ 5021, 5022, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1717.C": {
- "hide_name": 1,
- "bits": [ 5023, 5024, 3949, 3951, 3953, 3955, 3957, 3959 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1720.C": {
- "hide_name": 1,
- "bits": [ 5025, 5026, 5027, 5028, 3975, 3977, 3979, 3981, 3983, 3985, 3962, 3963, 3965, 3967, 3969, 3971, 3973 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:59|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1723.C": {
- "hide_name": 1,
- "bits": [ 5029, 5030, 3987, 3988, 3989, 3990, 3991, 3992, 5031 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:58|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.C": {
- "hide_name": 1,
- "bits": [ 5032, 5033, 3993, 3995, 3997, 3999, 4001, 4003, 4005 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:142|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1729.C": {
- "hide_name": 1,
- "bits": [ 5034, 5035, 5036, 4022, 4024, 4026, 4028, 4030, 4032, 4034, 4009, 4010, 4012, 4014, 4016, 4018, 4020 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:141|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1732.C": {
- "hide_name": 1,
- "bits": [ 5037, 5038, 4036, 4037, 4038, 4039, 4040, 4041 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.C": {
- "hide_name": 1,
- "bits": [ 5039, 5040, 4042, 4043, 4044, 4045, 4046, 4047, 4048 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1738.C": {
- "hide_name": 1,
- "bits": [ 5041, 5042, 4049 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:25|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1741.C": {
- "hide_name": 1,
- "bits": [ 5043, 5044, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4050, 4051, 4052, 4053 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1744.C": {
- "hide_name": 1,
- "bits": [ 5045, 4064, 4088, 4091, 4094, 4097, 4100, 4103, 4106, 4109, 4066, 4068, 4071, 4074, 4077, 4080, 4083 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1747.C": {
- "hide_name": 1,
- "bits": [ 5046, 4113, 4129, 4131, 4133, 4135, 4137, 4139, 4141, 4143, 4114, 4116, 4118, 4120, 4122, 4124, 4126 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:448|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.C": {
- "hide_name": 1,
- "bits": [ 5047, 4145, 4157, 4168, 4170, 4171, 4172, 4173, 4174, 4175, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4169 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:395|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1753.C": {
- "hide_name": 1,
- "bits": [ 5048, 4176, 4188, 4199, 4201, 4202, 4203, 4204, 4205, 4206, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4200 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:400|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$3325.C": {
- "hide_name": 1,
- "bits": [ 5049, 5050, 5051, 4228, 4230, 4231, 4232, 4233, 4234, 4235, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4229 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$3496.C": {
- "hide_name": 1,
- "bits": [ 5052, 5053, 5054, 4257, 4259, 4260, 4261, 4262, 4263, 4264, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4258 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$wreduce.cc:347:run$1646": {
- "hide_name": 1,
- "bits": [ 3753, 3769, 3771, 3773, 3775, 3777, 3779, 3781, 3783, 3785, 3756, 3758, 3760, 3762, 3764, 3766, 3768, 5055, 5056, 5057, 5058, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 5066, 5067, 5068, 5069 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:207"
- }
- },
- "$auto$wreduce.cc:347:run$1648": {
- "hide_name": 1,
- "bits": [ 3828, 3830, 3832, 3834, 3836, 3838, 3840, 3842, 3844, 5070, 5071, 5072, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 5087, 5088, 5089, 5090, 5091, 5092 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:248"
- }
- },
- "$auto$wreduce.cc:347:run$1649": {
- "hide_name": 1,
- "bits": [ 3846, 3870, 3873, 3876, 3879, 3882, 3885, 3888, 3891, 3894, 3850, 3853, 3856, 3859, 3862, 3865, 3868, 5093, 5094, 5095, 5096, 5097, 5098, 5099, 5100, 5101, 5102, 5103, 5104, 5105, 5106, 5107 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:284"
- }
- },
- "$auto$wreduce.cc:347:run$1652": {
- "hide_name": 1,
- "bits": [ 4063, 4087, 4090, 4093, 4096, 4099, 4102, 4105, 4108, 4111, 4067, 4070, 4073, 4076, 4079, 4082, 4085, 5108, 5109, 5110, 5111, 5112, 5113, 5114, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 5122 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:462"
- }
- },
- "$auto$wreduce.cc:347:run$1666": {
- "hide_name": 1,
- "bits": [ 3948, 5123, 3950, 3952, 3954, 3956, 3958, 3960, 5124, 5125, 5126, 5127, 5128, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 5136, 5137, 5138, 5139, 5140, 5141, 5142, 5143, 5144, 5145, 5146, 5147 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:70"
- }
- },
- "$techmap2186\\comp.coeff_mem.12.0.0.A1ADDR_11": {
- "hide_name": 1,
- "bits": [ 3803, 3806, 3809, 3812, 3815, 3818, 3821, 3824, 3827, 5148, 5149 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:252"
- }
- },
- "$techmap2186\\comp.coeff_mem.12.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5150, 4801, 5151, 4803, 5152, 4805, 5153, 4807, 5154, 4809, 5155, 4811, 5156, 4813, 5157, 4815 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2187\\comp.coeff_mem.5.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5158, 4913, 5159, 4915, 5160, 4917, 5161, 4919, 5162, 4921, 5163, 4923, 5164, 4925, 5165, 4927 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2188\\comp.coeff_mem.14.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5166, 4833, 5167, 4835, 5168, 4837, 5169, 4839, 5170, 4841, 5171, 4843, 5172, 4845, 5173, 4847 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2189\\buffer.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5174, 4688, 5175, 4689, 5176, 4690, 5177, 4691, 5178, 4692, 5179, 4693, 5180, 4694, 5181, 4695 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2190\\comp.coeff_mem.6.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5182, 4929, 5183, 4931, 5184, 4933, 5185, 4935, 5186, 4937, 5187, 4939, 5188, 4941, 5189, 4943 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2191\\comp.coeff_mem.7.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5190, 4945, 5191, 4947, 5192, 4949, 5193, 4951, 5194, 4953, 5195, 4955, 5196, 4957, 5197, 4959 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2192\\comp.coeff_mem.8.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5198, 4961, 5199, 4963, 5200, 4965, 5201, 4967, 5202, 4969, 5203, 4971, 5204, 4973, 5205, 4975 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2193\\comp.coeff_mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5206, 4865, 5207, 4867, 5208, 4869, 5209, 4871, 5210, 4873, 5211, 4875, 5212, 4877, 5213, 4879 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2194\\comp.coeff_mem.9.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5214, 4977, 5215, 4979, 5216, 4981, 5217, 4983, 5218, 4985, 5219, 4987, 5220, 4989, 5221, 4991 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2199\\comp.coeff_mem.4.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5222, 4897, 5223, 4899, 5224, 4901, 5225, 4903, 5226, 4905, 5227, 4907, 5228, 4909, 5229, 4911 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2200\\comp.coeff_mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5230, 4753, 5231, 4755, 5232, 4757, 5233, 4759, 5234, 4761, 5235, 4763, 5236, 4765, 5237, 4767 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2201\\comp.coeff_mem.10.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5238, 4769, 5239, 4771, 5240, 4773, 5241, 4775, 5242, 4777, 5243, 4779, 5244, 4781, 5245, 4783 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2202\\comp.coeff_mem.13.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5246, 4817, 5247, 4819, 5248, 4821, 5249, 4823, 5250, 4825, 5251, 4827, 5252, 4829, 5253, 4831 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2203\\comp.coeff_mem.11.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5254, 4785, 5255, 4787, 5256, 4789, 5257, 4791, 5258, 4793, 5259, 4795, 5260, 4797, 5261, 4799 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2204\\comp.coeff_mem.3.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5262, 4881, 5263, 4883, 5264, 4885, 5265, 4887, 5266, 4889, 5267, 4891, 5268, 4893, 5269, 4895 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2205\\buffer.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5270, 4696, 5271, 4697, 5272, 4698, 5273, 4699, 5274, 4700, 5275, 4701, 5276, 4702, 5277, 4703 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2207\\comp.code_mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5278, 4712, 5279, 4713, 5280, 4714, 5281, 4715, 5282, 4716, 5283, 4717, 5284, 4718, 5285, 4719 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2208\\comp.code_mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5286, 4720, 5287, 4721, 5288, 4722, 5289, 4723, 5290, 4724, 5291, 4725, 5292, 4726, 5293, 4727 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2209\\comp.code_mem.3.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5294, 4728, 5295, 4729, 5296, 4730, 5297, 4731, 5298, 4732, 5299, 4733, 5300, 4734, 5301, 4735 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2210\\comp.code_mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5302, 4704, 5303, 4705, 5304, 4706, 5305, 4707, 5306, 4708, 5307, 4709, 5308, 4710, 5309, 4711 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2211\\comp.coeff_mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5310, 4737, 5311, 4739, 5312, 4741, 5313, 4743, 5314, 4745, 5315, 4747, 5316, 4749, 5317, 4751 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap2212\\comp.coeff_mem.15.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 5318, 4849, 5319, 4851, 5320, 4853, 5321, 4855, 5322, 4857, 5323, 4859, 5324, 4861, 5325, 4863 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap\\comp.$0\\mem_rd1_addr[15:0]": {
- "hide_name": 1,
- "bits": [ 3910, 3912, 3914, 3916, 3918, 3920, 3922, 3924, 3926, 3897, 3899, 3901, 3903, 3905, 3907, 3909 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:256"
- }
- },
- "$techmap\\comp.$0\\pre_mem_wr_addr[16:0]": {
- "hide_name": 1,
- "bits": [ 4112, 4128, 4130, 4132, 4134, 4136, 4138, 4140, 4142, 4144, 4115, 4117, 4119, 4121, 4123, 4125, 4127 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:446"
- }
- },
- "buffer_len": {
- "hide_name": 0,
- "bits": [ 278, 270, 274, 262, 282, 272, 286, 276, 284, 280, 288 ],
- "attributes": {
- "src": "../rtl/top.v:157"
- }
- },
- "buffer_ptr": {
- "hide_name": 0,
- "bits": [ 277, 269, 273, 261, 281, 271, 285, 275, 283, 279, 287 ],
- "attributes": {
- "src": "../rtl/top.v:156"
- }
- },
- "busy_q": {
- "hide_name": 0,
- "bits": [ 1240, 1241, 1242, 1243 ],
- "attributes": {
- "src": "../rtl/top.v:379"
- }
- },
- "clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:19"
- }
- },
- "cmem_rdata": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "attributes": {
- "src": "../rtl/top.v:87"
- }
- },
- "comp.CBP": {
- "hide_name": 0,
- "bits": [ 593, 595, 597, 599, 601, 603, 605, 607, 609 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:48"
- }
- },
- "comp.LBP": {
- "hide_name": 0,
- "bits": [ 558, 560, 562, 564, 566, 568, 570, 572, 574, 576, 578, 580, 582, 584, 586, 588, 590 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:47"
- }
- },
- "comp.SBP": {
- "hide_name": 0,
- "bits": [ 2322, 2324, 2326, 2328, 2330, 2332, 2334, 2336, 2338, 2340, 2342, 2344, 2346, 2348, 2350, 2352, 2354 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:47"
- }
- },
- "comp.VBP": {
- "hide_name": 0,
- "bits": [ 614, 616 ],
- "attributes": {
- }
- },
- "comp.acc0": {
- "hide_name": 0,
- "bits": [ 1464, 1470, 1493, 2067, 2061, 2062, 2064, 2008, 2009, 2012, 2013, 2003, 1992, 1993, 1995, 1883, 1884, 1887, 1888, 1893, 1894, 1897, 1898, 1905, 1906, 1909, 1910, 1915, 1916, 1919, 1920, 1855 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:45"
- }
- },
- "comp.acc0_saturated": {
- "hide_name": 0,
- "bits": [ 3233, 3235, 3237, 3239, 3241, 3243, 3245, 3232 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:344"
- }
- },
- "comp.acc0_shifted": {
- "hide_name": 0,
- "bits": [ 5326, 5327, 5328, 5329, 5330, 5331, 5332, 5333, 5334, 5335, 5336, 5337, 5338, 5339, 5340, 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348, 5349, 5350, 5351, 5352, 5353, 5354, 5355, 5356, 1855 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:341"
- }
- },
- "comp.acc1": {
- "hide_name": 0,
- "bits": [ 1670, 1676, 1696, 2288, 2282, 2283, 2285, 2263, 2211, 2212, 2215, 2216, 2221, 2222, 2226, 2225, 2159, 2160, 2132, 2133, 2136, 2137, 2126, 2127, 2122, 2123, 2142, 2143, 2146, 2147, 2149, 2097 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:45"
- }
- },
- "comp.acc1_saturated": {
- "hide_name": 0,
- "bits": [ 3217, 3219, 3221, 3223, 3225, 3227, 3229, 3216 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:345"
- }
- },
- "comp.acc1_shifted": {
- "hide_name": 0,
- "bits": [ 5357, 5358, 5359, 5360, 5361, 5362, 5363, 5364, 5365, 5366, 5367, 5368, 5369, 5370, 5371, 5372, 5373, 5374, 5375, 5376, 5377, 5378, 5379, 5380, 5381, 5382, 5383, 5384, 5385, 5386, 5387, 2097 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:342"
- }
- },
- "comp.clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:23"
- }
- },
- "comp.cmd_insn": {
- "hide_name": 0,
- "bits": [ 80, 81, 83, 85, 86, 87, 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:29"
- }
- },
- "comp.cmd_insn_caddr": {
- "hide_name": 0,
- "bits": [ 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:83"
- }
- },
- "comp.cmd_insn_maddr": {
- "hide_name": 0,
- "bits": [ 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:82"
- }
- },
- "comp.cmd_insn_opcode": {
- "hide_name": 0,
- "bits": [ 80, 81, 83, 85, 86, 87 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:84"
- }
- },
- "comp.cmd_ready": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:28"
- }
- },
- "comp.cmd_valid": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:27"
- }
- },
- "comp.mem_rd0_addr": {
- "hide_name": 0,
- "bits": [ 172, 164, 982, 991, 1000, 1009, 1018, 1027, 1036, 1045, 1054, 1063, 1072, 1081, 1090, 1099 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:51"
- }
- },
- "comp.mem_rd0_en": {
- "hide_name": 0,
- "bits": [ 146 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:50"
- }
- },
- "comp.mem_rd1_addr": {
- "hide_name": 0,
- "bits": [ 171, 163, 981, 990, 999, 1008, 1017, 1026, 1035, 1044, 1053, 1062, 1071, 1080, 1089, 1098 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:54"
- }
- },
- "comp.mem_rd1_en": {
- "hide_name": 0,
- "bits": [ 147 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:53"
- }
- },
- "comp.mem_rdata": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:35"
- }
- },
- "comp.mem_wr_addr": {
- "hide_name": 0,
- "bits": [ 169, 161, 979, 988, 997, 1006, 1015, 1024, 1033, 1042, 1051, 1060, 1069, 1078, 1087, 1096 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:57"
- }
- },
- "comp.mlock_mask": {
- "hide_name": 0,
- "bits": [ 5388, "0", "0", 5389, "0", "0", "0", 1392 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:120"
- }
- },
- "comp.mlock_res": {
- "hide_name": 0,
- "bits": [ 53, 4535, 4536, 26, 4537, 4538, 4539, "0" ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:119"
- }
- },
- "comp.mulA": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852, 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:311"
- }
- },
- "comp.mul[0].A": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[0].B": {
- "hide_name": 0,
- "bits": [ 4736, 4738, 4740, 4742, 4744, 4746, 4748, 4750, 4752, 4754, 4756, 4758, 4760, 4762, 4764, 4766 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[0].O": {
- "hide_name": 0,
- "bits": [ 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[0].Q": {
- "hide_name": 0,
- "bits": [ 1462, 1459, 1504, 2403, 2424, 2445, 2466, 2487, 2508, 2529, 2550, 2571, 2592, 2613, 2634, 2655, 1460, 1457, 1502, 2401, 2422, 2443, 2464, 2485, 2506, 2527, 2548, 2569, 2590, 2611, 2632, 2653 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[0].X": {
- "hide_name": 0,
- "bits": [ 1462, 1459, 1504, 2403, 2424, 2445, 2466, 2487, 2508, 2529, 2550, 2571, 2592, 2613, 2634, 2655, 1460, 1457, 1502, 2401, 2422, 2443, 2464, 2485, 2506, 2527, 2548, 2569, 2590, 2611, 2632, 2653 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[0].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.mul[1].A": {
- "hide_name": 0,
- "bits": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[1].B": {
- "hide_name": 0,
- "bits": [ 4864, 4866, 4868, 4870, 4872, 4874, 4876, 4878, 4880, 4882, 4884, 4886, 4888, 4890, 4892, 4894 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[1].O": {
- "hide_name": 0,
- "bits": [ 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[1].Q": {
- "hide_name": 0,
- "bits": [ 1454, 1473, 1496, 2395, 2416, 2437, 2458, 2479, 2500, 2521, 2542, 2563, 2584, 2605, 2626, 2647, 1461, 1458, 1503, 2402, 2423, 2444, 2465, 2486, 2507, 2528, 2549, 2570, 2591, 2612, 2633, 2654 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[1].X": {
- "hide_name": 0,
- "bits": [ 1454, 1473, 1496, 2395, 2416, 2437, 2458, 2479, 2500, 2521, 2542, 2563, 2584, 2605, 2626, 2647, 1461, 1458, 1503, 2402, 2423, 2444, 2465, 2486, 2507, 2528, 2549, 2570, 2591, 2612, 2633, 2654 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[1].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.mul[2].A": {
- "hide_name": 0,
- "bits": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[2].B": {
- "hide_name": 0,
- "bits": [ 4896, 4898, 4900, 4902, 4904, 4906, 4908, 4910, 4912, 4914, 4916, 4918, 4920, 4922, 4924, 4926 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[2].O": {
- "hide_name": 0,
- "bits": [ 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[2].Q": {
- "hide_name": 0,
- "bits": [ 1455, 1474, 1497, 2396, 2417, 2438, 2459, 2480, 2501, 2522, 2543, 2564, 2585, 2606, 2627, 2648, 1456, 1475, 1498, 2397, 2418, 2439, 2460, 2481, 2502, 2523, 2544, 2565, 2586, 2607, 2628, 2649 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[2].X": {
- "hide_name": 0,
- "bits": [ 1455, 1474, 1497, 2396, 2417, 2438, 2459, 2480, 2501, 2522, 2543, 2564, 2585, 2606, 2627, 2648, 1456, 1475, 1498, 2397, 2418, 2439, 2460, 2481, 2502, 2523, 2544, 2565, 2586, 2607, 2628, 2649 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[2].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.mul[3].A": {
- "hide_name": 0,
- "bits": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[3].B": {
- "hide_name": 0,
- "bits": [ 4928, 4930, 4932, 4934, 4936, 4938, 4940, 4942, 4944, 4946, 4948, 4950, 4952, 4954, 4956, 4958 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[3].O": {
- "hide_name": 0,
- "bits": [ 4395, 4396, 4397, 4398, 4399, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[3].Q": {
- "hide_name": 0,
- "bits": [ 1466, 1472, 1495, 2394, 2415, 2436, 2457, 2478, 2499, 2520, 2541, 2562, 2583, 2604, 2625, 2646, 1465, 1471, 1494, 2393, 2414, 2435, 2456, 2477, 2498, 2519, 2540, 2561, 2582, 2603, 2624, 2645 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[3].X": {
- "hide_name": 0,
- "bits": [ 1466, 1472, 1495, 2394, 2415, 2436, 2457, 2478, 2499, 2520, 2541, 2562, 2583, 2604, 2625, 2646, 1465, 1471, 1494, 2393, 2414, 2435, 2456, 2477, 2498, 2519, 2540, 2561, 2582, 2603, 2624, 2645 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[3].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.mul[4].A": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[4].B": {
- "hide_name": 0,
- "bits": [ 4960, 4962, 4964, 4966, 4968, 4970, 4972, 4974, 4976, 4978, 4980, 4982, 4984, 4986, 4988, 4990 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[4].O": {
- "hide_name": 0,
- "bits": [ 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[4].Q": {
- "hide_name": 0,
- "bits": [ 1668, 1665, 1707, 2819, 2840, 2861, 2882, 2903, 2924, 2945, 2966, 2987, 3008, 3029, 3050, 3071, 1666, 1663, 1705, 2817, 2838, 2859, 2880, 2901, 2922, 2943, 2964, 2985, 3006, 3027, 3048, 3069 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[4].X": {
- "hide_name": 0,
- "bits": [ 1668, 1665, 1707, 2819, 2840, 2861, 2882, 2903, 2924, 2945, 2966, 2987, 3008, 3029, 3050, 3071, 1666, 1663, 1705, 2817, 2838, 2859, 2880, 2901, 2922, 2943, 2964, 2985, 3006, 3027, 3048, 3069 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[4].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.mul[5].A": {
- "hide_name": 0,
- "bits": [ 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[5].B": {
- "hide_name": 0,
- "bits": [ 4768, 4770, 4772, 4774, 4776, 4778, 4780, 4782, 4784, 4786, 4788, 4790, 4792, 4794, 4796, 4798 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[5].O": {
- "hide_name": 0,
- "bits": [ 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[5].Q": {
- "hide_name": 0,
- "bits": [ 1660, 1679, 1699, 2811, 2832, 2853, 2874, 2895, 2916, 2937, 2958, 2979, 3000, 3021, 3042, 3063, 1667, 1664, 1706, 2818, 2839, 2860, 2881, 2902, 2923, 2944, 2965, 2986, 3007, 3028, 3049, 3070 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[5].X": {
- "hide_name": 0,
- "bits": [ 1660, 1679, 1699, 2811, 2832, 2853, 2874, 2895, 2916, 2937, 2958, 2979, 3000, 3021, 3042, 3063, 1667, 1664, 1706, 2818, 2839, 2860, 2881, 2902, 2923, 2944, 2965, 2986, 3007, 3028, 3049, 3070 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[5].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.mul[6].A": {
- "hide_name": 0,
- "bits": [ 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[6].B": {
- "hide_name": 0,
- "bits": [ 4800, 4802, 4804, 4806, 4808, 4810, 4812, 4814, 4816, 4818, 4820, 4822, 4824, 4826, 4828, 4830 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[6].O": {
- "hide_name": 0,
- "bits": [ 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[6].Q": {
- "hide_name": 0,
- "bits": [ 1661, 1680, 1700, 2812, 2833, 2854, 2875, 2896, 2917, 2938, 2959, 2980, 3001, 3022, 3043, 3064, 1662, 1681, 1701, 2813, 2834, 2855, 2876, 2897, 2918, 2939, 2960, 2981, 3002, 3023, 3044, 3065 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[6].X": {
- "hide_name": 0,
- "bits": [ 1661, 1680, 1700, 2812, 2833, 2854, 2875, 2896, 2917, 2938, 2959, 2980, 3001, 3022, 3043, 3064, 1662, 1681, 1701, 2813, 2834, 2855, 2876, 2897, 2918, 2939, 2960, 2981, 3002, 3023, 3044, 3065 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[6].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.mul[7].A": {
- "hide_name": 0,
- "bits": [ 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[7].B": {
- "hide_name": 0,
- "bits": [ 4832, 4834, 4836, 4838, 4840, 4842, 4844, 4846, 4848, 4850, 4852, 4854, 4856, 4858, 4860, 4862 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:586"
- }
- },
- "comp.mul[7].O": {
- "hide_name": 0,
- "bits": [ 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:604"
- }
- },
- "comp.mul[7].Q": {
- "hide_name": 0,
- "bits": [ 1672, 1678, 1698, 2810, 2831, 2852, 2873, 2894, 2915, 2936, 2957, 2978, 2999, 3020, 3041, 3062, 1671, 1677, 1697, 2809, 2830, 2851, 2872, 2893, 2914, 2935, 2956, 2977, 2998, 3019, 3040, 3061 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:605"
- }
- },
- "comp.mul[7].X": {
- "hide_name": 0,
- "bits": [ 1672, 1678, 1698, 2810, 2831, 2852, 2873, 2894, 2915, 2936, 2957, 2978, 2999, 3020, 3041, 3062, 1671, 1677, 1697, 2809, 2830, 2851, 2872, 2893, 2914, 2935, 2956, 2977, 2998, 3019, 3040, 3061 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:587"
- }
- },
- "comp.mul[7].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:313|../rtl/compute.v:585"
- }
- },
- "comp.new_acc0_add": {
- "hide_name": 0,
- "bits": [ 1439, 5390, 5391, 1508, 1512, 1516, 1520, 1525, 1530, 1535, 1540, 1545, 1550, 1555, 1560, 1565, 1570, 1574, 1579, 1584, 1589, 1594, 1599, 1604, 1609, 1614, 1619, 1624, 1629, 1634, 1639, 1644 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:332"
- }
- },
- "comp.new_acc1_add": {
- "hide_name": 0,
- "bits": [ 1650, 5392, 5393, 1711, 1716, 1721, 1726, 1731, 1736, 1741, 1746, 1751, 1756, 1761, 1766, 1771, 1776, 1781, 1786, 1791, 1796, 1801, 1806, 1811, 1816, 1821, 1826, 1831, 1836, 1841, 1846, 1851 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:333"
- }
- },
- "comp.pre_mem_wr_addr": {
- "hide_name": 0,
- "bits": [ 174, 169, 161, 979, 988, 997, 1006, 1015, 1024, 1033, 1042, 1051, 1060, 1069, 1078, 1087, 1096 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:429"
- }
- },
- "comp.pre_mem_wr_en": {
- "hide_name": 0,
- "bits": [ 149, 150, "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:428"
- }
- },
- "comp.pre_mem_wr_wdata": {
- "hide_name": 0,
- "bits": [ 3252, 3258, 3264, 3270, 3276, 3282, 3288, 3294, 3254, 3260, 3266, 3272, 3278, 3284, 3290, 3296, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:430"
- }
- },
- "comp.reset": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:24"
- }
- },
- "comp.s1_en": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:89"
- }
- },
- "comp.s1_insn": {
- "hide_name": 0,
- "bits": [ 32, 33, 50, 30, 42, 41, 653, 656, 659, 662, 665, 668, 671, 674, 677, 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:90"
- }
- },
- "comp.s1_insn_caddr": {
- "hide_name": 0,
- "bits": [ 653, 656, 659, 662, 665, 668, 671, 674, 677 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:166"
- }
- },
- "comp.s1_insn_codemem": {
- "hide_name": 0,
- "bits": [ 34, 38, 49, 40, 45, 47, 652, 655, 658, 661, 664, 667, 670, 673, 676, 679, 682, 685, 688, 691, 694, 697, 700, 703, 706, 709, 712, 715, 718, 721, 724, 727 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:160"
- }
- },
- "comp.s1_insn_direct": {
- "hide_name": 0,
- "bits": [ 35, 37, 48, 39, 44, 46, 651, 654, 657, 660, 663, 666, 669, 672, 675, 678, 681, 684, 687, 690, 693, 696, 699, 702, 705, 708, 711, 714, 717, 720, 723, 726 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:159"
- }
- },
- "comp.s1_insn_maddr": {
- "hide_name": 0,
- "bits": [ 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:165"
- }
- },
- "comp.s1_insn_opcode": {
- "hide_name": 0,
- "bits": [ 32, 33, 50, 30, 42, 41 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:167"
- }
- },
- "comp.s1_insn_sel": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:161"
- }
- },
- "comp.s2_en": {
- "hide_name": 0,
- "bits": [ 541 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:93"
- }
- },
- "comp.s2_insn": {
- "hide_name": 0,
- "bits": [ 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:94"
- }
- },
- "comp.s3_en": {
- "hide_name": 0,
- "bits": [ 315 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:96"
- }
- },
- "comp.s3_insn": {
- "hide_name": 0,
- "bits": [ 592, 321, 322, 323, 320, 317, 3802, 3805, 3808, 3811, 3814, 3817, 3820, 3823, 3826, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:97"
- }
- },
- "comp.s4_coeff": {
- "hide_name": 0,
- "bits": [ 4736, 4738, 4740, 4742, 4744, 4746, 4748, 4750, 4752, 4754, 4756, 4758, 4760, 4762, 4764, 4766, 4864, 4866, 4868, 4870, 4872, 4874, 4876, 4878, 4880, 4882, 4884, 4886, 4888, 4890, 4892, 4894, 4896, 4898, 4900, 4902, 4904, 4906, 4908, 4910, 4912, 4914, 4916, 4918, 4920, 4922, 4924, 4926, 4928, 4930, 4932, 4934, 4936, 4938, 4940, 4942, 4944, 4946, 4948, 4950, 4952, 4954, 4956, 4958, 4960, 4962, 4964, 4966, 4968, 4970, 4972, 4974, 4976, 4978, 4980, 4982, 4984, 4986, 4988, 4990, 4768, 4770, 4772, 4774, 4776, 4778, 4780, 4782, 4784, 4786, 4788, 4790, 4792, 4794, 4796, 4798, 4800, 4802, 4804, 4806, 4808, 4810, 4812, 4814, 4816, 4818, 4820, 4822, 4824, 4826, 4828, 4830, 4832, 4834, 4836, 4838, 4840, 4842, 4844, 4846, 4848, 4850, 4852, 4854, 4856, 4858, 4860, 4862 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:101"
- }
- },
- "comp.s4_en": {
- "hide_name": 0,
- "bits": [ 57 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:99"
- }
- },
- "comp.s4_insn": {
- "hide_name": 0,
- "bits": [ 457, 330, 324, 325, 328, 329, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 3845, 3869, 3872, 3875, 3878, 3881, 3884, 3887, 3890, 3893, 3848, 3852, 3855, 3858, 3861, 3864, 3867 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:100"
- }
- },
- "comp.s5_en": {
- "hide_name": 0,
- "bits": [ 539 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:103"
- }
- },
- "comp.s5_insn": {
- "hide_name": 0,
- "bits": [ 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:104"
- }
- },
- "comp.s6_en": {
- "hide_name": 0,
- "bits": [ 537 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:106"
- }
- },
- "comp.s6_insn": {
- "hide_name": 0,
- "bits": [ 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:107"
- }
- },
- "comp.s7_en": {
- "hide_name": 0,
- "bits": [ 338 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:109"
- }
- },
- "comp.s7_insn": {
- "hide_name": 0,
- "bits": [ 336, 347, 333, 331, 335, 337, 1879, 1875, 1872, 1871, 1922, 1921, 1881, 1882, 1880, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:110"
- }
- },
- "comp.s7_prod": {
- "hide_name": 0,
- "bits": [ 1462, 1459, 1504, 2403, 2424, 2445, 2466, 2487, 2508, 2529, 2550, 2571, 2592, 2613, 2634, 2655, 1460, 1457, 1502, 2401, 2422, 2443, 2464, 2485, 2506, 2527, 2548, 2569, 2590, 2611, 2632, 2653, 1454, 1473, 1496, 2395, 2416, 2437, 2458, 2479, 2500, 2521, 2542, 2563, 2584, 2605, 2626, 2647, 1461, 1458, 1503, 2402, 2423, 2444, 2465, 2486, 2507, 2528, 2549, 2570, 2591, 2612, 2633, 2654, 1455, 1474, 1497, 2396, 2417, 2438, 2459, 2480, 2501, 2522, 2543, 2564, 2585, 2606, 2627, 2648, 1456, 1475, 1498, 2397, 2418, 2439, 2460, 2481, 2502, 2523, 2544, 2565, 2586, 2607, 2628, 2649, 1466, 1472, 1495, 2394, 2415, 2436, 2457, 2478, 2499, 2520, 2541, 2562, 2583, 2604, 2625, 2646, 1465, 1471, 1494, 2393, 2414, 2435, 2456, 2477, 2498, 2519, 2540, 2561, 2582, 2603, 2624, 2645, 1668, 1665, 1707, 2819, 2840, 2861, 2882, 2903, 2924, 2945, 2966, 2987, 3008, 3029, 3050, 3071, 1666, 1663, 1705, 2817, 2838, 2859, 2880, 2901, 2922, 2943, 2964, 2985, 3006, 3027, 3048, 3069, 1660, 1679, 1699, 2811, 2832, 2853, 2874, 2895, 2916, 2937, 2958, 2979, 3000, 3021, 3042, 3063, 1667, 1664, 1706, 2818, 2839, 2860, 2881, 2902, 2923, 2944, 2965, 2986, 3007, 3028, 3049, 3070, 1661, 1680, 1700, 2812, 2833, 2854, 2875, 2896, 2917, 2938, 2959, 2980, 3001, 3022, 3043, 3064, 1662, 1681, 1701, 2813, 2834, 2855, 2876, 2897, 2918, 2939, 2960, 2981, 3002, 3023, 3044, 3065, 1672, 1678, 1698, 2810, 2831, 2852, 2873, 2894, 2915, 2936, 2957, 2978, 2999, 3020, 3041, 3062, 1671, 1677, 1697, 2809, 2830, 2851, 2872, 2893, 2914, 2935, 2956, 2977, 2998, 3019, 3040, 3061 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:111"
- }
- },
- "comp.s8_en": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:113"
- }
- },
- "comp.s8_insn": {
- "hide_name": 0,
- "bits": [ 2320, 350, 358, 357, 356, 354, "x", "x", "x", "x", "x", "x", "x", "x", "x", 4062, 4086, 4089, 4092, 4095, 4098, 4101, 4104, 4107, 4110, 4065, 4069, 4072, 4075, 4078, 4081, 4084 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:114"
- }
- },
- "comp.s8_insn_opcode": {
- "hide_name": 0,
- "bits": [ 2320, 350, 358, 357, 356, 354 ],
- "attributes": {
- "src": "../rtl/top.v:360|../rtl/compute.v:444"
- }
- },
- "comp_insn": {
- "hide_name": 0,
- "bits": [ 80, 81, 83, 85, 86, 87, 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ],
- "attributes": {
- "src": "../rtl/top.v:77"
- }
- },
- "comp_ready": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "../rtl/top.v:76"
- }
- },
- "comp_valid": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../rtl/top.v:75"
- }
- },
- "dbg1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "../rtl/top.v:30"
- }
- },
- "dbg2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "../rtl/top.v:31"
- }
- },
- "dbg3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "../rtl/top.v:32"
- }
- },
- "dbg4": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../rtl/top.v:33"
- }
- },
- "din_data": {
- "hide_name": 0,
- "bits": [ 17, 18, 13, 12, 19, 20, 21, 22 ],
- "attributes": {
- "src": "../rtl/top.v:51"
- }
- },
- "din_start": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "../rtl/top.v:50"
- }
- },
- "din_valid": {
- "hide_name": 0,
- "bits": [ 65 ],
- "attributes": {
- "src": "../rtl/top.v:49"
- }
- },
- "dout_data": {
- "hide_name": 0,
- "bits": [ 1234, 1249, 1255, 1261, 1267, 1273, 1279, 1285 ],
- "attributes": {
- "src": "../rtl/top.v:55"
- }
- },
- "dout_ready": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../rtl/top.v:54"
- }
- },
- "dout_valid": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "../rtl/top.v:53"
- }
- },
- "mem.addr": {
- "hide_name": 0,
- "bits": [ 3590, 3591, 976, 985, 994, 1003, 1012, 1021, 1030, 1039, 1048, 1057, 1066, 1075, 1084, 1093 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:20"
- }
- },
- "mem.addr0": {
- "hide_name": 0,
- "bits": [ 976, 985, 994, 1003, 1012, 1021, 1030, 1039, 1048, 1057, 1066, 1075, 1084, 1093 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:34"
- }
- },
- "mem.addr1": {
- "hide_name": 0,
- "bits": [ 1320, 5394, 1325, 1327, 1329, 1331, 1333, 1335, 1337, 1339, 1341, 1343, 1345, 1347 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:35"
- }
- },
- "mem.clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:19"
- }
- },
- "mem.ram[0].addr": {
- "hide_name": 0,
- "bits": [ 1378, 1379, 1380, 1381, 1382, 1383, 1384, 1385, 1386, 1387, 1388, 1389, 1390, 1391 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59"
- }
- },
- "mem.ram[0].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57"
- }
- },
- "mem.ram[0].rdata": {
- "hide_name": 0,
- "bits": [ 3389, 3397, 3404, 3411, 3418, 3425, 3432, 3439, 3446, 3453, 3460, 3467, 3474, 3481, 3488, 3495 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61"
- }
- },
- "mem.ram[0].wdata": {
- "hide_name": 0,
- "bits": [ 3251, 3257, 3263, 3269, 3275, 3281, 3287, 3293, 3298, 3302, 3306, 3310, 3314, 3318, 3322, 3326 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60"
- }
- },
- "mem.ram[0].wen": {
- "hide_name": 0,
- "bits": [ 188, 187 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58"
- }
- },
- "mem.ram[1].addr": {
- "hide_name": 0,
- "bits": [ 1364, 1365, 1366, 1367, 1368, 1369, 1370, 1371, 1372, 1373, 1374, 1375, 1376, 1377 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59"
- }
- },
- "mem.ram[1].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57"
- }
- },
- "mem.ram[1].rdata": {
- "hide_name": 0,
- "bits": [ 3390, 3398, 3405, 3412, 3419, 3426, 3433, 3440, 3447, 3454, 3461, 3468, 3475, 3482, 3489, 3496 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61"
- }
- },
- "mem.ram[1].wdata": {
- "hide_name": 0,
- "bits": [ 3330, 3332, 3334, 3336, 3338, 3340, 3342, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60"
- }
- },
- "mem.ram[1].wen": {
- "hide_name": 0,
- "bits": [ 185, 184 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58"
- }
- },
- "mem.ram[2].addr": {
- "hide_name": 0,
- "bits": [ 1349, 1350, 1351, 1352, 1353, 1354, 1355, 1356, 1357, 1358, 1359, 1360, 1361, 1362 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59"
- }
- },
- "mem.ram[2].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57"
- }
- },
- "mem.ram[2].rdata": {
- "hide_name": 0,
- "bits": [ 3393, 3399, 3406, 3413, 3420, 3427, 3434, 3441, 3448, 3455, 3462, 3469, 3476, 3483, 3490, 3497 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61"
- }
- },
- "mem.ram[2].wdata": {
- "hide_name": 0,
- "bits": [ 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60"
- }
- },
- "mem.ram[2].wen": {
- "hide_name": 0,
- "bits": [ 181, 179 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58"
- }
- },
- "mem.ram[3].addr": {
- "hide_name": 0,
- "bits": [ 1322, 1324, 1326, 1328, 1330, 1332, 1334, 1336, 1338, 1340, 1342, 1344, 1346, 1348 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:59"
- }
- },
- "mem.ram[3].clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:57"
- }
- },
- "mem.ram[3].rdata": {
- "hide_name": 0,
- "bits": [ 3392, 3400, 3407, 3414, 3421, 3428, 3435, 3442, 3449, 3456, 3463, 3470, 3477, 3484, 3491, 3498 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:61"
- }
- },
- "mem.ram[3].wdata": {
- "hide_name": 0,
- "bits": [ 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:60"
- }
- },
- "mem.ram[3].wen": {
- "hide_name": 0,
- "bits": [ 177, 138 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:37|../rtl/memory.v:58"
- }
- },
- "mem.rdata": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:23"
- }
- },
- "mem.shamt": {
- "hide_name": 0,
- "bits": [ 137, 5395, 1323 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:25"
- }
- },
- "mem.shamt_rev_q": {
- "hide_name": 0,
- "bits": [ 3391, 3387 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:26"
- }
- },
- "mem.shifted_rdata": {
- "hide_name": 0,
- "bits": [ 3389, 3397, 3404, 3411, 3418, 3425, 3432, 3439, 3446, 3453, 3460, 3467, 3474, 3481, 3488, 3495, 3390, 3398, 3405, 3412, 3419, 3426, 3433, 3440, 3447, 3454, 3461, 3468, 3475, 3482, 3489, 3496, 3393, 3399, 3406, 3413, 3420, 3427, 3434, 3441, 3448, 3455, 3462, 3469, 3476, 3483, 3490, 3497, 3392, 3400, 3407, 3414, 3421, 3428, 3435, 3442, 3449, 3456, 3463, 3470, 3477, 3484, 3491, 3498 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:30"
- }
- },
- "mem.shifted_wdata": {
- "hide_name": 0,
- "bits": [ 3251, 3257, 3263, 3269, 3275, 3281, 3287, 3293, 3298, 3302, 3306, 3310, 3314, 3318, 3322, 3326, 3330, 3332, 3334, 3336, 3338, 3340, 3342, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:29"
- }
- },
- "mem.shifted_wen": {
- "hide_name": 0,
- "bits": [ 188, 187, 185, 184, 181, 179, 177, 138 ],
- "attributes": {
- "src": "../rtl/top.v:489|../rtl/memory.v:28"
- }
- },
- "mem_addr": {
- "hide_name": 0,
- "bits": [ 3590, 3591, 976, 985, 994, 1003, 1012, 1021, 1030, 1039, 1048, 1057, 1066, 1075, 1084, 1093 ],
- "attributes": {
- "src": "../rtl/top.v:440"
- }
- },
- "mem_client_qmem": {
- "hide_name": 0,
- "bits": [ 142 ],
- "attributes": {
- "src": "../rtl/top.v:436"
- }
- },
- "mem_rdata": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645, 1651, 3679, 3683, 1712, 1717, 1722, 1727, 1732, 1737, 1742, 1747, 1752, 1757, 1762, 1767, 1772, 1777, 1782, 1787, 1792, 1797, 1802, 1807, 1812, 1817, 1822, 1827, 1832, 1837, 1842, 1847, 1852 ],
- "attributes": {
- "src": "../rtl/top.v:443"
- }
- },
- "qmem_addr": {
- "hide_name": 0,
- "bits": [ 165, 141, 974, 983, 992, 1001, 1010, 1019, 1028, 1037, 1046, 1055, 1064, 1073, 1082, 1091 ],
- "attributes": {
- "src": "../rtl/top.v:61"
- }
- },
- "qmem_done": {
- "hide_name": 0,
- "bits": [ 154 ],
- "attributes": {
- "src": "../rtl/top.v:57"
- }
- },
- "qmem_rdata": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566 ],
- "attributes": {
- "src": "../rtl/top.v:63"
- }
- },
- "qmem_rdone": {
- "hide_name": 0,
- "bits": [ 289 ],
- "attributes": {
- "src": "../rtl/top.v:58"
- }
- },
- "qmem_read": {
- "hide_name": 0,
- "bits": [ 151 ],
- "attributes": {
- "src": "../rtl/top.v:59"
- }
- },
- "qmem_wdata": {
- "hide_name": 0,
- "bits": [ 3253, 3259, 3265, 3271, 3277, 3283, 3289, 3295, 3300, 3304, 3308, 3312, 3316, 3320, 3324, 3328 ],
- "attributes": {
- "src": "../rtl/top.v:62"
- }
- },
- "qmem_write": {
- "hide_name": 0,
- "bits": [ 152, 153 ],
- "attributes": {
- "src": "../rtl/top.v:60"
- }
- },
- "qpi.active": {
- "hide_name": 0,
- "bits": [ 304 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:501"
- }
- },
- "qpi.active_q0": {
- "hide_name": 0,
- "bits": [ 4523 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:579"
- }
- },
- "qpi.active_q1": {
- "hide_name": 0,
- "bits": [ 304 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:579"
- }
- },
- "qpi.clk_q0": {
- "hide_name": 0,
- "bits": [ 4526 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:577"
- }
- },
- "qpi.clk_q1": {
- "hide_name": 0,
- "bits": [ 311 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:577"
- }
- },
- "qpi.clk_q2": {
- "hide_name": 0,
- "bits": [ 309 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:577"
- }
- },
- "qpi.clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:499"
- }
- },
- "qpi.di_data": {
- "hide_name": 0,
- "bits": [ 4531, 4532, 4533, 4534 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:524"
- }
- },
- "qpi.di_start": {
- "hide_name": 0,
- "bits": [ 1309 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:526"
- }
- },
- "qpi.di_stx": {
- "hide_name": 0,
- "bits": [ 551 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:527"
- }
- },
- "qpi.di_toggle": {
- "hide_name": 0,
- "bits": [ 310 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:525"
- }
- },
- "qpi.din_data": {
- "hide_name": 0,
- "bits": [ 17, 18, 13, 12, 19, 20, 21, 22 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:515"
- }
- },
- "qpi.din_start": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:514"
- }
- },
- "qpi.din_valid": {
- "hide_name": 0,
- "bits": [ 65 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:513"
- }
- },
- "qpi.do_data": {
- "hide_name": 0,
- "bits": [ 4527, 4528, 4529, 4530, 1298, 1301, 1304, 1307 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:545"
- }
- },
- "qpi.do_datax": {
- "hide_name": 0,
- "bits": [ 1297, 1300, 1303, 1306 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:546"
- }
- },
- "qpi.do_toggle": {
- "hide_name": 0,
- "bits": [ 1295 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:549"
- }
- },
- "qpi.do_toggle_q0": {
- "hide_name": 0,
- "bits": [ 4524 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:578"
- }
- },
- "qpi.do_toggle_q1": {
- "hide_name": 0,
- "bits": [ 301 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:578"
- }
- },
- "qpi.do_valid": {
- "hide_name": 0,
- "bits": [ 1294 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:547"
- }
- },
- "qpi.do_validx": {
- "hide_name": 0,
- "bits": [ 1293 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:548"
- }
- },
- "qpi.dout_busy": {
- "hide_name": 0,
- "bits": [ 305 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:594"
- }
- },
- "qpi.dout_data": {
- "hide_name": 0,
- "bits": [ 1234, 1249, 1255, 1261, 1267, 1273, 1279, 1285 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:519"
- }
- },
- "qpi.dout_ready": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:518"
- }
- },
- "qpi.dout_valid": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:517"
- }
- },
- "qpi.qpi_clk_di": {
- "hide_name": 0,
- "bits": [ 4525 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:504"
- }
- },
- "qpi.qpi_csb_di": {
- "hide_name": 0,
- "bits": [ 3586 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:503"
- }
- },
- "qpi.qpi_io_di": {
- "hide_name": 0,
- "bits": [ 4679, 4680, 4681, 4682 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:509"
- }
- },
- "qpi.qpi_io_do": {
- "hide_name": 0,
- "bits": [ 4683, 4684, 4685, 4686 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:510"
- }
- },
- "qpi.qpi_io_oe": {
- "hide_name": 0,
- "bits": [ 4687, 4687, 4687, 4687 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:511"
- }
- },
- "qpi.reset": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "../rtl/top.v:131|../rtl/top.v:500"
- }
- },
- "qpi_active": {
- "hide_name": 0,
- "bits": [ 304 ],
- "attributes": {
- "src": "../rtl/top.v:129"
- }
- },
- "qpi_clk": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "../rtl/top.v:22"
- }
- },
- "qpi_clk_di": {
- "hide_name": 0,
- "bits": [ 4525 ],
- "attributes": {
- "src": "../rtl/top.v:119"
- }
- },
- "qpi_csb": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "../rtl/top.v:21"
- }
- },
- "qpi_csb_di": {
- "hide_name": 0,
- "bits": [ 3586 ],
- "attributes": {
- "src": "../rtl/top.v:118"
- }
- },
- "qpi_err": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "../rtl/top.v:28"
- }
- },
- "qpi_io0": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "../rtl/top.v:23"
- }
- },
- "qpi_io1": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../rtl/top.v:24"
- }
- },
- "qpi_io2": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "../rtl/top.v:25"
- }
- },
- "qpi_io3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../rtl/top.v:26"
- }
- },
- "qpi_io_di": {
- "hide_name": 0,
- "bits": [ 4679, 4680, 4681, 4682 ],
- "attributes": {
- "src": "../rtl/top.v:106"
- }
- },
- "qpi_io_do": {
- "hide_name": 0,
- "bits": [ 4683, 4684, 4685, 4686 ],
- "attributes": {
- "src": "../rtl/top.v:105"
- }
- },
- "qpi_io_oe": {
- "hide_name": 0,
- "bits": [ 4687, 4687, 4687, 4687 ],
- "attributes": {
- "src": "../rtl/top.v:104"
- }
- },
- "qpi_rdy": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "../rtl/top.v:27"
- }
- },
- "reset": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "../rtl/top.v:44"
- }
- },
- "reset_cnt": {
- "hide_name": 0,
- "bits": [ 535, 534, 533, 532 ],
- "attributes": {
- "src": "../rtl/top.v:91"
- }
- },
- "seq.addr": {
- "hide_name": 0,
- "bits": [ 762, 3612, 3615, 3619, 3623, 3627, 3631, 3635, 3639, 3643, 3647, 3651, 3655, 3659, 3663, 3667 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:22"
- }
- },
- "seq.buffer_insn": {
- "hide_name": 0,
- "bits": [ 238, 236, 123, 120, 126, 129, 819, 824, 828, 833, 838, 843, 848, 853, 858, 243, 92, 96, 99, 102, 105, 108, 111, 114, 117, 922, 927, 932, 937, 942, 947, 952 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:98"
- }
- },
- "seq.buffer_insn_valid": {
- "hide_name": 0,
- "bits": [ 93 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:99"
- }
- },
- "seq.busy": {
- "hide_name": 0,
- "bits": [ 548 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:23"
- }
- },
- "seq.callstack_ptr": {
- "hide_name": 0,
- "bits": [ 213, 214, 215, 216, 209, 210, 211, 212 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:45"
- }
- },
- "seq.clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:19"
- }
- },
- "seq.comp_insn": {
- "hide_name": 0,
- "bits": [ 80, 81, 83, 85, 86, 87, 251, 887, 3994, 3996, 3998, 4000, 4002, 4004, 4006, 4007, 4266, 905, 4023, 4025, 4027, 4029, 4031, 4033, 4035, 4008, 4011, 4013, 4015, 4017, 4019, 4021 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:32"
- }
- },
- "seq.comp_ready": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:31"
- }
- },
- "seq.comp_valid": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:30"
- }
- },
- "seq.insn": {
- "hide_name": 0,
- "bits": [ 233, 234, 124, 121, 127, 130, 820, 825, 829, 834, 839, 844, 849, 854, 859, 241, 94, 97, 100, 103, 106, 109, 112, 115, 118, 923, 928, 933, 938, 943, 948, 953 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:102"
- }
- },
- "seq.insn_valid": {
- "hide_name": 0,
- "bits": [ 231 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:101"
- }
- },
- "seq.next_buffer_insn": {
- "hide_name": 0,
- "bits": [ 233, 234, 124, 121, 127, 130, 822, 826, 831, 836, 841, 846, 851, 856, 861, 863, 864, 866, 868, 870, 872, 874, 876, 878, 880, 923, 928, 933, 938, 943, 948, 953 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:106"
- }
- },
- "seq.next_buffer_insn_valid": {
- "hide_name": 0,
- "bits": [ 227 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:105"
- }
- },
- "seq.pc": {
- "hide_name": 0,
- "bits": [ "x", 4265, 773, 774, 3976, 3978, 3980, 3982, 3984, 3986, 3961, 3964, 3966, 3968, 3970, 3972, 3974 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:43"
- }
- },
- "seq.queue_fill": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", 133, 132, 131 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:51"
- }
- },
- "seq.queue_full": {
- "hide_name": 0,
- "bits": [ 220 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:52"
- }
- },
- "seq.queue_insn": {
- "hide_name": 0,
- "bits": [ 237, 235, 122, 119, 125, 128, 818, 823, 827, 832, 837, 842, 847, 852, 857, 242, 91, 95, 98, 101, 104, 107, 110, 113, 116, 921, 926, 931, 936, 941, 946, 951 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:95"
- }
- },
- "seq.queue_insn_valid": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:96"
- }
- },
- "seq.queue_iptr": {
- "hide_name": 0,
- "bits": [ 191, 373, 379, 366, 249, 388, 381, 360 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:48"
- }
- },
- "seq.queue_optr": {
- "hide_name": 0,
- "bits": [ 247, 377, 382, 370, 248, 390, 384, 393 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:48"
- }
- },
- "seq.reset": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:20"
- }
- },
- "seq.running": {
- "hide_name": 0,
- "bits": [ 221 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:42"
- }
- },
- "seq.smem_addr": {
- "hide_name": 0,
- "bits": [ 167, 156, 977, 986, 995, 1004, 1013, 1022, 1031, 1040, 1049, 1058, 1067, 1076, 1085, 1094 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:27"
- }
- },
- "seq.smem_data": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:28"
- }
- },
- "seq.smem_ready": {
- "hide_name": 0,
- "bits": [ 159 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:26"
- }
- },
- "seq.smem_valid": {
- "hide_name": 0,
- "bits": [ 160 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:25"
- }
- },
- "seq.stall_queue": {
- "hide_name": 0,
- "bits": [ 227 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:104"
- }
- },
- "seq.start": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "../rtl/top.v:342|../rtl/sequencer.v:21"
- }
- },
- "seq_addr": {
- "hide_name": 0,
- "bits": [ 762, 3612, 3615, 3619, 3623, 3627, 3631, 3635, 3639, 3643, 3647, 3651, 3655, 3659, 3663, 3667 ],
- "attributes": {
- "src": "../rtl/top.v:67"
- }
- },
- "seq_busy": {
- "hide_name": 0,
- "bits": [ 548 ],
- "attributes": {
- "src": "../rtl/top.v:68"
- }
- },
- "seq_start": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "../rtl/top.v:65"
- }
- },
- "smem_addr": {
- "hide_name": 0,
- "bits": [ 167, 156, 977, 986, 995, 1004, 1013, 1022, 1031, 1040, 1049, 1058, 1067, 1076, 1085, 1094 ],
- "attributes": {
- "src": "../rtl/top.v:72"
- }
- },
- "smem_data": {
- "hide_name": 0,
- "bits": [ 195, 198, 201, 202, 199, 200, 1521, 1526, 1531, 1536, 1541, 1546, 1551, 1556, 1561, 1566, 766, 1575, 1580, 1585, 1590, 1595, 1600, 1605, 1610, 1615, 1620, 1625, 1630, 1635, 1640, 1645 ],
- "attributes": {
- "src": "../rtl/top.v:73"
- }
- },
- "smem_ready": {
- "hide_name": 0,
- "bits": [ 159 ],
- "attributes": {
- "src": "../rtl/top.v:71"
- }
- },
- "smem_state": {
- "hide_name": 0,
- "bits": [ 158, 159 ],
- "attributes": {
- "src": "../rtl/top.v:445"
- }
- },
- "smem_valid": {
- "hide_name": 0,
- "bits": [ 160 ],
- "attributes": {
- "src": "../rtl/top.v:70"
- }
- },
- "state": {
- "hide_name": 0,
- "bits": [ "x", 395, 453, 88, 450, 297, 298, 77, 448, 446, 89, 61, 290, 292 ],
- "attributes": {
- "onehot": 1
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0084/mlaccel.json.gz b/ice40/regressions/issue0084/mlaccel.json.gz
new file mode 100644
index 0000000..e781e9c
--- /dev/null
+++ b/ice40/regressions/issue0084/mlaccel.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0090/conn-crash.json b/ice40/regressions/issue0090/conn-crash.json
deleted file mode 100644
index fdf762c..0000000
--- a/ice40/regressions/issue0090/conn-crash.json
+++ /dev/null
@@ -1,5339 +0,0 @@
-{
- "creator": "Yosys 0.7+659 (git sha1 51f1bbee, gcc 5.4.0-6ubuntu1~16.04.10 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/william/.local/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "conn-crash.v:2"
- },
- "ports": {
- "sevenseg_segment7": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "sevenseg_segment6": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "sevenseg_segment5": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "sevenseg_segment4": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "sevenseg_segment3": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "sevenseg_segment2": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "sevenseg_segment1": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "sevenseg_enable0": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "clk12": {
- "direction": "input",
- "bits": [ 2 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "clk12": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "conn-crash.v:11"
- }
- },
- "por_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "conn-crash.v:16",
- "unused_bits": "0"
- }
- },
- "sevenseg_enable0": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:10"
- }
- },
- "sevenseg_segment1": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:9"
- }
- },
- "sevenseg_segment2": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:8"
- }
- },
- "sevenseg_segment3": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:7"
- }
- },
- "sevenseg_segment4": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:6"
- }
- },
- "sevenseg_segment5": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:5"
- }
- },
- "sevenseg_segment6": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:4"
- }
- },
- "sevenseg_segment7": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "conn-crash.v:3"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "conn-crash.v:14",
- "unused_bits": "0"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0090/conn-crash.json.gz b/ice40/regressions/issue0090/conn-crash.json.gz
new file mode 100644
index 0000000..cad9b1b
--- /dev/null
+++ b/ice40/regressions/issue0090/conn-crash.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0098/j4a.json b/ice40/regressions/issue0098/j4a.json
deleted file mode 100644
index 7eb1da4..0000000
--- a/ice40/regressions/issue0098/j4a.json
+++ /dev/null
@@ -1,126303 +0,0 @@
-{
- "creator": "Yosys 0.8+53 (git sha1 ab97edd, clang 3.8.0-2ubuntu4 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "j4a.v:121"
- },
- "ports": {
- "pclk": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "D": {
- "direction": "output",
- "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ]
- },
- "TXD": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "RXD": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "PIOS_00": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "PIOS_01": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "PIOS_02": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "PIOS_03": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "PA": {
- "direction": "inout",
- "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ]
- },
- "MISO": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "MOSI": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "SCL": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MISO2": {
- "direction": "input",
- "bits": [ 44 ]
- },
- "MOSI2": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "SCL2": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "sCS": {
- "direction": "input",
- "bits": [ 47 ]
- },
- "sSCL": {
- "direction": "input",
- "bits": [ 48 ]
- },
- "sMOSI": {
- "direction": "input",
- "bits": [ 49 ]
- },
- "spower": {
- "direction": "output",
- "bits": [ 50, 51, 52 ]
- },
- "reset": {
- "direction": "input",
- "bits": [ 53 ]
- }
- },
- "cells": {
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 57 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 59 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 56 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 61 ],
- "I2": [ 62 ],
- "I3": [ 63 ],
- "O": [ 58 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 66 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 70 ],
- "O": [ 71 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ 74 ],
- "I3": [ 75 ],
- "O": [ 69 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 76 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 77 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 64 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 79 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44287
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 81 ],
- "I2": [ 64 ],
- "I3": [ 78 ],
- "O": [ 82 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 84 ],
- "I2": [ 85 ],
- "I3": [ "0" ],
- "O": [ 81 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 87 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 88 ],
- "I2": [ 78 ],
- "I3": [ 82 ],
- "O": [ 89 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44287
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 91 ],
- "I2": [ 64 ],
- "I3": [ 78 ],
- "O": [ 92 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 84 ],
- "I2": [ 85 ],
- "I3": [ "0" ],
- "O": [ 91 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ 86 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 93 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 94 ],
- "I2": [ 78 ],
- "I3": [ 92 ],
- "O": [ 95 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 101 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 102 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 106 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 108 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 110 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 112 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 113 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 114 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 116 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 118 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 120 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 121 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 122 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 127 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 128 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 129 ],
- "I1": [ 130 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 129 ],
- "I2": [ 133 ],
- "I3": [ 134 ],
- "O": [ 135 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 141 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 144 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 138 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 146 ],
- "I1": [ 140 ],
- "I2": [ 138 ],
- "I3": [ "0" ],
- "O": [ 147 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 149 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 150 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 151 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 152 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 153 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 151 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 71 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 155 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 158 ],
- "I3": [ 159 ],
- "O": [ 154 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 66 ],
- "I2": [ 155 ],
- "I3": [ "0" ],
- "O": [ 160 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ 162 ],
- "I2": [ 163 ],
- "I3": [ "0" ],
- "O": [ 164 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 166 ],
- "I2": [ 167 ],
- "I3": [ 168 ],
- "O": [ 162 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 165 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 172 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 173 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 174 ],
- "I2": [ 65 ],
- "I3": [ 164 ],
- "O": [ 172 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ 168 ],
- "I3": [ 165 ],
- "O": [ 174 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 174 ],
- "I2": [ 175 ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 177 ],
- "I2": [ 178 ],
- "I3": [ "0" ],
- "O": [ 175 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 180 ],
- "I2": [ 181 ],
- "I3": [ 182 ],
- "O": [ 176 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 166 ],
- "I2": [ 172 ],
- "I3": [ "0" ],
- "O": [ 183 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 178 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 184 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ "0" ],
- "O": [ 188 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 185 ],
- "I2": [ 189 ],
- "I3": [ "0" ],
- "O": [ 190 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 186 ],
- "I2": [ 191 ],
- "I3": [ "0" ],
- "O": [ 192 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 185 ],
- "I2": [ 193 ],
- "I3": [ "0" ],
- "O": [ 194 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44287
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 196 ],
- "I2": [ 64 ],
- "I3": [ 78 ],
- "O": [ 197 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ 84 ],
- "I2": [ 86 ],
- "I3": [ 85 ],
- "O": [ 196 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 198 ],
- "I2": [ 78 ],
- "I3": [ 197 ],
- "O": [ 199 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 201 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 202 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 206 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 207 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 211 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 213 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 214 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 215 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 216 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 217 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 218 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 219 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 220 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 222 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 223 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 226 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 228 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 231 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 232 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 234 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 236 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 237 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 238 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 239 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 240 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 242 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 244 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 246 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 248 ],
- "I1": [ 138 ],
- "I2": [ 139 ],
- "I3": [ 140 ],
- "O": [ 249 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 232 ],
- "I2": [ 250 ],
- "I3": [ 140 ],
- "O": [ 251 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 232 ],
- "I2": [ 252 ],
- "I3": [ 140 ],
- "O": [ 253 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 232 ],
- "I2": [ 254 ],
- "I3": [ 140 ],
- "O": [ 255 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 232 ],
- "I2": [ 256 ],
- "I3": [ 140 ],
- "O": [ 257 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 232 ],
- "I2": [ 258 ],
- "I3": [ 140 ],
- "O": [ 259 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 232 ],
- "I2": [ 260 ],
- "I3": [ 140 ],
- "O": [ 261 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 232 ],
- "I2": [ 262 ],
- "I3": [ 140 ],
- "O": [ 263 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 264 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 266 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 268 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 270 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 272 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 274 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 276 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 277 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 278 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 280 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 281 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 282 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 284 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 286 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 288 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 289 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 290 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 292 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 294 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 296 ],
- "I2": [ 151 ],
- "I3": [ 148 ],
- "O": [ 297 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 280 ],
- "I2": [ 298 ],
- "I3": [ 148 ],
- "O": [ 299 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 280 ],
- "I2": [ 300 ],
- "I3": [ 148 ],
- "O": [ 301 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 280 ],
- "I2": [ 302 ],
- "I3": [ 148 ],
- "O": [ 303 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 280 ],
- "I2": [ 304 ],
- "I3": [ 148 ],
- "O": [ 305 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 280 ],
- "I2": [ 306 ],
- "I3": [ 148 ],
- "O": [ 307 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 280 ],
- "I2": [ 308 ],
- "I3": [ 148 ],
- "O": [ 309 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 280 ],
- "I2": [ 310 ],
- "I3": [ 148 ],
- "O": [ 311 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 312 ],
- "I1": [ 313 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 314 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 200 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 313 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 315 ],
- "I1": [ 316 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 317 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 202 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 316 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 318 ],
- "I1": [ 319 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 320 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 204 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 321 ],
- "I1": [ 322 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 323 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 206 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 322 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 325 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 326 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 208 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 325 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 327 ],
- "I1": [ 328 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 329 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 210 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 328 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 330 ],
- "I1": [ 331 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 332 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 212 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 331 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 334 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 335 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 214 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 334 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 336 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 337 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 338 ],
- "I2": [ 99 ],
- "I3": [ "0" ],
- "O": [ 339 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 340 ],
- "I2": [ 101 ],
- "I3": [ "0" ],
- "O": [ 341 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 342 ],
- "I2": [ 103 ],
- "I3": [ "0" ],
- "O": [ 343 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 344 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 345 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 346 ],
- "I2": [ 107 ],
- "I3": [ "0" ],
- "O": [ 347 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 348 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 349 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 350 ],
- "I2": [ 111 ],
- "I3": [ "0" ],
- "O": [ 351 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 352 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 354 ],
- "I2": [ 115 ],
- "I3": [ "0" ],
- "O": [ 355 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 356 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 357 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 358 ],
- "I2": [ 119 ],
- "I3": [ "0" ],
- "O": [ 359 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 360 ],
- "I2": [ 121 ],
- "I3": [ "0" ],
- "O": [ 361 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 362 ],
- "I2": [ 123 ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 364 ],
- "I2": [ 125 ],
- "I3": [ "0" ],
- "O": [ 365 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 366 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 368 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 369 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 370 ],
- "I2": [ 99 ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 372 ],
- "I2": [ 101 ],
- "I3": [ "0" ],
- "O": [ 373 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 374 ],
- "I2": [ 103 ],
- "I3": [ "0" ],
- "O": [ 375 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 376 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 377 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 378 ],
- "I2": [ 107 ],
- "I3": [ "0" ],
- "O": [ 379 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 380 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 381 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 382 ],
- "I2": [ 111 ],
- "I3": [ "0" ],
- "O": [ 383 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 384 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 385 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 386 ],
- "I2": [ 115 ],
- "I3": [ "0" ],
- "O": [ 387 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 388 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 389 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 390 ],
- "I2": [ 119 ],
- "I3": [ "0" ],
- "O": [ 391 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 392 ],
- "I2": [ 121 ],
- "I3": [ "0" ],
- "O": [ 393 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 394 ],
- "I2": [ 123 ],
- "I3": [ "0" ],
- "O": [ 395 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 396 ],
- "I2": [ 125 ],
- "I3": [ "0" ],
- "O": [ 397 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 398 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 200 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 401 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 202 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 403 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 404 ],
- "I1": [ 204 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 405 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ 206 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 407 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 408 ],
- "I1": [ 208 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 409 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 210 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 411 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 412 ],
- "I1": [ 212 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 413 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 415 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 169 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 416 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 420 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 421 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 422 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 423 ],
- "I1": [ 424 ],
- "I2": [ 425 ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 424 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 61 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 427 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 60 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 425 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 63 ],
- "I2": [ 427 ],
- "I3": [ 62 ],
- "O": [ 429 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 431 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 432 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 434 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 431 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ 436 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 437 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 439 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 436 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 440 ],
- "I1": [ 441 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 442 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 443 ],
- "I1": [ 444 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 441 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 446 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 447 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 449 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 446 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 451 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 452 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 454 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 451 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 456 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 457 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ 459 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 461 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 464 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 461 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 465 ],
- "I1": [ 466 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 467 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ 469 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 466 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ 471 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 472 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 474 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ 476 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 479 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 476 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 480 ],
- "I1": [ 481 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 482 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 483 ],
- "I1": [ 484 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 481 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 486 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 487 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 489 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 486 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 490 ],
- "I1": [ 491 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 493 ],
- "I1": [ 494 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 491 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 495 ],
- "I1": [ 496 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 497 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 498 ],
- "I1": [ 499 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 496 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 501 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 502 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 504 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 501 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 505 ],
- "I1": [ 506 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 507 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 509 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 506 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ 510 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 512 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 510 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 513 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 514 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ 515 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 513 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 516 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 517 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 440 ],
- "I1": [ 518 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 516 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 519 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 521 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 519 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 522 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 523 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 524 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 522 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 525 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 526 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 527 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 525 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 464 ],
- "I1": [ 528 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 529 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 530 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 528 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 531 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 532 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 465 ],
- "I1": [ 533 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 531 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 534 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 535 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ 536 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 479 ],
- "I1": [ 537 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 538 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ 539 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 537 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 540 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 541 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 480 ],
- "I1": [ 542 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 489 ],
- "I1": [ 543 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 544 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 545 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 543 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 546 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 547 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 490 ],
- "I1": [ 548 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 546 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 499 ],
- "I1": [ 549 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 495 ],
- "I1": [ 551 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 549 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 552 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 553 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 554 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 555 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 505 ],
- "I1": [ 557 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 555 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 558 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 559 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ 560 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 558 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 515 ],
- "I1": [ 561 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 563 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ 564 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 565 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 566 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 564 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 567 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 568 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 569 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 570 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 571 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 572 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 570 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 573 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 574 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 575 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 576 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 464 ],
- "I1": [ 578 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 576 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ 579 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 580 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 581 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 579 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 536 ],
- "I1": [ 582 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 583 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 584 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 582 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 539 ],
- "I1": [ 585 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 586 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 479 ],
- "I1": [ 587 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 585 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ 588 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 590 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 588 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 545 ],
- "I1": [ 591 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 592 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 489 ],
- "I1": [ 593 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 591 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 548 ],
- "I1": [ 594 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 595 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 596 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 551 ],
- "I1": [ 597 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 598 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 499 ],
- "I1": [ 599 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 597 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ 600 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 601 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 602 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 600 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 557 ],
- "I1": [ 603 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 604 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 605 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 603 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 606 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 607 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 608 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 606 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 563 ],
- "I1": [ 609 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 515 ],
- "I1": [ 611 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 609 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 612 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ 614 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 615 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 616 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 617 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 615 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 572 ],
- "I1": [ 618 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 619 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 620 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 621 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 623 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 621 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 624 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 625 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 626 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 624 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 627 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 628 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ 629 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 630 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 631 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 536 ],
- "I1": [ 632 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 630 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 587 ],
- "I1": [ 633 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 634 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 539 ],
- "I1": [ 635 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 633 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 636 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 637 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ 638 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 636 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 593 ],
- "I1": [ 639 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 640 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 545 ],
- "I1": [ 641 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 639 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 596 ],
- "I1": [ 642 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 643 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 548 ],
- "I1": [ 644 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 642 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 599 ],
- "I1": [ 645 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 646 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 551 ],
- "I1": [ 647 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ 648 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ 650 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 648 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 651 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 652 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 557 ],
- "I1": [ 653 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 651 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 608 ],
- "I1": [ 654 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 655 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 656 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 654 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 611 ],
- "I1": [ 657 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 658 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 563 ],
- "I1": [ 659 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 657 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 660 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 661 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 662 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 660 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 663 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 664 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 665 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 663 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 620 ],
- "I1": [ 666 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 667 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 572 ],
- "I1": [ 668 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 666 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 623 ],
- "I1": [ 669 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 670 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 671 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 669 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 672 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 673 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 674 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 672 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 675 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 676 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 677 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 675 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 632 ],
- "I1": [ 678 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 679 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 680 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 678 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ 681 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 682 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 587 ],
- "I1": [ 683 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 681 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 638 ],
- "I1": [ 684 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 685 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 686 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 684 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 687 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 688 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 593 ],
- "I1": [ 689 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 687 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ 690 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 691 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 596 ],
- "I1": [ 692 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 690 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 693 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 694 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 599 ],
- "I1": [ 695 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 693 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 650 ],
- "I1": [ 696 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 697 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ 698 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 696 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 653 ],
- "I1": [ 699 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 700 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 701 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 699 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 702 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 703 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 608 ],
- "I1": [ 704 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 702 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 659 ],
- "I1": [ 705 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 706 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 611 ],
- "I1": [ 707 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 705 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 662 ],
- "I1": [ 708 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 709 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 710 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 708 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 711 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 712 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 713 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 711 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 668 ],
- "I1": [ 714 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 715 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 620 ],
- "I1": [ 716 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 714 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 717 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 718 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 623 ],
- "I1": [ 719 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 717 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 674 ],
- "I1": [ 720 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 721 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 722 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 720 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 723 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 724 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 725 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 723 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 726 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 727 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 632 ],
- "I1": [ 728 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 726 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 729 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 730 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ 731 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 729 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 732 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 733 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 638 ],
- "I1": [ 734 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 732 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 689 ],
- "I1": [ 735 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 736 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 737 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 735 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 692 ],
- "I1": [ 738 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 739 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ 740 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 738 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 741 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 742 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 743 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 741 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 698 ],
- "I1": [ 744 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 745 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 650 ],
- "I1": [ 746 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 744 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 747 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 748 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 653 ],
- "I1": [ 749 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 747 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 750 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 751 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 752 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 750 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 707 ],
- "I1": [ 753 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 754 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 659 ],
- "I1": [ 755 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 753 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ 756 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 757 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 662 ],
- "I1": [ 758 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 756 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 759 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 761 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 759 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 716 ],
- "I1": [ 762 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 763 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 668 ],
- "I1": [ 764 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 762 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 765 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 766 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 767 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 765 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 722 ],
- "I1": [ 768 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 769 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 674 ],
- "I1": [ 770 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 768 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 725 ],
- "I1": [ 771 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 772 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 773 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 771 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ 774 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 775 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 776 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 774 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 731 ],
- "I1": [ 777 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 778 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 779 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 777 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 734 ],
- "I1": [ 780 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 781 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 782 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 780 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 737 ],
- "I1": [ 783 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 784 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 689 ],
- "I1": [ 785 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 783 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 740 ],
- "I1": [ 786 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 787 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 692 ],
- "I1": [ 788 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 786 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 789 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 790 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 791 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 789 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 746 ],
- "I1": [ 792 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 793 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 698 ],
- "I1": [ 794 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 792 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 795 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 796 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 797 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 795 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 752 ],
- "I1": [ 798 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 799 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 800 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 798 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 801 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 802 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 707 ],
- "I1": [ 803 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 801 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 758 ],
- "I1": [ 804 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 805 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ 806 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 804 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 761 ],
- "I1": [ 807 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 808 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 809 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 807 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 764 ],
- "I1": [ 810 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 811 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 716 ],
- "I1": [ 812 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 810 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 813 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 814 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 815 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 813 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 816 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 817 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 722 ],
- "I1": [ 818 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 816 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 819 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 820 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 725 ],
- "I1": [ 821 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 819 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 822 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 823 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ 824 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 822 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 779 ],
- "I1": [ 825 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 826 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 731 ],
- "I1": [ 827 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 825 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 828 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 829 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 734 ],
- "I1": [ 830 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 828 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 831 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 832 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 737 ],
- "I1": [ 833 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 831 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 834 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 835 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 740 ],
- "I1": [ 836 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 834 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 837 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 838 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 839 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 837 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 794 ],
- "I1": [ 840 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 841 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 746 ],
- "I1": [ 842 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 840 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 797 ],
- "I1": [ 843 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 844 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 845 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 843 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ 846 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 847 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 752 ],
- "I1": [ 848 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 846 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 849 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 850 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 851 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 849 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 806 ],
- "I1": [ 852 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 853 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 758 ],
- "I1": [ 854 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 852 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 855 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 856 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 761 ],
- "I1": [ 857 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 855 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 812 ],
- "I1": [ 858 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 859 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 764 ],
- "I1": [ 860 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 858 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 861 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 862 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 863 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 861 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 818 ],
- "I1": [ 864 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 865 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 866 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 864 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 867 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 868 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 869 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 867 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 824 ],
- "I1": [ 870 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 871 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 872 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 870 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 873 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 874 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 779 ],
- "I1": [ 875 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 873 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 830 ],
- "I1": [ 876 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 877 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 878 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 876 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 879 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 880 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 881 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 879 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 836 ],
- "I1": [ 882 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 883 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 884 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 882 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 885 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 886 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 887 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 885 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 842 ],
- "I1": [ 888 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 889 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 794 ],
- "I1": [ 890 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 888 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 891 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 892 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 797 ],
- "I1": [ 893 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 891 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 848 ],
- "I1": [ 894 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 895 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ 896 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 894 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 897 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 898 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 899 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 897 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 900 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 901 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 806 ],
- "I1": [ 902 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 900 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 903 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 904 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 905 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 903 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 906 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 907 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 812 ],
- "I1": [ 908 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 906 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 909 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 910 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 911 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 909 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 866 ],
- "I1": [ 912 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 913 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 818 ],
- "I1": [ 914 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 912 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 869 ],
- "I1": [ 915 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 916 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 917 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 915 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 872 ],
- "I1": [ 918 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 919 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 824 ],
- "I1": [ 920 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 918 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 875 ],
- "I1": [ 921 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 922 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 923 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 921 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 924 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 830 ],
- "I1": [ 926 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 924 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 881 ],
- "I1": [ 927 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 928 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 929 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 927 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 930 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 836 ],
- "I1": [ 932 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 930 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 933 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 935 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 890 ],
- "I1": [ 936 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 937 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 842 ],
- "I1": [ 938 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 936 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 939 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 940 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 941 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 939 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 896 ],
- "I1": [ 942 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 943 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 848 ],
- "I1": [ 944 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 942 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 899 ],
- "I1": [ 945 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 946 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 947 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 902 ],
- "I1": [ 948 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 949 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 950 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 948 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 905 ],
- "I1": [ 951 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 952 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 953 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 951 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ 954 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 955 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 956 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 954 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 911 ],
- "I1": [ 957 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 958 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 959 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 957 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 914 ],
- "I1": [ 960 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 961 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 866 ],
- "I1": [ 962 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 960 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 917 ],
- "I1": [ 963 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 964 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 869 ],
- "I1": [ 965 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 963 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 920 ],
- "I1": [ 966 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 967 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 872 ],
- "I1": [ 968 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 966 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 923 ],
- "I1": [ 969 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 970 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 875 ],
- "I1": [ 971 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 969 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ 972 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 973 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 974 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 972 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 929 ],
- "I1": [ 975 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 976 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 881 ],
- "I1": [ 977 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 975 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 932 ],
- "I1": [ 978 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 979 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 980 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 978 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ 981 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 982 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 983 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 981 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 984 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 985 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 890 ],
- "I1": [ 986 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 984 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 941 ],
- "I1": [ 987 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 988 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 989 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 987 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 944 ],
- "I1": [ 990 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 991 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 896 ],
- "I1": [ 992 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 990 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 947 ],
- "I1": [ 993 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 994 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 899 ],
- "I1": [ 995 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 993 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 950 ],
- "I1": [ 996 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 997 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 902 ],
- "I1": [ 998 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 996 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 953 ],
- "I1": [ 999 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1000 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 905 ],
- "I1": [ 1001 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 999 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 956 ],
- "I1": [ 1002 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1003 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ 1004 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1002 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 1005 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1006 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 911 ],
- "I1": [ 1007 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1005 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 1008 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1009 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 914 ],
- "I1": [ 1010 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1008 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 965 ],
- "I1": [ 1011 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1012 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 917 ],
- "I1": [ 1013 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1011 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 1014 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1015 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 920 ],
- "I1": [ 1016 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1014 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 1017 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1018 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 923 ],
- "I1": [ 1019 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1017 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 1020 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1021 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ 1022 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1020 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 977 ],
- "I1": [ 1023 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1024 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 929 ],
- "I1": [ 1025 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1023 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 980 ],
- "I1": [ 1026 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1027 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 932 ],
- "I1": [ 1028 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1026 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 983 ],
- "I1": [ 1029 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1030 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ 1031 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1029 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 986 ],
- "I1": [ 1032 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1033 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 1034 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 989 ],
- "I1": [ 1035 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1036 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 941 ],
- "I1": [ 1037 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1035 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 992 ],
- "I1": [ 1038 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1039 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 944 ],
- "I1": [ 1040 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1038 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 995 ],
- "I1": [ 1041 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1042 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 947 ],
- "I1": [ 1043 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1041 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 998 ],
- "I1": [ 1044 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1045 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 950 ],
- "I1": [ 1046 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1044 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 1047 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1048 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 953 ],
- "I1": [ 1049 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1047 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 1050 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1051 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 956 ],
- "I1": [ 1052 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1050 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1007 ],
- "I1": [ 1053 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1054 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 1055 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1053 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1056 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1057 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 1058 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1056 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1013 ],
- "I1": [ 1059 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1060 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 965 ],
- "I1": [ 1061 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1059 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1062 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1063 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 1064 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1062 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 1065 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1066 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 1067 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1065 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1022 ],
- "I1": [ 1068 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1069 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 1070 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1068 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1025 ],
- "I1": [ 1071 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1072 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 977 ],
- "I1": [ 1073 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1071 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 1074 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1075 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 980 ],
- "I1": [ 1076 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1074 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1031 ],
- "I1": [ 1077 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1078 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 983 ],
- "I1": [ 1079 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1077 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1034 ],
- "I1": [ 1080 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1081 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 986 ],
- "I1": [ 1082 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1080 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1037 ],
- "I1": [ 1083 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1084 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 989 ],
- "I1": [ 1085 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1083 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1040 ],
- "I1": [ 1086 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1087 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 992 ],
- "I1": [ 1088 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1086 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1089 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1090 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 995 ],
- "I1": [ 1091 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1089 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 1092 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1093 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 998 ],
- "I1": [ 1094 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1092 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1049 ],
- "I1": [ 1095 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1096 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 1097 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1095 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1052 ],
- "I1": [ 1098 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1099 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 1100 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1098 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1055 ],
- "I1": [ 1101 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1102 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1007 ],
- "I1": [ 1103 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1101 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 1104 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1105 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1106 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1104 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1061 ],
- "I1": [ 1107 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1108 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1013 ],
- "I1": [ 1109 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1107 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1110 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1111 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1112 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1110 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1067 ],
- "I1": [ 1113 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1114 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 1115 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1113 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1070 ],
- "I1": [ 1116 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1117 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1022 ],
- "I1": [ 1118 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1116 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 1119 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1120 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1025 ],
- "I1": [ 1121 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1119 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1076 ],
- "I1": [ 1122 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1123 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 1124 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1122 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1125 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1126 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1031 ],
- "I1": [ 1127 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1125 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 1128 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1129 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1034 ],
- "I1": [ 1130 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1128 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1085 ],
- "I1": [ 1131 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1132 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1037 ],
- "I1": [ 1133 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1131 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1088 ],
- "I1": [ 1134 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1135 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1040 ],
- "I1": [ 1136 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1134 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1091 ],
- "I1": [ 1137 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1138 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1139 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1137 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1094 ],
- "I1": [ 1140 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1141 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 1142 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1140 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1097 ],
- "I1": [ 1143 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1144 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1049 ],
- "I1": [ 1145 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1143 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 1146 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1147 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1052 ],
- "I1": [ 1148 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1146 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 1149 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1150 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1055 ],
- "I1": [ 1151 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1149 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1106 ],
- "I1": [ 1152 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1153 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 1154 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1109 ],
- "I1": [ 1155 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1156 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1061 ],
- "I1": [ 1157 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1155 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1112 ],
- "I1": [ 1158 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1159 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1160 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1158 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1115 ],
- "I1": [ 1161 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1162 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1067 ],
- "I1": [ 1163 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1161 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1118 ],
- "I1": [ 1164 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1165 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1070 ],
- "I1": [ 1166 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1164 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 1167 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1168 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 1169 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1167 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1124 ],
- "I1": [ 1170 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1171 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1076 ],
- "I1": [ 1172 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1170 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1127 ],
- "I1": [ 1173 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1174 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1175 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1173 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1130 ],
- "I1": [ 1176 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1177 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 1178 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1176 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1133 ],
- "I1": [ 1179 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1180 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1085 ],
- "I1": [ 1181 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1088 ],
- "I2": [ 1136 ],
- "I3": [ 426 ],
- "O": [ 1182 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1091 ],
- "I2": [ 1139 ],
- "I3": [ 426 ],
- "O": [ 1183 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1094 ],
- "I2": [ 1142 ],
- "I3": [ 426 ],
- "O": [ 1184 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1097 ],
- "I2": [ 1145 ],
- "I3": [ 426 ],
- "O": [ 1185 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1100 ],
- "I2": [ 1148 ],
- "I3": [ 426 ],
- "O": [ 1186 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1103 ],
- "I2": [ 1151 ],
- "I3": [ 426 ],
- "O": [ 1187 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1106 ],
- "I2": [ 1154 ],
- "I3": [ 426 ],
- "O": [ 1188 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1109 ],
- "I2": [ 1157 ],
- "I3": [ 426 ],
- "O": [ 1189 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1112 ],
- "I2": [ 1160 ],
- "I3": [ 426 ],
- "O": [ 1190 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1115 ],
- "I2": [ 1163 ],
- "I3": [ 426 ],
- "O": [ 1191 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1118 ],
- "I2": [ 1166 ],
- "I3": [ 426 ],
- "O": [ 1192 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1121 ],
- "I2": [ 1169 ],
- "I3": [ 426 ],
- "O": [ 1193 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1124 ],
- "I2": [ 1172 ],
- "I3": [ 426 ],
- "O": [ 1194 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1127 ],
- "I2": [ 1175 ],
- "I3": [ 426 ],
- "O": [ 1195 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1130 ],
- "I2": [ 1178 ],
- "I3": [ 426 ],
- "O": [ 1196 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1133 ],
- "I2": [ 1181 ],
- "I3": [ 426 ],
- "O": [ 1197 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 1199 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1201 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 430 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1199 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1202 ],
- "I1": [ 427 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1200 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 54 ],
- "I2": [ 58 ],
- "I3": [ 1203 ],
- "O": [ 1202 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 1205 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1206 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 435 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1205 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 1208 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1209 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 443 ],
- "I1": [ 440 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1208 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 1211 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1212 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 445 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1211 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 1214 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1215 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 450 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1214 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 1217 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1218 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ 455 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1217 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1220 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1221 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 460 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1220 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1222 ],
- "I1": [ 1223 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1224 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ 465 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1223 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ 1226 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1227 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 470 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1226 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ 1229 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1230 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 475 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1229 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ 1232 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1233 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 483 ],
- "I1": [ 480 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1232 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1235 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1236 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 485 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1235 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 1238 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1239 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 493 ],
- "I1": [ 490 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1238 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 1241 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1242 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 498 ],
- "I1": [ 495 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1241 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ 1244 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1245 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 500 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1244 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 1247 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1248 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 505 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1247 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1249 ],
- "I1": [ 1250 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1252 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1253 ],
- "I1": [ 62 ],
- "I2": [ 424 ],
- "I3": [ 61 ],
- "O": [ 1251 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1254 ],
- "I1": [ 1255 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1249 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1257 ],
- "I1": [ 58 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 1256 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1258 ],
- "I1": [ 1259 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1260 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1261 ],
- "I1": [ 1262 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1258 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1263 ],
- "I1": [ 1264 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1265 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1266 ],
- "I1": [ 1267 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1263 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1268 ],
- "I1": [ 1269 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1270 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1271 ],
- "I1": [ 1272 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1268 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1273 ],
- "I1": [ 1274 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1275 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1276 ],
- "I1": [ 1277 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1273 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1278 ],
- "I1": [ 1279 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1280 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1281 ],
- "I1": [ 1282 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1278 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1283 ],
- "I1": [ 1284 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1285 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1286 ],
- "I1": [ 1287 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1283 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1289 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1290 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1291 ],
- "I1": [ 1292 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1288 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1293 ],
- "I1": [ 1294 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1295 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1296 ],
- "I1": [ 1297 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1293 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1298 ],
- "I1": [ 1299 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1300 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1301 ],
- "I1": [ 1302 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1298 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1303 ],
- "I1": [ 1304 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1305 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1306 ],
- "I1": [ 1307 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1303 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1308 ],
- "I1": [ 1309 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1310 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1311 ],
- "I1": [ 1312 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1308 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1313 ],
- "I1": [ 1314 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1315 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1316 ],
- "I1": [ 1317 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1313 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1318 ],
- "I1": [ 1319 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1320 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1321 ],
- "I1": [ 1322 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1318 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1323 ],
- "I1": [ 1324 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1325 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1326 ],
- "I1": [ 1327 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1323 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1328 ],
- "I1": [ 1329 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1330 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1331 ],
- "I1": [ 1332 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1328 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1333 ],
- "I1": [ 1254 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1334 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1335 ],
- "I1": [ 1250 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1333 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1336 ],
- "I1": [ 1261 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1337 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1338 ],
- "I1": [ 1259 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1336 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 1266 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1340 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1341 ],
- "I1": [ 1264 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1339 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1342 ],
- "I1": [ 1271 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1343 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1344 ],
- "I1": [ 1269 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1342 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1345 ],
- "I1": [ 1276 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1346 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1347 ],
- "I1": [ 1274 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1345 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1348 ],
- "I1": [ 1281 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1349 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1350 ],
- "I1": [ 1279 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1348 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1351 ],
- "I1": [ 1286 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1352 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1353 ],
- "I1": [ 1284 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1351 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1354 ],
- "I1": [ 1291 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1355 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1289 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1354 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1357 ],
- "I1": [ 1296 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1358 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1359 ],
- "I1": [ 1294 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1357 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1360 ],
- "I1": [ 1301 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1361 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1362 ],
- "I1": [ 1299 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1360 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1363 ],
- "I1": [ 1306 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1364 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1365 ],
- "I1": [ 1304 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1363 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ 1311 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1367 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1368 ],
- "I1": [ 1309 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1366 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1369 ],
- "I1": [ 1316 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1370 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ 1314 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1369 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1372 ],
- "I1": [ 1321 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1373 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1374 ],
- "I1": [ 1319 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1372 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1375 ],
- "I1": [ 1326 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1376 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1377 ],
- "I1": [ 1324 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1375 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ 1331 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1379 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1380 ],
- "I1": [ 1329 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1378 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1381 ],
- "I1": [ 1335 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1382 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1383 ],
- "I1": [ 1254 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1381 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1384 ],
- "I1": [ 1338 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1385 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1386 ],
- "I1": [ 1261 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1384 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1387 ],
- "I1": [ 1341 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1388 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1389 ],
- "I1": [ 1266 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1387 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1390 ],
- "I1": [ 1344 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1391 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1392 ],
- "I1": [ 1271 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1390 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1393 ],
- "I1": [ 1347 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1394 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1395 ],
- "I1": [ 1276 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1393 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1396 ],
- "I1": [ 1350 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1397 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1398 ],
- "I1": [ 1281 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1396 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1399 ],
- "I1": [ 1353 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1400 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1401 ],
- "I1": [ 1286 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1399 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1402 ],
- "I1": [ 1356 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1403 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1404 ],
- "I1": [ 1291 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1402 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1405 ],
- "I1": [ 1359 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1406 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1407 ],
- "I1": [ 1296 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1405 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1408 ],
- "I1": [ 1362 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1409 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1410 ],
- "I1": [ 1301 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1408 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1411 ],
- "I1": [ 1365 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1412 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1413 ],
- "I1": [ 1306 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1411 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1414 ],
- "I1": [ 1368 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1415 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1416 ],
- "I1": [ 1311 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1414 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1417 ],
- "I1": [ 1371 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1418 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1419 ],
- "I1": [ 1316 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1417 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1420 ],
- "I1": [ 1374 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1421 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1422 ],
- "I1": [ 1321 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1420 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1423 ],
- "I1": [ 1377 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1424 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1425 ],
- "I1": [ 1326 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1423 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1426 ],
- "I1": [ 1380 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1427 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1428 ],
- "I1": [ 1331 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1426 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1429 ],
- "I1": [ 1383 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1430 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1431 ],
- "I1": [ 1335 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1429 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1432 ],
- "I1": [ 1386 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1433 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1434 ],
- "I1": [ 1338 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1432 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1435 ],
- "I1": [ 1389 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1436 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1437 ],
- "I1": [ 1341 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1435 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1438 ],
- "I1": [ 1392 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1439 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1440 ],
- "I1": [ 1344 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1438 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1441 ],
- "I1": [ 1395 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1442 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1443 ],
- "I1": [ 1347 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1441 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1444 ],
- "I1": [ 1398 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1445 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1446 ],
- "I1": [ 1350 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1444 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1447 ],
- "I1": [ 1401 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1448 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1449 ],
- "I1": [ 1353 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1447 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1450 ],
- "I1": [ 1404 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1451 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1452 ],
- "I1": [ 1356 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1450 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1453 ],
- "I1": [ 1407 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1454 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1455 ],
- "I1": [ 1359 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1453 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1456 ],
- "I1": [ 1410 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1457 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1458 ],
- "I1": [ 1362 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1456 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1459 ],
- "I1": [ 1413 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1460 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1461 ],
- "I1": [ 1365 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1459 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1462 ],
- "I1": [ 1416 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1463 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1464 ],
- "I1": [ 1368 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1462 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1465 ],
- "I1": [ 1419 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1466 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1467 ],
- "I1": [ 1371 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1465 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1468 ],
- "I1": [ 1422 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1469 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1470 ],
- "I1": [ 1374 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1468 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1471 ],
- "I1": [ 1425 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1472 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1473 ],
- "I1": [ 1377 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1471 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1474 ],
- "I1": [ 1428 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1475 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1476 ],
- "I1": [ 1380 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1474 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1477 ],
- "I1": [ 1431 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1478 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1479 ],
- "I1": [ 1383 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1477 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1480 ],
- "I1": [ 1434 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1481 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1482 ],
- "I1": [ 1386 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1480 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1483 ],
- "I1": [ 1437 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1484 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1485 ],
- "I1": [ 1389 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1483 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1440 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1487 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1488 ],
- "I1": [ 1392 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1486 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1489 ],
- "I1": [ 1443 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1490 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1491 ],
- "I1": [ 1395 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1489 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1492 ],
- "I1": [ 1446 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1493 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1494 ],
- "I1": [ 1398 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1492 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1495 ],
- "I1": [ 1449 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1496 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1497 ],
- "I1": [ 1401 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1495 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1498 ],
- "I1": [ 1452 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1499 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1500 ],
- "I1": [ 1404 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1498 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1501 ],
- "I1": [ 1455 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1502 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1503 ],
- "I1": [ 1407 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1501 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1504 ],
- "I1": [ 1458 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1505 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1506 ],
- "I1": [ 1410 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1504 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1507 ],
- "I1": [ 1461 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1508 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1509 ],
- "I1": [ 1413 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1507 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1510 ],
- "I1": [ 1464 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1511 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1512 ],
- "I1": [ 1416 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1510 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1513 ],
- "I1": [ 1467 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1514 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1515 ],
- "I1": [ 1419 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1513 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1516 ],
- "I1": [ 1470 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1517 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1518 ],
- "I1": [ 1422 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1516 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1519 ],
- "I1": [ 1473 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1520 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1521 ],
- "I1": [ 1425 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1519 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1522 ],
- "I1": [ 1476 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1523 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1524 ],
- "I1": [ 1428 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1522 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1525 ],
- "I1": [ 1479 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1526 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1527 ],
- "I1": [ 1431 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1525 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1528 ],
- "I1": [ 1482 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1529 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1530 ],
- "I1": [ 1434 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1528 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1531 ],
- "I1": [ 1485 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1532 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1533 ],
- "I1": [ 1437 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1531 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1534 ],
- "I1": [ 1488 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1535 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1536 ],
- "I1": [ 1440 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1534 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1537 ],
- "I1": [ 1491 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1538 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1539 ],
- "I1": [ 1443 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1537 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1540 ],
- "I1": [ 1494 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1541 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1542 ],
- "I1": [ 1446 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1540 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1543 ],
- "I1": [ 1497 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1544 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1545 ],
- "I1": [ 1449 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1543 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1546 ],
- "I1": [ 1500 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1547 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1548 ],
- "I1": [ 1452 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1546 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1549 ],
- "I1": [ 1503 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1550 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1551 ],
- "I1": [ 1455 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1549 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1552 ],
- "I1": [ 1506 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1553 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1554 ],
- "I1": [ 1458 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1552 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1555 ],
- "I1": [ 1509 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1556 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1557 ],
- "I1": [ 1461 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1555 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1558 ],
- "I1": [ 1512 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1559 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1560 ],
- "I1": [ 1464 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1558 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1561 ],
- "I1": [ 1515 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1562 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1563 ],
- "I1": [ 1467 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1561 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1564 ],
- "I1": [ 1518 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1565 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1566 ],
- "I1": [ 1470 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1564 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1567 ],
- "I1": [ 1521 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1568 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1569 ],
- "I1": [ 1473 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1567 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1570 ],
- "I1": [ 1524 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1571 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1572 ],
- "I1": [ 1476 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1570 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1573 ],
- "I1": [ 1527 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1574 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1575 ],
- "I1": [ 1479 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1573 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1576 ],
- "I1": [ 1530 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1577 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1578 ],
- "I1": [ 1482 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1576 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1579 ],
- "I1": [ 1533 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1580 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1581 ],
- "I1": [ 1485 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1579 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1582 ],
- "I1": [ 1536 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1583 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1584 ],
- "I1": [ 1488 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1582 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1585 ],
- "I1": [ 1539 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1586 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1491 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1585 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1588 ],
- "I1": [ 1542 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1589 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1590 ],
- "I1": [ 1494 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1588 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1591 ],
- "I1": [ 1545 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1592 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1593 ],
- "I1": [ 1497 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1591 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1594 ],
- "I1": [ 1548 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1595 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1596 ],
- "I1": [ 1500 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1594 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1597 ],
- "I1": [ 1551 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1598 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1503 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1597 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1600 ],
- "I1": [ 1554 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1601 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1602 ],
- "I1": [ 1506 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1600 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1603 ],
- "I1": [ 1557 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1604 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1605 ],
- "I1": [ 1509 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1603 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1606 ],
- "I1": [ 1560 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1607 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1608 ],
- "I1": [ 1512 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1606 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1609 ],
- "I1": [ 1563 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1610 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1611 ],
- "I1": [ 1515 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1609 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1612 ],
- "I1": [ 1566 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1613 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1614 ],
- "I1": [ 1518 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1612 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1615 ],
- "I1": [ 1569 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1616 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1617 ],
- "I1": [ 1521 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1615 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1618 ],
- "I1": [ 1572 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1619 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1620 ],
- "I1": [ 1524 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1618 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1621 ],
- "I1": [ 1575 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1622 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1623 ],
- "I1": [ 1527 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1621 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1624 ],
- "I1": [ 1578 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1625 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1626 ],
- "I1": [ 1530 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1624 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1627 ],
- "I1": [ 1581 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1628 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1629 ],
- "I1": [ 1533 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1627 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1630 ],
- "I1": [ 1584 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1631 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1632 ],
- "I1": [ 1536 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1630 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1633 ],
- "I1": [ 1587 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1634 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1635 ],
- "I1": [ 1539 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1633 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1636 ],
- "I1": [ 1590 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1637 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1638 ],
- "I1": [ 1542 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1636 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1639 ],
- "I1": [ 1593 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1640 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1641 ],
- "I1": [ 1545 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1639 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1642 ],
- "I1": [ 1596 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1643 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1644 ],
- "I1": [ 1548 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1642 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1645 ],
- "I1": [ 1599 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1646 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1647 ],
- "I1": [ 1551 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1645 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1648 ],
- "I1": [ 1602 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1649 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1650 ],
- "I1": [ 1554 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1648 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1651 ],
- "I1": [ 1605 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1652 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1653 ],
- "I1": [ 1557 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1651 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1654 ],
- "I1": [ 1608 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1655 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1656 ],
- "I1": [ 1560 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1654 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1657 ],
- "I1": [ 1611 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1658 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1659 ],
- "I1": [ 1563 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1657 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1660 ],
- "I1": [ 1614 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1661 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1662 ],
- "I1": [ 1566 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1660 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1663 ],
- "I1": [ 1617 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1664 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1665 ],
- "I1": [ 1569 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1663 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1666 ],
- "I1": [ 1620 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1667 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1668 ],
- "I1": [ 1572 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1666 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1669 ],
- "I1": [ 1623 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1670 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1671 ],
- "I1": [ 1575 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1669 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1672 ],
- "I1": [ 1626 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1673 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1674 ],
- "I1": [ 1578 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1672 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1675 ],
- "I1": [ 1629 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1676 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1677 ],
- "I1": [ 1581 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1675 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1678 ],
- "I1": [ 1632 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1679 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1680 ],
- "I1": [ 1584 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1678 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1681 ],
- "I1": [ 1635 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1682 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1683 ],
- "I1": [ 1587 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1681 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1684 ],
- "I1": [ 1638 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1685 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1686 ],
- "I1": [ 1590 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1684 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1687 ],
- "I1": [ 1641 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1688 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1689 ],
- "I1": [ 1593 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1687 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1690 ],
- "I1": [ 1644 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1691 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1692 ],
- "I1": [ 1596 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1690 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1693 ],
- "I1": [ 1647 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1694 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1695 ],
- "I1": [ 1599 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1693 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1696 ],
- "I1": [ 1650 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1697 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1698 ],
- "I1": [ 1602 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1696 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1699 ],
- "I1": [ 1653 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1700 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1701 ],
- "I1": [ 1605 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1699 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1702 ],
- "I1": [ 1656 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1703 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1704 ],
- "I1": [ 1608 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1702 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1705 ],
- "I1": [ 1659 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1706 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1707 ],
- "I1": [ 1611 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1705 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1708 ],
- "I1": [ 1662 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1709 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1710 ],
- "I1": [ 1614 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1708 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1711 ],
- "I1": [ 1665 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1712 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1713 ],
- "I1": [ 1617 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1711 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1714 ],
- "I1": [ 1668 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1715 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1716 ],
- "I1": [ 1620 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1714 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1717 ],
- "I1": [ 1671 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1718 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1719 ],
- "I1": [ 1623 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1717 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1720 ],
- "I1": [ 1674 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1721 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1722 ],
- "I1": [ 1626 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1720 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1723 ],
- "I1": [ 1677 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1724 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1725 ],
- "I1": [ 1629 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1723 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1726 ],
- "I1": [ 1680 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1727 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1728 ],
- "I1": [ 1632 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1726 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1729 ],
- "I1": [ 1683 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1730 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1731 ],
- "I1": [ 1635 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1729 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1732 ],
- "I1": [ 1686 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1733 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1734 ],
- "I1": [ 1638 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1732 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1735 ],
- "I1": [ 1689 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1736 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1737 ],
- "I1": [ 1641 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1735 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1738 ],
- "I1": [ 1692 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1739 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1740 ],
- "I1": [ 1644 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1738 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1741 ],
- "I1": [ 1695 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1742 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1743 ],
- "I1": [ 1647 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1741 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1744 ],
- "I1": [ 1698 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1745 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1746 ],
- "I1": [ 1650 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1744 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1747 ],
- "I1": [ 1701 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1748 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1749 ],
- "I1": [ 1653 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1747 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1750 ],
- "I1": [ 1704 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1751 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1752 ],
- "I1": [ 1656 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1750 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1753 ],
- "I1": [ 1707 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1754 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1755 ],
- "I1": [ 1659 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1753 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1756 ],
- "I1": [ 1710 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1757 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1758 ],
- "I1": [ 1662 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1756 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1759 ],
- "I1": [ 1713 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1760 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1761 ],
- "I1": [ 1665 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1759 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1762 ],
- "I1": [ 1716 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1763 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1764 ],
- "I1": [ 1668 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1762 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1765 ],
- "I1": [ 1719 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1766 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1767 ],
- "I1": [ 1671 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1765 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1768 ],
- "I1": [ 1722 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1769 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1770 ],
- "I1": [ 1674 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1768 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1771 ],
- "I1": [ 1725 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1772 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1773 ],
- "I1": [ 1677 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1771 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1774 ],
- "I1": [ 1728 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1775 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1776 ],
- "I1": [ 1680 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1774 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1777 ],
- "I1": [ 1731 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1778 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1779 ],
- "I1": [ 1683 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1777 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1780 ],
- "I1": [ 1734 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1781 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1782 ],
- "I1": [ 1686 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1780 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1783 ],
- "I1": [ 1737 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1784 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1785 ],
- "I1": [ 1689 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1783 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1786 ],
- "I1": [ 1740 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1787 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1788 ],
- "I1": [ 1692 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1786 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1789 ],
- "I1": [ 1743 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1790 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1791 ],
- "I1": [ 1695 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1789 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1792 ],
- "I1": [ 1746 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1793 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1794 ],
- "I1": [ 1698 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1792 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1795 ],
- "I1": [ 1749 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1796 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1797 ],
- "I1": [ 1701 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1795 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1798 ],
- "I1": [ 1752 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1799 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1704 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1798 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1801 ],
- "I1": [ 1755 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1802 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1803 ],
- "I1": [ 1707 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1801 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1804 ],
- "I1": [ 1758 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1805 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1806 ],
- "I1": [ 1710 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1804 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1807 ],
- "I1": [ 1761 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1808 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1809 ],
- "I1": [ 1713 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1807 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1810 ],
- "I1": [ 1764 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1811 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1812 ],
- "I1": [ 1716 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1810 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1813 ],
- "I1": [ 1767 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1814 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1815 ],
- "I1": [ 1719 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1813 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1816 ],
- "I1": [ 1770 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1817 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1818 ],
- "I1": [ 1722 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1816 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1819 ],
- "I1": [ 1773 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1820 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1821 ],
- "I1": [ 1725 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1819 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1822 ],
- "I1": [ 1776 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1823 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1824 ],
- "I1": [ 1728 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1822 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1825 ],
- "I1": [ 1779 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1826 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1827 ],
- "I1": [ 1731 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1825 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1828 ],
- "I1": [ 1782 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1829 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1830 ],
- "I1": [ 1734 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1828 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1831 ],
- "I1": [ 1785 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1832 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1833 ],
- "I1": [ 1737 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1831 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1834 ],
- "I1": [ 1788 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1835 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1836 ],
- "I1": [ 1740 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1834 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1837 ],
- "I1": [ 1791 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1838 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1839 ],
- "I1": [ 1743 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1837 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1794 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1841 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1842 ],
- "I1": [ 1746 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1840 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1797 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1844 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 1749 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1843 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1846 ],
- "I1": [ 1800 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1847 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1848 ],
- "I1": [ 1752 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1846 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 1803 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1850 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1851 ],
- "I1": [ 1755 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1849 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1852 ],
- "I1": [ 1806 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1853 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1854 ],
- "I1": [ 1758 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1852 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1809 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1856 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1857 ],
- "I1": [ 1761 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1855 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1858 ],
- "I1": [ 1812 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1859 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1860 ],
- "I1": [ 1764 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1858 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1861 ],
- "I1": [ 1815 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1862 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1863 ],
- "I1": [ 1767 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1861 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1864 ],
- "I1": [ 1818 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1865 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1866 ],
- "I1": [ 1770 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1864 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1867 ],
- "I1": [ 1821 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1868 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1869 ],
- "I1": [ 1773 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1867 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1870 ],
- "I1": [ 1824 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1871 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1872 ],
- "I1": [ 1776 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1870 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1873 ],
- "I1": [ 1827 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1874 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1875 ],
- "I1": [ 1779 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1873 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1876 ],
- "I1": [ 1830 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1877 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1878 ],
- "I1": [ 1782 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1876 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 1833 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1880 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1785 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1879 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 1836 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1883 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1884 ],
- "I1": [ 1788 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1882 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1885 ],
- "I1": [ 1839 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1886 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1887 ],
- "I1": [ 1791 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1885 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1888 ],
- "I1": [ 1842 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1889 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1890 ],
- "I1": [ 1794 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1888 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1891 ],
- "I1": [ 1845 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1892 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1893 ],
- "I1": [ 1797 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1891 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1894 ],
- "I1": [ 1848 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1895 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1896 ],
- "I1": [ 1800 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1894 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1897 ],
- "I1": [ 1851 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1898 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1899 ],
- "I1": [ 1803 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1897 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1900 ],
- "I1": [ 1854 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1901 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1902 ],
- "I1": [ 1806 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1900 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1903 ],
- "I1": [ 1857 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1904 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1905 ],
- "I1": [ 1809 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1903 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1906 ],
- "I1": [ 1860 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1907 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1908 ],
- "I1": [ 1812 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1906 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1909 ],
- "I1": [ 1863 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1910 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1911 ],
- "I1": [ 1815 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1909 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1912 ],
- "I1": [ 1866 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1913 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1914 ],
- "I1": [ 1818 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1912 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1915 ],
- "I1": [ 1869 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1916 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1917 ],
- "I1": [ 1821 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1915 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1918 ],
- "I1": [ 1872 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1919 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1920 ],
- "I1": [ 1824 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1918 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1921 ],
- "I1": [ 1875 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1922 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1923 ],
- "I1": [ 1827 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1921 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1924 ],
- "I1": [ 1878 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1925 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1926 ],
- "I1": [ 1830 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1924 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1927 ],
- "I1": [ 1881 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1928 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1929 ],
- "I1": [ 1833 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1927 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1930 ],
- "I1": [ 1884 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1931 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1932 ],
- "I1": [ 1836 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1930 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1933 ],
- "I1": [ 1887 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1934 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1935 ],
- "I1": [ 1839 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1933 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1936 ],
- "I1": [ 1890 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1937 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1938 ],
- "I1": [ 1842 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1936 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1939 ],
- "I1": [ 1893 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1940 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1941 ],
- "I1": [ 1845 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1939 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1942 ],
- "I1": [ 1896 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1943 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1944 ],
- "I1": [ 1848 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1942 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1945 ],
- "I1": [ 1899 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1946 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1947 ],
- "I1": [ 1851 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1945 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1948 ],
- "I1": [ 1902 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1949 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1950 ],
- "I1": [ 1854 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1948 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1951 ],
- "I1": [ 1905 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1952 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1953 ],
- "I1": [ 1857 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1951 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1954 ],
- "I1": [ 1908 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1955 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1956 ],
- "I1": [ 1860 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1954 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1957 ],
- "I1": [ 1911 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1958 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1959 ],
- "I1": [ 1863 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1957 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1960 ],
- "I1": [ 1914 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1961 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1962 ],
- "I1": [ 1866 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1960 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1963 ],
- "I1": [ 1917 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1964 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1965 ],
- "I1": [ 1869 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1963 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1966 ],
- "I1": [ 1920 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1967 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1968 ],
- "I1": [ 1872 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1966 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1969 ],
- "I1": [ 1923 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1970 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1971 ],
- "I1": [ 1875 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1969 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1972 ],
- "I1": [ 1926 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1973 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1974 ],
- "I1": [ 1878 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1972 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1975 ],
- "I1": [ 1929 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1976 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1977 ],
- "I1": [ 1881 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1975 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1978 ],
- "I1": [ 1932 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1979 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1980 ],
- "I1": [ 1884 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1978 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1981 ],
- "I1": [ 1935 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1982 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 1887 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1981 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1984 ],
- "I1": [ 1938 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1985 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1986 ],
- "I1": [ 1890 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1984 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1987 ],
- "I1": [ 1941 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1988 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1989 ],
- "I1": [ 1893 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1987 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1990 ],
- "I1": [ 1944 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1991 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1992 ],
- "I1": [ 1896 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1990 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1993 ],
- "I1": [ 1947 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1994 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1995 ],
- "I1": [ 1899 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1993 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1996 ],
- "I1": [ 1950 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1997 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1998 ],
- "I1": [ 1902 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1996 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1999 ],
- "I1": [ 1953 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2000 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2001 ],
- "I1": [ 1905 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1999 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2002 ],
- "I1": [ 1956 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2003 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2004 ],
- "I1": [ 1908 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2002 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2005 ],
- "I1": [ 1959 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2006 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2007 ],
- "I1": [ 1911 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2005 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2008 ],
- "I1": [ 1962 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2009 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2010 ],
- "I1": [ 1914 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2008 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2011 ],
- "I1": [ 1965 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2012 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2013 ],
- "I1": [ 1917 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2011 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2014 ],
- "I1": [ 1968 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2015 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2016 ],
- "I1": [ 1920 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2014 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2017 ],
- "I1": [ 1971 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2018 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2019 ],
- "I1": [ 1923 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2017 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2020 ],
- "I1": [ 1974 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2021 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2022 ],
- "I1": [ 1926 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2020 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2023 ],
- "I1": [ 1977 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2024 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2025 ],
- "I1": [ 1929 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2023 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2026 ],
- "I1": [ 1980 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2027 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2028 ],
- "I1": [ 1932 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2026 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2029 ],
- "I1": [ 1983 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2030 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2031 ],
- "I1": [ 1935 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2029 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2032 ],
- "I1": [ 1986 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2033 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2034 ],
- "I1": [ 1938 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2032 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2035 ],
- "I1": [ 1989 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2036 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2037 ],
- "I1": [ 1941 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2035 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2038 ],
- "I1": [ 1992 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2039 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2040 ],
- "I1": [ 1944 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2038 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2041 ],
- "I1": [ 1995 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2042 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2043 ],
- "I1": [ 1947 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2041 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2044 ],
- "I1": [ 1998 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2045 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2046 ],
- "I1": [ 1950 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2044 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2047 ],
- "I1": [ 2001 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2048 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2049 ],
- "I1": [ 1953 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2047 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2050 ],
- "I1": [ 2004 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2051 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2052 ],
- "I1": [ 1956 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2050 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2053 ],
- "I1": [ 2007 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2054 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2055 ],
- "I1": [ 1959 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2053 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2056 ],
- "I1": [ 2010 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2057 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2058 ],
- "I1": [ 1962 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2056 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2059 ],
- "I1": [ 2013 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2060 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2061 ],
- "I1": [ 1965 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2059 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2062 ],
- "I1": [ 2016 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2063 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2064 ],
- "I1": [ 1968 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2062 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2065 ],
- "I1": [ 2019 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2066 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2067 ],
- "I1": [ 1971 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2065 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2068 ],
- "I1": [ 2022 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2069 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2070 ],
- "I1": [ 1974 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2068 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2071 ],
- "I1": [ 2025 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2072 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2073 ],
- "I1": [ 1977 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2071 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2074 ],
- "I1": [ 2028 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2075 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2076 ],
- "I1": [ 1980 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2074 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2077 ],
- "I1": [ 2031 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2078 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2079 ],
- "I1": [ 1983 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2077 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2080 ],
- "I1": [ 2034 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2081 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2082 ],
- "I1": [ 1986 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2080 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2083 ],
- "I1": [ 2037 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2084 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2085 ],
- "I1": [ 1989 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2083 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2086 ],
- "I1": [ 2040 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2087 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2088 ],
- "I1": [ 1992 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2086 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2089 ],
- "I1": [ 2043 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2090 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2091 ],
- "I1": [ 1995 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2089 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2092 ],
- "I1": [ 2046 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2093 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2094 ],
- "I1": [ 1998 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2092 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2095 ],
- "I1": [ 2049 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2096 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2001 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2095 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2098 ],
- "I1": [ 2052 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2099 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2100 ],
- "I1": [ 2004 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2098 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2101 ],
- "I1": [ 2055 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2102 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2103 ],
- "I1": [ 2007 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2101 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2104 ],
- "I1": [ 2058 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2105 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2106 ],
- "I1": [ 2010 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2104 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2107 ],
- "I1": [ 2061 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2108 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2109 ],
- "I1": [ 2013 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2107 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2110 ],
- "I1": [ 2064 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2111 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2112 ],
- "I1": [ 2016 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2110 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2113 ],
- "I1": [ 2067 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2114 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2115 ],
- "I1": [ 2019 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2113 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2116 ],
- "I1": [ 2070 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2117 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2118 ],
- "I1": [ 2022 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2116 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2119 ],
- "I1": [ 2073 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2120 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2121 ],
- "I1": [ 2025 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2119 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2122 ],
- "I1": [ 2076 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2123 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2124 ],
- "I1": [ 2028 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2122 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2125 ],
- "I1": [ 2079 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2126 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2127 ],
- "I1": [ 2031 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2125 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2128 ],
- "I1": [ 2082 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2129 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2130 ],
- "I1": [ 2034 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2128 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2131 ],
- "I1": [ 2085 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2132 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2133 ],
- "I1": [ 2037 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2131 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2134 ],
- "I1": [ 2088 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2135 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2136 ],
- "I1": [ 2040 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2134 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2137 ],
- "I1": [ 2091 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2138 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2139 ],
- "I1": [ 2043 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2137 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2140 ],
- "I1": [ 2094 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2141 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2142 ],
- "I1": [ 2046 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2140 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2143 ],
- "I1": [ 2097 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2144 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2145 ],
- "I1": [ 2049 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2143 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2146 ],
- "I1": [ 2100 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2147 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2148 ],
- "I1": [ 2052 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2146 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2055 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2103 ],
- "O": [ 2150 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1253 ],
- "I1": [ 62 ],
- "I2": [ 424 ],
- "I3": [ 1256 ],
- "O": [ 2149 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2058 ],
- "I1": [ 2149 ],
- "I2": [ 2106 ],
- "I3": [ 1251 ],
- "O": [ 2151 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2061 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2109 ],
- "O": [ 2152 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2064 ],
- "I1": [ 2149 ],
- "I2": [ 2112 ],
- "I3": [ 1251 ],
- "O": [ 2153 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2067 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2115 ],
- "O": [ 2154 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2070 ],
- "I1": [ 2149 ],
- "I2": [ 2118 ],
- "I3": [ 1251 ],
- "O": [ 2155 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2073 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2121 ],
- "O": [ 2156 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2076 ],
- "I1": [ 2149 ],
- "I2": [ 2124 ],
- "I3": [ 1251 ],
- "O": [ 2157 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2079 ],
- "I1": [ 2149 ],
- "I2": [ 2127 ],
- "I3": [ 1251 ],
- "O": [ 2158 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2082 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2130 ],
- "O": [ 2159 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2085 ],
- "I1": [ 2149 ],
- "I2": [ 2133 ],
- "I3": [ 1251 ],
- "O": [ 2160 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2088 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2136 ],
- "O": [ 2161 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2091 ],
- "I1": [ 2149 ],
- "I2": [ 2139 ],
- "I3": [ 1251 ],
- "O": [ 2162 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2094 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2142 ],
- "O": [ 2163 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2149 ],
- "I2": [ 2145 ],
- "I3": [ 1251 ],
- "O": [ 2164 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2100 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2148 ],
- "O": [ 2165 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 1198 ],
- "I2": [ 2167 ],
- "I3": [ "0" ],
- "O": [ 2168 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1250 ],
- "I1": [ 1255 ],
- "I2": [ 2169 ],
- "I3": [ 1251 ],
- "O": [ 2167 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2170 ],
- "I1": [ 424 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2169 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 1203 ],
- "I2": [ 54 ],
- "I3": [ 62 ],
- "O": [ 2170 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 1203 ],
- "I2": [ 54 ],
- "I3": [ 58 ],
- "O": [ 2166 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2171 ],
- "I1": [ 2172 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2173 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1259 ],
- "I1": [ 1262 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2172 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2174 ],
- "I1": [ 1204 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2171 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2175 ],
- "I1": [ 2176 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2177 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1264 ],
- "I1": [ 1267 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2176 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21955
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 2178 ],
- "I2": [ 2179 ],
- "I3": [ 62 ],
- "O": [ 2175 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2180 ],
- "I1": [ 2181 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2182 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1269 ],
- "I1": [ 1272 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2181 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2183 ],
- "I1": [ 1210 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2180 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2184 ],
- "I1": [ 2185 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2186 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1274 ],
- "I1": [ 1277 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2185 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2187 ],
- "I1": [ 1213 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2184 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2188 ],
- "I1": [ 2189 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2190 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1279 ],
- "I1": [ 1282 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2189 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2191 ],
- "I1": [ 1216 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2188 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2192 ],
- "I1": [ 2193 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2194 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1284 ],
- "I1": [ 1287 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2193 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2195 ],
- "I1": [ 1219 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2192 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2196 ],
- "I1": [ 2197 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2198 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1289 ],
- "I1": [ 1292 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2197 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2199 ],
- "I1": [ 1222 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2196 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2200 ],
- "I1": [ 2201 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2202 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1294 ],
- "I1": [ 1297 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2201 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2203 ],
- "I1": [ 1225 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2200 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2204 ],
- "I1": [ 2205 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2206 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1299 ],
- "I1": [ 1302 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2205 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2207 ],
- "I1": [ 1228 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2204 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2208 ],
- "I1": [ 2209 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2210 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1304 ],
- "I1": [ 1307 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2209 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2211 ],
- "I1": [ 1231 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2208 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2212 ],
- "I1": [ 2213 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2214 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1309 ],
- "I1": [ 1312 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2213 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2215 ],
- "I1": [ 1234 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2212 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2216 ],
- "I1": [ 2217 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2218 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1314 ],
- "I1": [ 1317 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2217 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2219 ],
- "I1": [ 1237 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2216 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2220 ],
- "I1": [ 2221 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2222 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1319 ],
- "I1": [ 1322 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2221 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2223 ],
- "I1": [ 1240 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2220 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 1243 ],
- "I2": [ 2224 ],
- "I3": [ "0" ],
- "O": [ 2225 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1324 ],
- "I1": [ 1327 ],
- "I2": [ 2169 ],
- "I3": [ 1251 ],
- "O": [ 2224 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 1246 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 2227 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1332 ],
- "I2": [ 2169 ],
- "I3": [ 1251 ],
- "O": [ 2226 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2228 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2229 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2231 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2232 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2233 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2234 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2235 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2237 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 423 ],
- "I2": [ 2239 ],
- "I3": [ "0" ],
- "O": [ 2240 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1262 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2174 ],
- "O": [ 2239 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2243 ],
- "I1": [ 2244 ],
- "I2": [ 425 ],
- "I3": [ 2245 ],
- "O": [ 2242 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2246 ],
- "I1": [ 2247 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2244 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 1207 ],
- "I2": [ 1204 ],
- "I3": [ 1198 ],
- "O": [ 2246 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1222 ],
- "I1": [ 1219 ],
- "I2": [ 1216 ],
- "I3": [ 1213 ],
- "O": [ 2247 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2248 ],
- "I1": [ 2249 ],
- "I2": [ 2250 ],
- "I3": [ "0" ],
- "O": [ 2243 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 61 ],
- "I2": [ 63 ],
- "I3": [ 62 ],
- "O": [ 2248 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1231 ],
- "I2": [ 1228 ],
- "I3": [ 1225 ],
- "O": [ 2249 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 1243 ],
- "I2": [ 1240 ],
- "I3": [ 1237 ],
- "O": [ 2250 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 58 ],
- "I2": [ 61 ],
- "I3": [ 59 ],
- "O": [ 2245 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2251 ],
- "I2": [ 61 ],
- "I3": [ 59 ],
- "O": [ 2241 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2243 ],
- "I1": [ 2244 ],
- "I2": [ 425 ],
- "I3": [ 59 ],
- "O": [ 2238 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2252 ],
- "I1": [ 2178 ],
- "I2": [ 2179 ],
- "I3": [ 2242 ],
- "O": [ 2253 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2241 ],
- "I1": [ 1267 ],
- "I2": [ 2238 ],
- "I3": [ 428 ],
- "O": [ 2252 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 1253 ],
- "I2": [ 2254 ],
- "I3": [ "0" ],
- "O": [ 2255 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1272 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2183 ],
- "O": [ 2254 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 1257 ],
- "I2": [ 2256 ],
- "I3": [ "0" ],
- "O": [ 2257 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1277 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2187 ],
- "O": [ 2256 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 1203 ],
- "I2": [ 2258 ],
- "I3": [ "0" ],
- "O": [ 2259 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1282 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2191 ],
- "O": [ 2258 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 54 ],
- "I2": [ 2260 ],
- "I3": [ "0" ],
- "O": [ 2261 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1287 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2195 ],
- "O": [ 2260 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 55 ],
- "I2": [ 2262 ],
- "I3": [ "0" ],
- "O": [ 2263 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1292 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2199 ],
- "O": [ 2262 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2251 ],
- "I2": [ 2264 ],
- "I3": [ "0" ],
- "O": [ 2265 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1297 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2203 ],
- "O": [ 2264 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2266 ],
- "I2": [ 2267 ],
- "I3": [ "0" ],
- "O": [ 2268 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1302 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2207 ],
- "O": [ 2267 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2269 ],
- "I2": [ 2270 ],
- "I3": [ "0" ],
- "O": [ 2271 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1307 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2211 ],
- "O": [ 2270 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2272 ],
- "I2": [ 2273 ],
- "I3": [ "0" ],
- "O": [ 2274 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1312 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2215 ],
- "O": [ 2273 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2275 ],
- "I2": [ 2276 ],
- "I3": [ "0" ],
- "O": [ 2277 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1317 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2219 ],
- "O": [ 2276 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2278 ],
- "I2": [ 2279 ],
- "I3": [ "0" ],
- "O": [ 2280 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1322 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2223 ],
- "O": [ 2279 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2281 ],
- "I1": [ 58 ],
- "I2": [ 2282 ],
- "I3": [ 2248 ],
- "O": [ 2283 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2269 ],
- "I1": [ 2266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2282 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2281 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2284 ],
- "I1": [ 58 ],
- "I2": [ 2281 ],
- "I3": [ 425 ],
- "O": [ 2285 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2269 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2284 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2281 ],
- "I2": [ 2286 ],
- "I3": [ "0" ],
- "O": [ 2287 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2269 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2286 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 423 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2288 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 1255 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2290 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2286 ],
- "I2": [ 2291 ],
- "I3": [ "0" ],
- "O": [ 2289 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2291 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 2292 ],
- "I2": [ 2293 ],
- "I3": [ 2294 ],
- "O": [ 2295 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2282 ],
- "I2": [ 2296 ],
- "I3": [ "0" ],
- "O": [ 2293 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2296 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2282 ],
- "I2": [ 2291 ],
- "I3": [ "0" ],
- "O": [ 2292 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2284 ],
- "I2": [ 2296 ],
- "I3": [ "0" ],
- "O": [ 2297 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2298 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2300 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2275 ],
- "I1": [ 2272 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2298 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2269 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2299 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2301 ],
- "I1": [ 2302 ],
- "I2": [ 2303 ],
- "I3": [ 2304 ],
- "O": [ 2305 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2296 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2303 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2281 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2302 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1198 ],
- "I3": [ 433 ],
- "O": [ 2308 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2284 ],
- "I2": [ 2298 ],
- "I3": [ "0" ],
- "O": [ 2307 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2282 ],
- "I2": [ 2298 ],
- "I3": [ "0" ],
- "O": [ 2306 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2309 ],
- "I1": [ 2310 ],
- "I2": [ 2311 ],
- "I3": [ 2312 ],
- "O": [ 2313 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2314 ],
- "I1": [ 2284 ],
- "I2": [ 2291 ],
- "I3": [ 58 ],
- "O": [ 2311 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 508 ],
- "I2": [ 2315 ],
- "I3": [ "0" ],
- "O": [ 2314 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2286 ],
- "I2": [ 2296 ],
- "I3": [ 2315 ],
- "O": [ 2312 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2316 ],
- "I1": [ 2317 ],
- "I2": [ 2318 ],
- "I3": [ "0" ],
- "O": [ 2310 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2319 ],
- "I1": [ 2320 ],
- "I2": [ 2321 ],
- "I3": [ 2322 ],
- "O": [ 2316 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2323 ],
- "I1": [ 2324 ],
- "I2": [ 2325 ],
- "I3": [ 2326 ],
- "O": [ 2317 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2327 ],
- "I2": [ 2266 ],
- "I3": [ 2269 ],
- "O": [ 2318 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2298 ],
- "I2": [ 2328 ],
- "I3": [ 2329 ],
- "O": [ 2309 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2330 ],
- "I1": [ 2331 ],
- "I2": [ 2332 ],
- "I3": [ "0" ],
- "O": [ 2328 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2333 ],
- "I1": [ 2334 ],
- "I2": [ 2335 ],
- "I3": [ 2336 ],
- "O": [ 2329 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2337 ],
- "I1": [ 2338 ],
- "I2": [ 2313 ],
- "I3": [ 2339 ],
- "O": [ 2340 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1262 ],
- "I1": [ 2289 ],
- "I2": [ 2341 ],
- "I3": [ 2342 ],
- "O": [ 2337 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 1204 ],
- "I2": [ 438 ],
- "I3": [ "0" ],
- "O": [ 2341 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 2343 ],
- "I2": [ 2297 ],
- "I3": [ 2333 ],
- "O": [ 2342 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2291 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2343 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2344 ],
- "I1": [ 2345 ],
- "I2": [ 2346 ],
- "I3": [ 2347 ],
- "O": [ 2338 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2348 ],
- "I2": [ 2303 ],
- "I3": [ 2349 ],
- "O": [ 2345 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1204 ],
- "I3": [ 438 ],
- "O": [ 2344 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 428 ],
- "I2": [ 2293 ],
- "I3": [ 2350 ],
- "O": [ 2346 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 2300 ],
- "I2": [ 2292 ],
- "I3": [ 1207 ],
- "O": [ 2347 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2285 ],
- "I1": [ 1204 ],
- "I2": [ 2283 ],
- "I3": [ 438 ],
- "O": [ 2339 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 1253 ],
- "I2": [ 2297 ],
- "I3": [ 2327 ],
- "O": [ 2351 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 2343 ],
- "I2": [ 2289 ],
- "I3": [ 1267 ],
- "O": [ 2352 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2353 ],
- "I1": [ 2302 ],
- "I2": [ 2303 ],
- "I3": [ 2354 ],
- "O": [ 2355 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1207 ],
- "I3": [ 443 ],
- "O": [ 2356 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 1257 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2357 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2303 ],
- "I1": [ 2358 ],
- "I2": [ 2297 ],
- "I3": [ 2323 ],
- "O": [ 2359 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 2343 ],
- "I2": [ 2302 ],
- "I3": [ 2360 ],
- "O": [ 2361 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 2292 ],
- "I2": [ 2289 ],
- "I3": [ 1272 ],
- "O": [ 2362 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1210 ],
- "I3": [ 448 ],
- "O": [ 2363 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2364 ],
- "I1": [ 2365 ],
- "I2": [ 2366 ],
- "I3": [ "0" ],
- "O": [ 2367 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1213 ],
- "I3": [ 453 ],
- "O": [ 2364 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2324 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2368 ],
- "O": [ 2365 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2303 ],
- "I1": [ 2369 ],
- "I2": [ 1213 ],
- "I3": [ 2300 ],
- "O": [ 2366 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 2292 ],
- "I2": [ 2289 ],
- "I3": [ 1277 ],
- "O": [ 2370 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 2343 ],
- "I2": [ 2283 ],
- "I3": [ 453 ],
- "O": [ 2371 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2372 ],
- "I2": [ 2293 ],
- "I3": [ 2373 ],
- "O": [ 2374 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2325 ],
- "I1": [ 2297 ],
- "I2": [ 2375 ],
- "I3": [ 2376 ],
- "O": [ 2377 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1216 ],
- "I3": [ 458 ],
- "O": [ 2375 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 2343 ],
- "I2": [ 2289 ],
- "I3": [ 1282 ],
- "O": [ 2376 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2378 ],
- "I1": [ 2379 ],
- "I2": [ 2380 ],
- "I3": [ "0" ],
- "O": [ 2381 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1287 ],
- "I1": [ 2289 ],
- "I2": [ 2382 ],
- "I3": [ 2383 ],
- "O": [ 2378 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 55 ],
- "I2": [ 2343 ],
- "I3": [ 1216 ],
- "O": [ 2382 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 463 ],
- "I2": [ 2285 ],
- "I3": [ 1219 ],
- "O": [ 2383 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2384 ],
- "I1": [ 2302 ],
- "I2": [ 2385 ],
- "I3": [ 2386 ],
- "O": [ 2379 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2322 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2387 ],
- "O": [ 2385 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 2300 ],
- "I2": [ 2292 ],
- "I3": [ 1222 ],
- "O": [ 2386 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 2283 ],
- "I2": [ 2388 ],
- "I3": [ 2313 ],
- "O": [ 2380 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1219 ],
- "I3": [ 463 ],
- "O": [ 2388 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2389 ],
- "I1": [ 2390 ],
- "I2": [ 2391 ],
- "I3": [ 2313 ],
- "O": [ 2392 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ 2283 ],
- "I2": [ 2393 ],
- "I3": [ 2394 ],
- "O": [ 2389 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2395 ],
- "I2": [ 2285 ],
- "I3": [ 1222 ],
- "O": [ 2394 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2251 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2393 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ 2292 ],
- "I2": [ 2396 ],
- "I3": [ 2397 ],
- "O": [ 2390 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1222 ],
- "I3": [ 468 ],
- "O": [ 2396 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1219 ],
- "I2": [ 1222 ],
- "I3": [ 2300 ],
- "O": [ 2397 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2398 ],
- "I1": [ 2302 ],
- "I2": [ 2399 ],
- "I3": [ 2400 ],
- "O": [ 2391 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 1222 ],
- "I2": [ 468 ],
- "I3": [ "0" ],
- "O": [ 2399 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2330 ],
- "I2": [ 2289 ],
- "I3": [ 1292 ],
- "O": [ 2400 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 1297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2401 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2331 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2402 ],
- "O": [ 2403 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1222 ],
- "I2": [ 2292 ],
- "I3": [ 1228 ],
- "O": [ 2404 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1225 ],
- "I3": [ 473 ],
- "O": [ 2405 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2406 ],
- "I1": [ 2407 ],
- "I2": [ 2408 ],
- "I3": [ 2409 ],
- "O": [ 2410 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2292 ],
- "I1": [ 1231 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2406 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1228 ],
- "I3": [ 478 ],
- "O": [ 2407 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2269 ],
- "I2": [ 2289 ],
- "I3": [ 1302 ],
- "O": [ 2408 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2411 ],
- "I2": [ 2297 ],
- "I3": [ 2332 ],
- "O": [ 2409 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2412 ],
- "I1": [ 2413 ],
- "I2": [ 2414 ],
- "I3": [ "0" ],
- "O": [ 2415 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 427 ],
- "I2": [ 2416 ],
- "I3": [ 2417 ],
- "O": [ 2412 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1231 ],
- "I3": [ 483 ],
- "O": [ 2416 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2418 ],
- "I2": [ 2289 ],
- "I3": [ 1307 ],
- "O": [ 2417 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2419 ],
- "I1": [ 2293 ],
- "I2": [ 2420 ],
- "I3": [ 2421 ],
- "O": [ 2413 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2336 ],
- "I2": [ 2292 ],
- "I3": [ 1234 ],
- "O": [ 2420 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 483 ],
- "I2": [ 2300 ],
- "I3": [ 1231 ],
- "O": [ 2421 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 483 ],
- "I1": [ 2283 ],
- "I2": [ 2313 ],
- "I3": [ 2422 ],
- "O": [ 2414 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1228 ],
- "I2": [ 2285 ],
- "I3": [ 1231 ],
- "O": [ 2422 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2275 ],
- "I2": [ 2293 ],
- "I3": [ 2423 ],
- "O": [ 2424 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2425 ],
- "I1": [ 2426 ],
- "I2": [ 2427 ],
- "I3": [ "0" ],
- "O": [ 2428 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1234 ],
- "I3": [ 488 ],
- "O": [ 2425 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ 2343 ],
- "I2": [ 2302 ],
- "I3": [ 2429 ],
- "O": [ 2426 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 2292 ],
- "I2": [ 2289 ],
- "I3": [ 1312 ],
- "O": [ 2427 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2430 ],
- "I2": [ 2289 ],
- "I3": [ 1317 ],
- "O": [ 2431 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2432 ],
- "I1": [ 2302 ],
- "I2": [ 2433 ],
- "I3": [ 2434 ],
- "O": [ 2435 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1237 ],
- "I3": [ 493 ],
- "O": [ 2433 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1234 ],
- "I2": [ 1237 ],
- "I3": [ 2300 ],
- "O": [ 2434 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2278 ],
- "I2": [ 2292 ],
- "I3": [ 1240 ],
- "O": [ 2436 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ 2292 ],
- "I2": [ 2437 ],
- "I3": [ 2438 ],
- "O": [ 2439 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1240 ],
- "I3": [ 498 ],
- "O": [ 2437 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2321 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2440 ],
- "O": [ 2438 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 62 ],
- "I2": [ 2302 ],
- "I3": [ 2441 ],
- "O": [ 2442 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 63 ],
- "I2": [ 2289 ],
- "I3": [ 1327 ],
- "O": [ 2443 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 2313 ],
- "I2": [ 2292 ],
- "I3": [ "0" ],
- "O": [ 2444 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1243 ],
- "I3": [ 503 ],
- "O": [ 2445 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2446 ],
- "I2": [ 2297 ],
- "I3": [ 2334 ],
- "O": [ 2447 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2285 ],
- "I1": [ 1243 ],
- "I2": [ 2283 ],
- "I3": [ 503 ],
- "O": [ 2448 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1246 ],
- "I3": [ 508 ],
- "O": [ 2449 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ 2343 ],
- "I2": [ 2297 ],
- "I3": [ 2335 ],
- "O": [ 2450 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 60 ],
- "I2": [ 2289 ],
- "I3": [ 1332 ],
- "O": [ 2451 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2452 ],
- "I1": [ 2453 ],
- "I2": [ 2454 ],
- "I3": [ 96 ],
- "O": [ 2455 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 154 ],
- "I2": [ 2457 ],
- "I3": [ "0" ],
- "O": [ 2452 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2458 ],
- "I1": [ 65 ],
- "I2": [ 2459 ],
- "I3": [ "0" ],
- "O": [ 2457 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 198 ],
- "I2": [ 80 ],
- "I3": [ 88 ],
- "O": [ 2459 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 368 ],
- "I2": [ 2461 ],
- "I3": [ 2462 ],
- "O": [ 2453 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 146 ],
- "I2": [ 232 ],
- "I3": [ 2463 ],
- "O": [ 2462 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 2464 ],
- "I2": [ 90 ],
- "I3": [ 94 ],
- "O": [ 2463 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 280 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2461 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2465 ],
- "I1": [ 153 ],
- "I2": [ 2466 ],
- "I3": [ 2467 ],
- "O": [ 2454 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 76 ],
- "I2": [ 2468 ],
- "I3": [ "0" ],
- "O": [ 2467 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2469 ],
- "I1": [ 142 ],
- "I2": [ 97 ],
- "I3": [ 2470 ],
- "O": [ 2468 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2472 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2466 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2473 ],
- "I1": [ 84 ],
- "I2": [ 2474 ],
- "I3": [ 99 ],
- "O": [ 2475 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 174 ],
- "I2": [ 2476 ],
- "I3": [ 2477 ],
- "O": [ 2474 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2478 ],
- "I1": [ 153 ],
- "I2": [ 2479 ],
- "I3": [ 2480 ],
- "O": [ 2477 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2481 ],
- "I1": [ 97 ],
- "I2": [ 2482 ],
- "I3": [ 2483 ],
- "O": [ 2479 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 2484 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2482 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 2485 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2483 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2486 ],
- "I1": [ 90 ],
- "I2": [ 65 ],
- "I3": [ 2487 ],
- "O": [ 2480 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 370 ],
- "I2": [ 2488 ],
- "I3": [ 2489 ],
- "O": [ 2476 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 2464 ],
- "I2": [ 76 ],
- "I3": [ 87 ],
- "O": [ 2488 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2490 ],
- "I2": [ 195 ],
- "I3": [ 2491 ],
- "O": [ 2489 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2486 ],
- "I1": [ 93 ],
- "I2": [ 2492 ],
- "I3": [ "0" ],
- "O": [ 2473 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62783
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2491 ],
- "I1": [ 2485 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2492 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2493 ],
- "I1": [ 101 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2494 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2495 ],
- "I1": [ 2496 ],
- "I2": [ 2497 ],
- "I3": [ 2498 ],
- "O": [ 2493 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2500 ],
- "I2": [ 2501 ],
- "I3": [ 2502 ],
- "O": [ 2498 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 93 ],
- "I2": [ 90 ],
- "I3": [ "0" ],
- "O": [ 2501 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ 86 ],
- "I2": [ 84 ],
- "I3": [ 195 ],
- "O": [ 2499 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 83 ],
- "I2": [ 80 ],
- "I3": [ "0" ],
- "O": [ 2496 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2503 ],
- "I1": [ 2504 ],
- "I2": [ 2505 ],
- "I3": [ 2506 ],
- "O": [ 2497 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ 2456 ],
- "I2": [ 2471 ],
- "I3": [ 2507 ],
- "O": [ 2503 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 372 ],
- "I2": [ 65 ],
- "I3": [ 2508 ],
- "O": [ 2504 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2509 ],
- "I1": [ 153 ],
- "I2": [ 97 ],
- "I3": [ 2510 ],
- "O": [ 2505 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 340 ],
- "I2": [ 142 ],
- "I3": [ 2511 ],
- "O": [ 2506 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2512 ],
- "I1": [ 2513 ],
- "I2": [ 2514 ],
- "I3": [ 103 ],
- "O": [ 2515 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2516 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2512 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2517 ],
- "I2": [ 2501 ],
- "I3": [ 2518 ],
- "O": [ 2513 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2519 ],
- "I1": [ 2520 ],
- "I2": [ 2521 ],
- "I3": [ 2522 ],
- "O": [ 2514 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 374 ],
- "I1": [ 2460 ],
- "I2": [ 342 ],
- "I3": [ 2464 ],
- "O": [ 2519 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2523 ],
- "I1": [ 153 ],
- "I2": [ 142 ],
- "I3": [ 2524 ],
- "O": [ 2520 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 2525 ],
- "I2": [ 97 ],
- "I3": [ 2526 ],
- "O": [ 2521 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2527 ],
- "I2": [ 65 ],
- "I3": [ 2528 ],
- "O": [ 2522 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2529 ],
- "I1": [ 2530 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 2531 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2532 ],
- "I1": [ 2501 ],
- "I2": [ 2533 ],
- "I3": [ "0" ],
- "O": [ 2530 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2534 ],
- "I1": [ 2535 ],
- "I2": [ 2536 ],
- "I3": [ 2537 ],
- "O": [ 2533 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 376 ],
- "I2": [ 153 ],
- "I3": [ 2538 ],
- "O": [ 2534 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2539 ],
- "I2": [ 142 ],
- "I3": [ 2540 ],
- "O": [ 2535 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2541 ],
- "I1": [ 97 ],
- "I2": [ 65 ],
- "I3": [ 2542 ],
- "O": [ 2536 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 2543 ],
- "I2": [ 344 ],
- "I3": [ 2464 ],
- "O": [ 2537 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2544 ],
- "I2": [ 2496 ],
- "I3": [ 2545 ],
- "O": [ 2529 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2546 ],
- "I1": [ 2547 ],
- "I2": [ 2548 ],
- "I3": [ 107 ],
- "O": [ 2549 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2550 ],
- "I1": [ 90 ],
- "I2": [ 2551 ],
- "I3": [ 2552 ],
- "O": [ 2547 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2553 ],
- "I1": [ 153 ],
- "I2": [ 2554 ],
- "I3": [ "0" ],
- "O": [ 2552 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2555 ],
- "I2": [ 80 ],
- "I3": [ 2556 ],
- "O": [ 2554 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 2464 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2551 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2557 ],
- "I1": [ 65 ],
- "I2": [ 2558 ],
- "I3": [ 2559 ],
- "O": [ 2548 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 378 ],
- "I2": [ 2560 ],
- "I3": [ "0" ],
- "O": [ 2558 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 2561 ],
- "I2": [ 195 ],
- "I3": [ 2562 ],
- "O": [ 2560 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 2563 ],
- "I2": [ 97 ],
- "I3": [ 2564 ],
- "O": [ 2559 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36608
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 2556 ],
- "I2": [ 2565 ],
- "I3": [ 84 ],
- "O": [ 2546 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2562 ],
- "I1": [ 2550 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2565 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2566 ],
- "I1": [ 2567 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 2568 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2569 ],
- "I1": [ 83 ],
- "I2": [ 84 ],
- "I3": [ 2570 ],
- "O": [ 2567 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2571 ],
- "I1": [ 2572 ],
- "I2": [ 2573 ],
- "I3": [ 2574 ],
- "O": [ 2570 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 380 ],
- "I2": [ 142 ],
- "I3": [ 2575 ],
- "O": [ 2571 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2576 ],
- "I1": [ 2471 ],
- "I2": [ 97 ],
- "I3": [ 2577 ],
- "O": [ 2572 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 348 ],
- "I2": [ 80 ],
- "I3": [ 2569 ],
- "O": [ 2573 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2578 ],
- "I1": [ 153 ],
- "I2": [ 65 ],
- "I3": [ 2579 ],
- "O": [ 2574 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2580 ],
- "I2": [ 2501 ],
- "I3": [ 2581 ],
- "O": [ 2566 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2582 ],
- "I1": [ 111 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2583 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2584 ],
- "I1": [ 2499 ],
- "I2": [ 2585 ],
- "I3": [ 2586 ],
- "O": [ 2582 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2587 ],
- "I1": [ 2471 ],
- "I2": [ 2588 ],
- "I3": [ 2589 ],
- "O": [ 2585 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 350 ],
- "I2": [ 2590 ],
- "I3": [ 2591 ],
- "O": [ 2588 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 2592 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2590 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2593 ],
- "I1": [ 142 ],
- "I2": [ 65 ],
- "I3": [ 2594 ],
- "O": [ 2591 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 382 ],
- "I2": [ 153 ],
- "I3": [ 2595 ],
- "O": [ 2589 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2596 ],
- "I2": [ 2501 ],
- "I3": [ 2597 ],
- "O": [ 2586 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2598 ],
- "I2": [ 2599 ],
- "I3": [ 113 ],
- "O": [ 2600 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2601 ],
- "I1": [ 2501 ],
- "I2": [ 2602 ],
- "I3": [ 2603 ],
- "O": [ 2599 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2604 ],
- "I1": [ 2605 ],
- "I2": [ 2606 ],
- "I3": [ "0" ],
- "O": [ 2603 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 352 ],
- "I2": [ 142 ],
- "I3": [ 2607 ],
- "O": [ 2604 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2608 ],
- "I2": [ 97 ],
- "I3": [ 2609 ],
- "O": [ 2605 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 384 ],
- "I2": [ 153 ],
- "I3": [ 2610 ],
- "O": [ 2606 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2611 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2602 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2612 ],
- "I1": [ 2613 ],
- "I2": [ 2614 ],
- "I3": [ 115 ],
- "O": [ 2615 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 354 ],
- "I2": [ 2616 ],
- "I3": [ 2617 ],
- "O": [ 2614 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2618 ],
- "I1": [ 2471 ],
- "I2": [ 2619 ],
- "I3": [ 2620 ],
- "O": [ 2616 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 2621 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2619 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 153 ],
- "I1": [ 2622 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2620 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 386 ],
- "I2": [ 142 ],
- "I3": [ 2623 ],
- "O": [ 2617 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2501 ],
- "I1": [ 2624 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2612 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2625 ],
- "I2": [ 2496 ],
- "I3": [ 2626 ],
- "O": [ 2613 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2627 ],
- "I1": [ 117 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2628 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2629 ],
- "I1": [ 2499 ],
- "I2": [ 2630 ],
- "I3": [ 2631 ],
- "O": [ 2627 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2632 ],
- "I2": [ 2501 ],
- "I3": [ 2633 ],
- "O": [ 2630 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2634 ],
- "I1": [ 2635 ],
- "I2": [ 2636 ],
- "I3": [ "0" ],
- "O": [ 2631 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 388 ],
- "I2": [ 153 ],
- "I3": [ 2637 ],
- "O": [ 2634 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 356 ],
- "I2": [ 97 ],
- "I3": [ 2638 ],
- "O": [ 2635 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2639 ],
- "I2": [ 142 ],
- "I3": [ 2640 ],
- "O": [ 2636 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2641 ],
- "I1": [ 119 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2642 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2643 ],
- "I1": [ 2501 ],
- "I2": [ 2644 ],
- "I3": [ 2645 ],
- "O": [ 2641 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2646 ],
- "I2": [ 2496 ],
- "I3": [ 2647 ],
- "O": [ 2645 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2648 ],
- "I1": [ 2649 ],
- "I2": [ 2650 ],
- "I3": [ "0" ],
- "O": [ 2644 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2651 ],
- "I2": [ 390 ],
- "I3": [ 2460 ],
- "O": [ 2648 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2652 ],
- "I1": [ 142 ],
- "I2": [ 97 ],
- "I3": [ 2653 ],
- "O": [ 2649 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 358 ],
- "I2": [ 153 ],
- "I3": [ 2654 ],
- "O": [ 2650 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2655 ],
- "I1": [ 121 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2656 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2657 ],
- "I1": [ 2496 ],
- "I2": [ 2658 ],
- "I3": [ 2659 ],
- "O": [ 2655 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2660 ],
- "I2": [ 2501 ],
- "I3": [ 2661 ],
- "O": [ 2659 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2663 ],
- "I2": [ 2664 ],
- "I3": [ "0" ],
- "O": [ 2658 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2665 ],
- "I2": [ 360 ],
- "I3": [ 2464 ],
- "O": [ 2662 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 153 ],
- "I1": [ 2666 ],
- "I2": [ 142 ],
- "I3": [ 2667 ],
- "O": [ 2663 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 392 ],
- "I2": [ 97 ],
- "I3": [ 2668 ],
- "O": [ 2664 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2669 ],
- "I1": [ 123 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2670 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2671 ],
- "I1": [ 2501 ],
- "I2": [ 2672 ],
- "I3": [ 2673 ],
- "O": [ 2669 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2674 ],
- "I2": [ 2496 ],
- "I3": [ 2675 ],
- "O": [ 2673 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2676 ],
- "I1": [ 2677 ],
- "I2": [ 2678 ],
- "I3": [ "0" ],
- "O": [ 2672 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 394 ],
- "I2": [ 97 ],
- "I3": [ 2679 ],
- "O": [ 2676 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2680 ],
- "I1": [ 2471 ],
- "I2": [ 153 ],
- "I3": [ 2681 ],
- "O": [ 2677 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 362 ],
- "I2": [ 142 ],
- "I3": [ 2682 ],
- "O": [ 2678 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2683 ],
- "I2": [ 2496 ],
- "I3": [ 2684 ],
- "O": [ 2685 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2686 ],
- "I1": [ 2471 ],
- "I2": [ 2687 ],
- "I3": [ 2688 ],
- "O": [ 2689 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 396 ],
- "I1": [ 2460 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2687 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 364 ],
- "I2": [ 142 ],
- "I3": [ 2690 ],
- "O": [ 2688 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2691 ],
- "I1": [ 153 ],
- "I2": [ 97 ],
- "I3": [ 2692 ],
- "O": [ 2693 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2694 ],
- "I1": [ 2695 ],
- "I2": [ 2696 ],
- "I3": [ 127 ],
- "O": [ 2697 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2501 ],
- "I1": [ 2698 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2694 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2699 ],
- "I2": [ 2496 ],
- "I3": [ 2700 ],
- "O": [ 2695 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2701 ],
- "I1": [ 2702 ],
- "I2": [ 2703 ],
- "I3": [ "0" ],
- "O": [ 2696 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2704 ],
- "I1": [ 142 ],
- "I2": [ 97 ],
- "I3": [ 2705 ],
- "O": [ 2701 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 366 ],
- "I2": [ 153 ],
- "I3": [ 2706 ],
- "O": [ 2702 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2707 ],
- "I2": [ 398 ],
- "I3": [ 2460 ],
- "O": [ 2703 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2708 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2709 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2710 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2711 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2712 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 1203 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2713 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 1203 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2714 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2715 ],
- "I1": [ 175 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 2716 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 167 ],
- "I3": [ 168 ],
- "O": [ 2715 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 86 ],
- "I3": [ 87 ],
- "O": [ 2717 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 2718 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2719 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 56 ],
- "I2": [ 54 ],
- "I3": [ 1203 ],
- "O": [ 2718 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2718 ],
- "I1": [ 1237 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2720 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 2721 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 83 ],
- "I3": [ "0" ],
- "O": [ 2722 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2723 ],
- "I1": [ 2724 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2726 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2727 ],
- "I1": [ 2728 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2729 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2730 ],
- "I1": [ 2731 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2732 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2733 ],
- "I1": [ 2734 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2735 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2736 ],
- "I1": [ 2737 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2738 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2740 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2741 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2742 ],
- "I1": [ 2743 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2744 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2745 ],
- "I1": [ 2746 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2747 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2748 ],
- "I1": [ 2749 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2750 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2751 ],
- "I1": [ 2752 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2753 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2754 ],
- "I1": [ 2755 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2756 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2757 ],
- "I1": [ 2758 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2759 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2760 ],
- "I1": [ 2761 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2762 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2763 ],
- "I1": [ 2764 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2765 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2766 ],
- "I1": [ 2767 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2768 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2769 ],
- "I1": [ 2770 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2771 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2464 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2772 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2460 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2773 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2456 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2774 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2775 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2776 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2777 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2778 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2779 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2780 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 130 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2781 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2782 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2783 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2784 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2785 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2786 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2787 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2788 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2789 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2790 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2791 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2792 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2793 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1222 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2794 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2795 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2796 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2797 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2798 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2799 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2800 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2801 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2802 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2803 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2804 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2805 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2806 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2807 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2808 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2809 ],
- "I1": [ 193 ],
- "I2": [ 189 ],
- "I3": [ 185 ],
- "O": [ 2810 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 191 ],
- "I1": [ 2811 ],
- "I2": [ 2810 ],
- "I3": [ 186 ],
- "O": [ 2812 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 2813 ],
- "I2": [ 2814 ],
- "I3": [ 186 ],
- "O": [ 2815 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52400
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 2812 ],
- "I2": [ 2815 ],
- "I3": [ 185 ],
- "O": [ 2816 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2817 ],
- "I1": [ 2818 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2819 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2821 ],
- "I2": [ 87 ],
- "I3": [ 2819 ],
- "O": [ 96 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2822 ],
- "I1": [ 2823 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2824 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2825 ],
- "I1": [ 2826 ],
- "I2": [ 87 ],
- "I3": [ 2824 ],
- "O": [ 99 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2827 ],
- "I1": [ 2828 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2829 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2830 ],
- "I1": [ 2831 ],
- "I2": [ 87 ],
- "I3": [ 2829 ],
- "O": [ 101 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2832 ],
- "I1": [ 2833 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2834 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2835 ],
- "I1": [ 2836 ],
- "I2": [ 87 ],
- "I3": [ 2834 ],
- "O": [ 103 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2837 ],
- "I1": [ 2838 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2839 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2840 ],
- "I1": [ 2841 ],
- "I2": [ 87 ],
- "I3": [ 2839 ],
- "O": [ 105 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2842 ],
- "I1": [ 2843 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2844 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2845 ],
- "I1": [ 2846 ],
- "I2": [ 87 ],
- "I3": [ 2844 ],
- "O": [ 107 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2847 ],
- "I1": [ 2848 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2849 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2850 ],
- "I1": [ 2851 ],
- "I2": [ 87 ],
- "I3": [ 2849 ],
- "O": [ 109 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2852 ],
- "I1": [ 2853 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2854 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2855 ],
- "I1": [ 2856 ],
- "I2": [ 87 ],
- "I3": [ 2854 ],
- "O": [ 111 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2857 ],
- "I1": [ 2858 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2859 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2860 ],
- "I1": [ 2861 ],
- "I2": [ 87 ],
- "I3": [ 2859 ],
- "O": [ 113 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2862 ],
- "I1": [ 2863 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2864 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2866 ],
- "I2": [ 87 ],
- "I3": [ 2864 ],
- "O": [ 115 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2867 ],
- "I1": [ 2868 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2869 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2870 ],
- "I1": [ 2871 ],
- "I2": [ 87 ],
- "I3": [ 2869 ],
- "O": [ 117 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2872 ],
- "I1": [ 2873 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2874 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2875 ],
- "I1": [ 2876 ],
- "I2": [ 87 ],
- "I3": [ 2874 ],
- "O": [ 119 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2877 ],
- "I1": [ 2878 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2879 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2880 ],
- "I1": [ 2881 ],
- "I2": [ 87 ],
- "I3": [ 2879 ],
- "O": [ 121 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2882 ],
- "I1": [ 2883 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2884 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2885 ],
- "I1": [ 2886 ],
- "I2": [ 87 ],
- "I3": [ 2884 ],
- "O": [ 123 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 2888 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2889 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2890 ],
- "I1": [ 2891 ],
- "I2": [ 87 ],
- "I3": [ 2889 ],
- "O": [ 125 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2892 ],
- "I1": [ 2893 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2894 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2895 ],
- "I1": [ 2896 ],
- "I2": [ 87 ],
- "I3": [ 2894 ],
- "O": [ 127 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2288 ],
- "I1": [ 2308 ],
- "I2": [ 2313 ],
- "I3": [ "0" ],
- "O": [ 2897 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2292 ],
- "I1": [ 1210 ],
- "I2": [ 2313 ],
- "I3": [ 2351 ],
- "O": [ 2898 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2357 ],
- "I1": [ 2363 ],
- "I2": [ 2313 ],
- "I3": [ "0" ],
- "O": [ 2899 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 2287 ],
- "I2": [ 2285 ],
- "I3": [ 1213 ],
- "O": [ 2900 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2901 ],
- "I1": [ 2302 ],
- "I2": [ 427 ],
- "I3": [ 1203 ],
- "O": [ 2902 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2902 ],
- "I1": [ 2313 ],
- "I2": [ 2370 ],
- "I3": [ 2371 ],
- "O": [ 2903 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2900 ],
- "I1": [ 2903 ],
- "I2": [ 2367 ],
- "I3": [ "0" ],
- "O": [ 2904 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 54 ],
- "I2": [ 1219 ],
- "I3": [ 2292 ],
- "O": [ 2905 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2905 ],
- "I1": [ 2313 ],
- "I2": [ 2374 ],
- "I3": [ "0" ],
- "O": [ 2906 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 458 ],
- "O": [ 2907 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1216 ],
- "I3": [ 2907 ],
- "O": [ 2908 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2906 ],
- "I1": [ 2908 ],
- "I2": [ 2377 ],
- "I3": [ "0" ],
- "O": [ 2909 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 473 ],
- "O": [ 2910 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2401 ],
- "I1": [ 2405 ],
- "I2": [ 2404 ],
- "I3": [ 2403 ],
- "O": [ 2911 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1228 ],
- "I3": [ 2313 ],
- "O": [ 2912 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2913 ],
- "I2": [ 1225 ],
- "I3": [ 2343 ],
- "O": [ 2914 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 478 ],
- "O": [ 2915 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2912 ],
- "I1": [ 2914 ],
- "I2": [ 2915 ],
- "I3": [ 2410 ],
- "O": [ 2916 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 488 ],
- "O": [ 2917 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1234 ],
- "I3": [ 2917 ],
- "O": [ 2918 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2319 ],
- "I2": [ 2313 ],
- "I3": [ 2424 ],
- "O": [ 2919 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2918 ],
- "I1": [ 2919 ],
- "I2": [ 2428 ],
- "I3": [ "0" ],
- "O": [ 2920 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 493 ],
- "O": [ 2921 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2285 ],
- "I1": [ 1237 ],
- "I2": [ 2921 ],
- "I3": [ 2313 ],
- "O": [ 2922 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2320 ],
- "I2": [ 2431 ],
- "I3": [ 2436 ],
- "O": [ 2923 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2922 ],
- "I1": [ 2923 ],
- "I2": [ 2435 ],
- "I3": [ "0" ],
- "O": [ 2924 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 498 ],
- "O": [ 2925 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1240 ],
- "I3": [ 2925 ],
- "O": [ 2926 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 1322 ],
- "I2": [ 1237 ],
- "I3": [ 2343 ],
- "O": [ 2927 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2927 ],
- "I1": [ 2313 ],
- "I2": [ 2442 ],
- "I3": [ "0" ],
- "O": [ 2928 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2926 ],
- "I1": [ 2928 ],
- "I2": [ 2439 ],
- "I3": [ "0" ],
- "O": [ 2929 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 2287 ],
- "I2": [ 2300 ],
- "I3": [ 1243 ],
- "O": [ 2930 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2931 ],
- "I2": [ 1240 ],
- "I3": [ 2343 ],
- "O": [ 2932 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2445 ],
- "I1": [ 2443 ],
- "I2": [ 2447 ],
- "I3": [ 2448 ],
- "O": [ 2933 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2930 ],
- "I1": [ 2932 ],
- "I2": [ 2933 ],
- "I3": [ 2444 ],
- "O": [ 2934 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 508 ],
- "O": [ 2935 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2936 ],
- "I2": [ 2451 ],
- "I3": [ "0" ],
- "O": [ 2937 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2047
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 93 ],
- "I2": [ 90 ],
- "I3": [ 2938 ],
- "O": [ 2939 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2939 ],
- "I1": [ 2685 ],
- "I2": [ 2689 ],
- "I3": [ 2693 ],
- "O": [ 2940 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 2940 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2941 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 2290 ],
- "I3": [ 1198 ],
- "O": [ 2942 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 433 ],
- "O": [ 2943 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2326 ],
- "I2": [ 2295 ],
- "I3": [ 2305 ],
- "O": [ 2944 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2942 ],
- "I1": [ 2943 ],
- "I2": [ 2944 ],
- "I3": [ 2897 ],
- "O": [ 2945 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1207 ],
- "I3": [ 2352 ],
- "O": [ 2946 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 443 ],
- "O": [ 2947 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2948 ],
- "I2": [ 2356 ],
- "I3": [ 2355 ],
- "O": [ 2949 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2946 ],
- "I1": [ 2947 ],
- "I2": [ 2949 ],
- "I3": [ 2898 ],
- "O": [ 2950 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1210 ],
- "I3": [ 2359 ],
- "O": [ 2951 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 448 ],
- "O": [ 2952 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2953 ],
- "I2": [ 2361 ],
- "I3": [ 2362 ],
- "O": [ 2954 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2951 ],
- "I1": [ 2952 ],
- "I2": [ 2954 ],
- "I3": [ 2899 ],
- "O": [ 2955 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1225 ],
- "I3": [ 2910 ],
- "O": [ 2956 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2957 ],
- "I1": [ 2302 ],
- "I2": [ 427 ],
- "I3": [ 2266 ],
- "O": [ 2958 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2956 ],
- "I1": [ 2958 ],
- "I2": [ 2313 ],
- "I3": [ 2911 ],
- "O": [ 2959 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 2449 ],
- "I3": [ 1246 ],
- "O": [ 2960 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2961 ],
- "I2": [ 2450 ],
- "I3": [ 2935 ],
- "O": [ 2962 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2960 ],
- "I1": [ 2962 ],
- "I2": [ 2444 ],
- "I3": [ 2937 ],
- "O": [ 2963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2304 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 2964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 2965 ],
- "I0": [ 2304 ],
- "I1": [ 426 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2349 ],
- "I2": [ 429 ],
- "I3": [ 2965 ],
- "O": [ 2966 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2965 ],
- "CO": [ 2967 ],
- "I0": [ 2349 ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2354 ],
- "I2": [ 429 ],
- "I3": [ 2967 ],
- "O": [ 2968 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2967 ],
- "CO": [ 2969 ],
- "I0": [ 2354 ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2358 ],
- "I2": [ 429 ],
- "I3": [ 2969 ],
- "O": [ 2970 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2969 ],
- "CO": [ 2971 ],
- "I0": [ 2358 ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2369 ],
- "I2": [ 429 ],
- "I3": [ 2971 ],
- "O": [ 2972 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2179 ],
- "I3": [ "0" ],
- "O": [ 2174 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2973 ],
- "I3": [ 2974 ],
- "O": [ 2215 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2974 ],
- "CO": [ 2975 ],
- "I0": [ "0" ],
- "I1": [ 2973 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2976 ],
- "I3": [ 2975 ],
- "O": [ 2219 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2975 ],
- "CO": [ 2977 ],
- "I0": [ "0" ],
- "I1": [ 2976 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 61 ],
- "I3": [ 2977 ],
- "O": [ 2223 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2179 ],
- "CO": [ 2978 ],
- "I0": [ "0" ],
- "I1": [ 2178 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2979 ],
- "I3": [ 2978 ],
- "O": [ 2183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2978 ],
- "CO": [ 2980 ],
- "I0": [ "0" ],
- "I1": [ 2979 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2981 ],
- "I3": [ 2980 ],
- "O": [ 2187 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2980 ],
- "CO": [ 2982 ],
- "I0": [ "0" ],
- "I1": [ 2981 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2983 ],
- "I3": [ 2982 ],
- "O": [ 2191 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2982 ],
- "CO": [ 2984 ],
- "I0": [ "0" ],
- "I1": [ 2983 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2985 ],
- "I3": [ 2984 ],
- "O": [ 2195 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2984 ],
- "CO": [ 2986 ],
- "I0": [ "0" ],
- "I1": [ 2985 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2987 ],
- "I3": [ 2986 ],
- "O": [ 2199 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2986 ],
- "CO": [ 2988 ],
- "I0": [ "0" ],
- "I1": [ 2987 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2989 ],
- "I3": [ 2988 ],
- "O": [ 2203 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2988 ],
- "CO": [ 2990 ],
- "I0": [ "0" ],
- "I1": [ 2989 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2991 ],
- "I3": [ 2990 ],
- "O": [ 2207 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2990 ],
- "CO": [ 2992 ],
- "I0": [ "0" ],
- "I1": [ 2991 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2993 ],
- "I3": [ 2992 ],
- "O": [ 2211 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2992 ],
- "CO": [ 2974 ],
- "I0": [ "0" ],
- "I1": [ 2993 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 70 ],
- "I3": [ "0" ],
- "O": [ 2994 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 70 ],
- "CO": [ 2995 ],
- "I0": [ "0" ],
- "I1": [ 72 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 73 ],
- "I3": [ 2995 ],
- "O": [ 2996 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2995 ],
- "CO": [ 2997 ],
- "I0": [ "0" ],
- "I1": [ 73 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 67 ],
- "I3": [ 2997 ],
- "O": [ 2998 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2997 ],
- "CO": [ 2999 ],
- "I0": [ "0" ],
- "I1": [ 67 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 68 ],
- "I3": [ 2999 ],
- "O": [ 3000 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2999 ],
- "CO": [ 3001 ],
- "I0": [ "0" ],
- "I1": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 74 ],
- "I3": [ 3001 ],
- "O": [ 3002 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3001 ],
- "CO": [ 3003 ],
- "I0": [ "0" ],
- "I1": [ 74 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 75 ],
- "I3": [ 3003 ],
- "O": [ 3004 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 433 ],
- "I2": [ 2801 ],
- "I3": [ "1" ],
- "O": [ 2326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3005 ],
- "I0": [ 433 ],
- "I1": [ 2801 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 483 ],
- "I2": [ 2791 ],
- "I3": [ 3006 ],
- "O": [ 2336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3006 ],
- "CO": [ 3007 ],
- "I0": [ 483 ],
- "I1": [ 2791 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 488 ],
- "I2": [ 2790 ],
- "I3": [ 3007 ],
- "O": [ 2319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3007 ],
- "CO": [ 3008 ],
- "I0": [ 488 ],
- "I1": [ 2790 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 493 ],
- "I2": [ 2789 ],
- "I3": [ 3008 ],
- "O": [ 2320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3008 ],
- "CO": [ 3009 ],
- "I0": [ 493 ],
- "I1": [ 2789 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 498 ],
- "I2": [ 2788 ],
- "I3": [ 3009 ],
- "O": [ 2321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3009 ],
- "CO": [ 3010 ],
- "I0": [ 498 ],
- "I1": [ 2788 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 503 ],
- "I2": [ 2787 ],
- "I3": [ 3010 ],
- "O": [ 2334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3010 ],
- "CO": [ 3011 ],
- "I0": [ 503 ],
- "I1": [ 2787 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 508 ],
- "I2": [ 2786 ],
- "I3": [ 3011 ],
- "O": [ 2335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3011 ],
- "CO": [ 3012 ],
- "I0": [ 508 ],
- "I1": [ 2786 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ "1" ],
- "I3": [ 3012 ],
- "O": [ 2315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 438 ],
- "I2": [ 2800 ],
- "I3": [ 3005 ],
- "O": [ 2333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3005 ],
- "CO": [ 3013 ],
- "I0": [ 438 ],
- "I1": [ 2800 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 443 ],
- "I2": [ 2799 ],
- "I3": [ 3013 ],
- "O": [ 2327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3013 ],
- "CO": [ 3014 ],
- "I0": [ 443 ],
- "I1": [ 2799 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 448 ],
- "I2": [ 2798 ],
- "I3": [ 3014 ],
- "O": [ 2323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3014 ],
- "CO": [ 3015 ],
- "I0": [ 448 ],
- "I1": [ 2798 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 453 ],
- "I2": [ 2797 ],
- "I3": [ 3015 ],
- "O": [ 2324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3015 ],
- "CO": [ 3016 ],
- "I0": [ 453 ],
- "I1": [ 2797 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 458 ],
- "I2": [ 2796 ],
- "I3": [ 3016 ],
- "O": [ 2325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3016 ],
- "CO": [ 3017 ],
- "I0": [ 458 ],
- "I1": [ 2796 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 463 ],
- "I2": [ 2795 ],
- "I3": [ 3017 ],
- "O": [ 2322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3017 ],
- "CO": [ 3018 ],
- "I0": [ 463 ],
- "I1": [ 2795 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 468 ],
- "I2": [ 2794 ],
- "I3": [ 3018 ],
- "O": [ 2330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3018 ],
- "CO": [ 3019 ],
- "I0": [ 468 ],
- "I1": [ 2794 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 473 ],
- "I2": [ 2793 ],
- "I3": [ 3019 ],
- "O": [ 2331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3019 ],
- "CO": [ 3020 ],
- "I0": [ 473 ],
- "I1": [ 2793 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 478 ],
- "I2": [ 2792 ],
- "I3": [ 3020 ],
- "O": [ 2332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3020 ],
- "CO": [ 3006 ],
- "I0": [ 478 ],
- "I1": [ 2792 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1198 ],
- "I2": [ 433 ],
- "I3": [ "0" ],
- "O": [ 2301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3021 ],
- "I0": [ 1198 ],
- "I1": [ 433 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1231 ],
- "I2": [ 483 ],
- "I3": [ 3022 ],
- "O": [ 2418 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3022 ],
- "CO": [ 3023 ],
- "I0": [ 1231 ],
- "I1": [ 483 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1234 ],
- "I2": [ 488 ],
- "I3": [ 3023 ],
- "O": [ 2429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3023 ],
- "CO": [ 3024 ],
- "I0": [ 1234 ],
- "I1": [ 488 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1237 ],
- "I2": [ 493 ],
- "I3": [ 3024 ],
- "O": [ 2432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3024 ],
- "CO": [ 3025 ],
- "I0": [ 1237 ],
- "I1": [ 493 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1240 ],
- "I2": [ 498 ],
- "I3": [ 3025 ],
- "O": [ 2441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3025 ],
- "CO": [ 3026 ],
- "I0": [ 1240 ],
- "I1": [ 498 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1243 ],
- "I2": [ 503 ],
- "I3": [ 3026 ],
- "O": [ 2446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3026 ],
- "CO": [ 3027 ],
- "I0": [ 1243 ],
- "I1": [ 503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1246 ],
- "I2": [ 508 ],
- "I3": [ 3027 ],
- "O": [ 2936 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1204 ],
- "I2": [ 438 ],
- "I3": [ 3021 ],
- "O": [ 2348 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3021 ],
- "CO": [ 3028 ],
- "I0": [ 1204 ],
- "I1": [ 438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1207 ],
- "I2": [ 443 ],
- "I3": [ 3028 ],
- "O": [ 2353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3028 ],
- "CO": [ 3029 ],
- "I0": [ 1207 ],
- "I1": [ 443 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1210 ],
- "I2": [ 448 ],
- "I3": [ 3029 ],
- "O": [ 2360 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3029 ],
- "CO": [ 3030 ],
- "I0": [ 1210 ],
- "I1": [ 448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1213 ],
- "I2": [ 453 ],
- "I3": [ 3030 ],
- "O": [ 2901 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3030 ],
- "CO": [ 3031 ],
- "I0": [ 1213 ],
- "I1": [ 453 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1216 ],
- "I2": [ 458 ],
- "I3": [ 3031 ],
- "O": [ 2372 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3031 ],
- "CO": [ 3032 ],
- "I0": [ 1216 ],
- "I1": [ 458 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1219 ],
- "I2": [ 463 ],
- "I3": [ 3032 ],
- "O": [ 2384 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3032 ],
- "CO": [ 3033 ],
- "I0": [ 1219 ],
- "I1": [ 463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1222 ],
- "I2": [ 468 ],
- "I3": [ 3033 ],
- "O": [ 2398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3033 ],
- "CO": [ 3034 ],
- "I0": [ 1222 ],
- "I1": [ 468 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1225 ],
- "I2": [ 473 ],
- "I3": [ 3034 ],
- "O": [ 2957 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3034 ],
- "CO": [ 3035 ],
- "I0": [ 1225 ],
- "I1": [ 473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1228 ],
- "I2": [ 478 ],
- "I3": [ 3035 ],
- "O": [ 2411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3035 ],
- "CO": [ 3022 ],
- "I0": [ 1228 ],
- "I1": [ 478 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 129 ],
- "I3": [ "0" ],
- "O": [ 3036 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 129 ],
- "CO": [ 3037 ],
- "I0": [ "0" ],
- "I1": [ 132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 133 ],
- "I3": [ 3037 ],
- "O": [ 3038 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3037 ],
- "CO": [ 3039 ],
- "I0": [ "0" ],
- "I1": [ 133 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 134 ],
- "I3": [ 3039 ],
- "O": [ 3040 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 166 ],
- "CO": [ 3041 ],
- "I0": [ "0" ],
- "I1": [ 169 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 167 ],
- "I3": [ 3041 ],
- "O": [ 417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3041 ],
- "CO": [ 3042 ],
- "I0": [ "0" ],
- "I1": [ 167 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 168 ],
- "I3": [ 3042 ],
- "O": [ 419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3042 ],
- "CO": [ 3043 ],
- "I0": [ "0" ],
- "I1": [ 168 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 170 ],
- "I3": [ 3043 ],
- "O": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 178 ],
- "I3": [ "0" ],
- "O": [ 2228 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 178 ],
- "CO": [ 3044 ],
- "I0": [ "0" ],
- "I1": [ 177 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 179 ],
- "I3": [ 3044 ],
- "O": [ 2230 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3044 ],
- "CO": [ 3045 ],
- "I0": [ "0" ],
- "I1": [ 179 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 180 ],
- "I3": [ 3045 ],
- "O": [ 2232 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3045 ],
- "CO": [ 3046 ],
- "I0": [ "0" ],
- "I1": [ 180 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 181 ],
- "I3": [ 3046 ],
- "O": [ 2234 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3046 ],
- "CO": [ 3047 ],
- "I0": [ "0" ],
- "I1": [ 181 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 182 ],
- "I3": [ 3047 ],
- "O": [ 2236 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 159 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 3048 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 159 ],
- "CO": [ 3049 ],
- "I0": [ 156 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 158 ],
- "I2": [ "1" ],
- "I3": [ 3049 ],
- "O": [ 3050 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3049 ],
- "CO": [ 3051 ],
- "I0": [ 158 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 157 ],
- "I2": [ "1" ],
- "I3": [ 3051 ],
- "O": [ 3052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1000": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2712 ],
- "Q": [ 2856 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1001": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2712 ],
- "Q": [ 2861 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1002": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2712 ],
- "Q": [ 2866 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1003": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2712 ],
- "Q": [ 2871 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1004": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2712 ],
- "Q": [ 2876 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1005": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2712 ],
- "Q": [ 2881 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1006": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2712 ],
- "Q": [ 2886 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1007": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2712 ],
- "Q": [ 2891 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1008": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2712 ],
- "Q": [ 2896 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1336": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2722 ],
- "Q": [ 2817 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1337": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2722 ],
- "Q": [ 2822 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1338": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2722 ],
- "Q": [ 2827 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1339": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2722 ],
- "Q": [ 2832 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1340": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2722 ],
- "Q": [ 2837 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1341": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2722 ],
- "Q": [ 2842 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1342": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2722 ],
- "Q": [ 2847 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1343": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2722 ],
- "Q": [ 2852 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1344": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2722 ],
- "Q": [ 2857 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1345": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2722 ],
- "Q": [ 2862 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1346": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2722 ],
- "Q": [ 2867 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1347": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2722 ],
- "Q": [ 2872 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1348": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2722 ],
- "Q": [ 2877 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1349": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2722 ],
- "Q": [ 2882 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1350": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2722 ],
- "Q": [ 2887 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1351": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2722 ],
- "Q": [ 2892 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1755": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2721 ],
- "Q": [ 2818 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1756": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2721 ],
- "Q": [ 2823 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1757": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2721 ],
- "Q": [ 2828 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1758": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2721 ],
- "Q": [ 2833 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1759": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2721 ],
- "Q": [ 2838 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1760": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2721 ],
- "Q": [ 2843 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1761": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2721 ],
- "Q": [ 2848 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1762": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2721 ],
- "Q": [ 2853 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1763": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2721 ],
- "Q": [ 2858 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1764": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2721 ],
- "Q": [ 2863 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1765": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2721 ],
- "Q": [ 2868 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1766": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2721 ],
- "Q": [ 2873 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1767": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2721 ],
- "Q": [ 2878 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1768": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2721 ],
- "Q": [ 2883 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1769": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2721 ],
- "Q": [ 2888 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1770": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2721 ],
- "Q": [ 2893 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 433 ],
- "Q": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 438 ],
- "Q": [ 202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 443 ],
- "Q": [ 204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 448 ],
- "Q": [ 206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 453 ],
- "Q": [ 208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 458 ],
- "Q": [ 210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 463 ],
- "Q": [ 212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 468 ],
- "Q": [ 214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 473 ],
- "Q": [ 216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 478 ],
- "Q": [ 218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 483 ],
- "Q": [ 220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 488 ],
- "Q": [ 222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 493 ],
- "Q": [ 224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 498 ],
- "Q": [ 226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 503 ],
- "Q": [ 228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 508 ],
- "Q": [ 230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2714 ],
- "Q": [ 85 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2713 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1857": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2709 ],
- "Q": [ 3054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1858": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2709 ],
- "Q": [ 3055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1859": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2709 ],
- "Q": [ 3056 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1860": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 201 ],
- "E": [ 197 ],
- "Q": [ 198 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1861": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 203 ],
- "E": [ 199 ],
- "Q": [ 2491 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1862": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 205 ],
- "E": [ 199 ],
- "Q": [ 2500 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1863": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 207 ],
- "E": [ 199 ],
- "Q": [ 2517 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1864": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 209 ],
- "E": [ 199 ],
- "Q": [ 2544 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1865": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 211 ],
- "E": [ 199 ],
- "Q": [ 2562 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1866": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 213 ],
- "E": [ 199 ],
- "Q": [ 2580 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1867": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 215 ],
- "E": [ 199 ],
- "Q": [ 2584 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1868": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 217 ],
- "E": [ 199 ],
- "Q": [ 2611 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1869": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 219 ],
- "E": [ 199 ],
- "Q": [ 2625 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1870": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 221 ],
- "E": [ 199 ],
- "Q": [ 2629 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1871": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 223 ],
- "E": [ 199 ],
- "Q": [ 2646 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1872": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 225 ],
- "E": [ 199 ],
- "Q": [ 2660 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1873": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 227 ],
- "E": [ 199 ],
- "Q": [ 2674 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1874": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 229 ],
- "E": [ 199 ],
- "Q": [ 2683 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1875": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 231 ],
- "E": [ 199 ],
- "Q": [ 2699 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1876": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 201 ],
- "E": [ 82 ],
- "Q": [ 88 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1877": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 203 ],
- "E": [ 89 ],
- "Q": [ 2485 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1878": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 205 ],
- "E": [ 89 ],
- "Q": [ 2495 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1879": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 207 ],
- "E": [ 89 ],
- "Q": [ 2516 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1880": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 209 ],
- "E": [ 89 ],
- "Q": [ 2545 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1881": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 211 ],
- "E": [ 89 ],
- "Q": [ 2556 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1882": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 213 ],
- "E": [ 89 ],
- "Q": [ 2569 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1883": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 215 ],
- "E": [ 89 ],
- "Q": [ 2596 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1884": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 217 ],
- "E": [ 89 ],
- "Q": [ 2598 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1885": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 219 ],
- "E": [ 89 ],
- "Q": [ 2626 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1886": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 221 ],
- "E": [ 89 ],
- "Q": [ 2632 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1887": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 223 ],
- "E": [ 89 ],
- "Q": [ 2647 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1888": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 225 ],
- "E": [ 89 ],
- "Q": [ 2657 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1889": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 227 ],
- "E": [ 89 ],
- "Q": [ 2675 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1890": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 229 ],
- "E": [ 89 ],
- "Q": [ 2684 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1891": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 231 ],
- "E": [ 89 ],
- "Q": [ 2700 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1892": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 201 ],
- "E": [ 92 ],
- "Q": [ 94 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1893": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 203 ],
- "E": [ 95 ],
- "Q": [ 2486 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1894": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 205 ],
- "E": [ 95 ],
- "Q": [ 2502 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1895": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 207 ],
- "E": [ 95 ],
- "Q": [ 2518 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1896": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 209 ],
- "E": [ 95 ],
- "Q": [ 2532 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1897": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 211 ],
- "E": [ 95 ],
- "Q": [ 2550 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1898": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 213 ],
- "E": [ 95 ],
- "Q": [ 2581 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1899": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 215 ],
- "E": [ 95 ],
- "Q": [ 2597 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1900": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 217 ],
- "E": [ 95 ],
- "Q": [ 2601 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1901": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 219 ],
- "E": [ 95 ],
- "Q": [ 2624 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1902": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 221 ],
- "E": [ 95 ],
- "Q": [ 2633 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1903": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 223 ],
- "E": [ 95 ],
- "Q": [ 2643 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1904": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 225 ],
- "E": [ 95 ],
- "Q": [ 2661 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1905": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 227 ],
- "E": [ 95 ],
- "Q": [ 2671 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1906": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 229 ],
- "E": [ 95 ],
- "Q": [ 2938 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1907": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 231 ],
- "E": [ 95 ],
- "Q": [ 2698 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1908": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 98 ],
- "Q": [ 2470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1909": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 100 ],
- "Q": [ 2481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1910": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 102 ],
- "Q": [ 2510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1911": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 104 ],
- "Q": [ 2526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1912": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 106 ],
- "Q": [ 2541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1913": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 108 ],
- "Q": [ 2564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1914": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 110 ],
- "Q": [ 2577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1915": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 112 ],
- "Q": [ 2592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1916": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 114 ],
- "Q": [ 2609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1917": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 116 ],
- "Q": [ 2621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1918": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 118 ],
- "Q": [ 2638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1919": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 120 ],
- "Q": [ 2653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1920": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 122 ],
- "Q": [ 2668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1921": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 124 ],
- "Q": [ 2679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1922": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 126 ],
- "Q": [ 2692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1923": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 128 ],
- "Q": [ 2705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1924": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 79 ],
- "Q": [ 187 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1925": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 79 ],
- "Q": [ 189 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1926": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 79 ],
- "Q": [ 191 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1927": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 79 ],
- "Q": [ 193 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1930": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1198 ],
- "Q": [ 2464 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1931": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1204 ],
- "Q": [ 97 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1932": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1207 ],
- "Q": [ 2460 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1933": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1210 ],
- "Q": [ 2471 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1934": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1213 ],
- "Q": [ 142 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1935": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1216 ],
- "Q": [ 232 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1936": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1219 ],
- "Q": [ 153 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1937": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1222 ],
- "Q": [ 280 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1938": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1225 ],
- "Q": [ 195 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1939": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1228 ],
- "Q": [ 80 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1940": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1231 ],
- "Q": [ 90 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1941": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1234 ],
- "Q": [ 2708 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1942": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1237 ],
- "Q": [ 65 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1943": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1240 ],
- "Q": [ 2456 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1944": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1243 ],
- "Q": [ 84 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1945": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1246 ],
- "Q": [ 76 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3057 ],
- "Q": [ 3058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3059 ],
- "Q": [ 3060 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3061 ],
- "Q": [ 3062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3063 ],
- "Q": [ 3064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2143": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3065 ],
- "Q": [ 3066 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3067 ],
- "Q": [ 3057 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3068 ],
- "Q": [ 3059 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2146": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3069 ],
- "Q": [ 3061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3070 ],
- "Q": [ 3063 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3071 ],
- "Q": [ 3065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2964 ],
- "Q": [ 3067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2966 ],
- "Q": [ 3068 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2968 ],
- "Q": [ 3069 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2970 ],
- "Q": [ 3070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2972 ],
- "Q": [ 3071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3072 ],
- "Q": [ 3073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3074 ],
- "Q": [ 3075 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3076 ],
- "Q": [ 3077 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3078 ],
- "Q": [ 3079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3080 ],
- "Q": [ 3081 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3082 ],
- "Q": [ 3083 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3084 ],
- "Q": [ 3085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3086 ],
- "Q": [ 3087 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3088 ],
- "Q": [ 3089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3090 ],
- "Q": [ 3091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3092 ],
- "Q": [ 3093 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3094 ],
- "Q": [ 3095 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3096 ],
- "Q": [ 3097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3098 ],
- "Q": [ 3099 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3100 ],
- "Q": [ 3101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3102 ],
- "Q": [ 3103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3104 ],
- "Q": [ 3072 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3105 ],
- "Q": [ 3074 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3106 ],
- "Q": [ 3076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3107 ],
- "Q": [ 3078 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3108 ],
- "Q": [ 3080 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3109 ],
- "Q": [ 3082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3110 ],
- "Q": [ 3084 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3111 ],
- "Q": [ 3086 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3112 ],
- "Q": [ 3088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3113 ],
- "Q": [ 3090 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3114 ],
- "Q": [ 3092 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3115 ],
- "Q": [ 3094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3116 ],
- "Q": [ 3096 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3117 ],
- "Q": [ 3098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3118 ],
- "Q": [ 3100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3119 ],
- "Q": [ 3102 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2945 ],
- "Q": [ 3104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2340 ],
- "Q": [ 3105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2950 ],
- "Q": [ 3106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2955 ],
- "Q": [ 3107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2904 ],
- "Q": [ 3108 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2909 ],
- "Q": [ 3109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2381 ],
- "Q": [ 3110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2392 ],
- "Q": [ 3111 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2959 ],
- "Q": [ 3112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2916 ],
- "Q": [ 3113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2415 ],
- "Q": [ 3114 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2920 ],
- "Q": [ 3115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2924 ],
- "Q": [ 3116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2929 ],
- "Q": [ 3117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2934 ],
- "Q": [ 3118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2963 ],
- "Q": [ 3119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3120 ],
- "Q": [ 3121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3122 ],
- "Q": [ 3123 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3124 ],
- "Q": [ 3125 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3126 ],
- "Q": [ 3127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3128 ],
- "Q": [ 3129 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3130 ],
- "Q": [ 3131 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3132 ],
- "Q": [ 3133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3134 ],
- "Q": [ 3135 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3136 ],
- "Q": [ 3137 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3138 ],
- "Q": [ 3139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3140 ],
- "Q": [ 3141 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3142 ],
- "Q": [ 2725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3143 ],
- "Q": [ 3144 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3145 ],
- "Q": [ 3120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3146 ],
- "Q": [ 3122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3147 ],
- "Q": [ 3124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3148 ],
- "Q": [ 3126 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3149 ],
- "Q": [ 3128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3150 ],
- "Q": [ 3130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3151 ],
- "Q": [ 3132 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3152 ],
- "Q": [ 3134 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3153 ],
- "Q": [ 3136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3154 ],
- "Q": [ 3138 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3155 ],
- "Q": [ 3140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3156 ],
- "Q": [ 3142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3157 ],
- "Q": [ 3143 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2240 ],
- "Q": [ 3145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2253 ],
- "Q": [ 3146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2255 ],
- "Q": [ 3147 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2257 ],
- "Q": [ 3148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2259 ],
- "Q": [ 3149 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2261 ],
- "Q": [ 3150 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2263 ],
- "Q": [ 3151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2265 ],
- "Q": [ 3152 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2268 ],
- "Q": [ 3153 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2271 ],
- "Q": [ 3154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2274 ],
- "Q": [ 3155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2277 ],
- "Q": [ 3156 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2280 ],
- "Q": [ 3157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2726 ],
- "Q": [ 423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2729 ],
- "Q": [ 428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2732 ],
- "Q": [ 1253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2735 ],
- "Q": [ 1257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2738 ],
- "Q": [ 1203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2741 ],
- "Q": [ 54 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2744 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2747 ],
- "Q": [ 2251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2750 ],
- "Q": [ 2266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2753 ],
- "Q": [ 2269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2756 ],
- "Q": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2759 ],
- "Q": [ 2275 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2762 ],
- "Q": [ 2278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2765 ],
- "Q": [ 62 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2768 ],
- "Q": [ 63 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2771 ],
- "Q": [ 60 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3158 ],
- "Q": [ 2294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2354": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3159 ],
- "Q": [ 2350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2355": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3160 ],
- "Q": [ 2948 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2356": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3161 ],
- "Q": [ 2953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2357": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3162 ],
- "Q": [ 2368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2358": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3163 ],
- "Q": [ 2373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2359": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3164 ],
- "Q": [ 2387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3165 ],
- "Q": [ 2395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2361": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3166 ],
- "Q": [ 2402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2362": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3167 ],
- "Q": [ 2913 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2363": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3168 ],
- "Q": [ 2419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2364": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3169 ],
- "Q": [ 2423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2365": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3170 ],
- "Q": [ 2430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2366": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3171 ],
- "Q": [ 2440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2367": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3172 ],
- "Q": [ 2931 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2368": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3173 ],
- "Q": [ 2961 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2369": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3174 ],
- "Q": [ 3158 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2370": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3175 ],
- "Q": [ 3159 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2371": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3176 ],
- "Q": [ 3160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2372": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3177 ],
- "Q": [ 3161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2373": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3178 ],
- "Q": [ 3162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3179 ],
- "Q": [ 3163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2375": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3180 ],
- "Q": [ 3164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2376": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3181 ],
- "Q": [ 3165 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2377": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3182 ],
- "Q": [ 3166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2378": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3183 ],
- "Q": [ 3167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2379": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3184 ],
- "Q": [ 3168 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3185 ],
- "Q": [ 3169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3186 ],
- "Q": [ 3170 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3187 ],
- "Q": [ 3171 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3188 ],
- "Q": [ 3172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3189 ],
- "Q": [ 3173 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2455 ],
- "Q": [ 3174 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2475 ],
- "Q": [ 3175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2494 ],
- "Q": [ 3176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2515 ],
- "Q": [ 3177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2531 ],
- "Q": [ 3178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2549 ],
- "Q": [ 3179 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2568 ],
- "Q": [ 3180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2583 ],
- "Q": [ 3181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2600 ],
- "Q": [ 3182 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2615 ],
- "Q": [ 3183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2628 ],
- "Q": [ 3184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2642 ],
- "Q": [ 3185 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2656 ],
- "Q": [ 3186 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2670 ],
- "Q": [ 3187 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2941 ],
- "Q": [ 3188 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2697 ],
- "Q": [ 3189 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2504": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3190 ],
- "E": [ 3191 ],
- "Q": [ 2472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2505": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3192 ],
- "E": [ 3191 ],
- "Q": [ 2490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2506": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3193 ],
- "E": [ 3191 ],
- "Q": [ 2507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2507": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3194 ],
- "E": [ 3191 ],
- "Q": [ 2527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2508": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3195 ],
- "E": [ 3191 ],
- "Q": [ 2539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2509": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3196 ],
- "E": [ 3191 ],
- "Q": [ 2555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2510": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3197 ],
- "E": [ 3191 ],
- "Q": [ 2576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2511": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3198 ],
- "E": [ 3191 ],
- "Q": [ 2587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2512": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3199 ],
- "E": [ 3191 ],
- "Q": [ 2608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2513": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3200 ],
- "E": [ 3191 ],
- "Q": [ 2618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2514": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3201 ],
- "E": [ 3191 ],
- "Q": [ 2639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2515": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3202 ],
- "E": [ 3191 ],
- "Q": [ 2651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2516": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3203 ],
- "E": [ 3191 ],
- "Q": [ 2665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2517": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3204 ],
- "E": [ 3191 ],
- "Q": [ 2680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2518": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3205 ],
- "E": [ 3191 ],
- "Q": [ 2686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2519": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3206 ],
- "E": [ 3191 ],
- "Q": [ 2707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3207 ],
- "Q": [ 3208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3208 ],
- "Q": [ 3209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3209 ],
- "Q": [ 3191 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3210 ],
- "Q": [ 3211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3212 ],
- "Q": [ 3213 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3214 ],
- "Q": [ 3215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3216 ],
- "Q": [ 3217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3218 ],
- "Q": [ 3219 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3220 ],
- "Q": [ 3221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3222 ],
- "Q": [ 3223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3224 ],
- "Q": [ 3225 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3226 ],
- "Q": [ 3227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3228 ],
- "Q": [ 3229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3230 ],
- "Q": [ 3231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3232 ],
- "Q": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3234 ],
- "Q": [ 3235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3236 ],
- "Q": [ 3237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3238 ],
- "Q": [ 3239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3240 ],
- "Q": [ 3241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3211 ],
- "Q": [ 3190 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3213 ],
- "Q": [ 3192 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3215 ],
- "Q": [ 3193 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3217 ],
- "Q": [ 3194 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3219 ],
- "Q": [ 3195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3221 ],
- "Q": [ 3196 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3223 ],
- "Q": [ 3197 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3225 ],
- "Q": [ 3198 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3227 ],
- "Q": [ 3199 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3229 ],
- "Q": [ 3200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3231 ],
- "Q": [ 3201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3233 ],
- "Q": [ 3202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3235 ],
- "Q": [ 3203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3237 ],
- "Q": [ 3204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3239 ],
- "Q": [ 3205 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3241 ],
- "Q": [ 3206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2555": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3036 ],
- "Q": [ 129 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2556": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 2804 ],
- "E": [ 131 ],
- "Q": [ 132 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2557": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3038 ],
- "Q": [ 133 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2558": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3040 ],
- "Q": [ 134 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2559": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3243 ],
- "E": [ 130 ],
- "Q": [ 3244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2560": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3244 ],
- "E": [ 130 ],
- "Q": [ 3245 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2561": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3245 ],
- "E": [ 130 ],
- "Q": [ 3246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2562": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3246 ],
- "E": [ 130 ],
- "Q": [ 3247 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2563": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3247 ],
- "E": [ 130 ],
- "Q": [ 3248 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2564": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3248 ],
- "E": [ 130 ],
- "Q": [ 3249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2565": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3249 ],
- "E": [ 130 ],
- "Q": [ 3250 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2566": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3250 ],
- "E": [ 130 ],
- "Q": [ 3251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2567": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3251 ],
- "E": [ 130 ],
- "Q": [ 3252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2568": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3252 ],
- "E": [ 130 ],
- "Q": [ 3253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2569": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3253 ],
- "E": [ 130 ],
- "Q": [ 3254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2570": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3254 ],
- "E": [ 130 ],
- "Q": [ 3255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2571": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3255 ],
- "E": [ 130 ],
- "Q": [ 3256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2572": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3256 ],
- "E": [ 130 ],
- "Q": [ 3257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2573": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3257 ],
- "E": [ 130 ],
- "Q": [ 3258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2574": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3258 ],
- "E": [ 130 ],
- "Q": [ 3259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2575": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3244 ],
- "E": [ 137 ],
- "Q": [ 3210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2576": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3245 ],
- "E": [ 137 ],
- "Q": [ 3212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2577": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3246 ],
- "E": [ 137 ],
- "Q": [ 3214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2578": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3247 ],
- "E": [ 137 ],
- "Q": [ 3216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2579": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3248 ],
- "E": [ 137 ],
- "Q": [ 3218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2580": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3249 ],
- "E": [ 137 ],
- "Q": [ 3220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2581": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3250 ],
- "E": [ 137 ],
- "Q": [ 3222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2582": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3251 ],
- "E": [ 137 ],
- "Q": [ 3224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2583": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3252 ],
- "E": [ 137 ],
- "Q": [ 3226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2584": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3253 ],
- "E": [ 137 ],
- "Q": [ 3228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2585": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3254 ],
- "E": [ 137 ],
- "Q": [ 3230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2586": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3255 ],
- "E": [ 137 ],
- "Q": [ 3232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2587": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3256 ],
- "E": [ 137 ],
- "Q": [ 3234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2588": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3257 ],
- "E": [ 137 ],
- "Q": [ 3236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2589": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3258 ],
- "E": [ 137 ],
- "Q": [ 3238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2590": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3259 ],
- "E": [ 137 ],
- "Q": [ 3240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 130 ],
- "Q": [ 136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2592": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 135 ],
- "Q": [ 3207 ],
- "R": [ 2780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2737": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 233 ],
- "E": [ 141 ],
- "Q": [ 250 ],
- "R": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2738": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 251 ],
- "E": [ 141 ],
- "Q": [ 252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2739": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 253 ],
- "E": [ 141 ],
- "Q": [ 254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2740": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 255 ],
- "E": [ 141 ],
- "Q": [ 256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2741": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 257 ],
- "E": [ 141 ],
- "Q": [ 258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2742": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 259 ],
- "E": [ 141 ],
- "Q": [ 260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2743": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 261 ],
- "E": [ 141 ],
- "Q": [ 262 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2744": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 263 ],
- "E": [ 141 ],
- "Q": [ 264 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2745": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 265 ],
- "E": [ 141 ],
- "Q": [ 266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2746": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 267 ],
- "E": [ 141 ],
- "Q": [ 268 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2747": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 269 ],
- "E": [ 141 ],
- "Q": [ 270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2748": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 271 ],
- "E": [ 141 ],
- "Q": [ 272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2749": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 273 ],
- "E": [ 141 ],
- "Q": [ 274 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2750": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 275 ],
- "E": [ 141 ],
- "Q": [ 276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2751": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 277 ],
- "E": [ 141 ],
- "Q": [ 278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2752": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 279 ],
- "E": [ 141 ],
- "Q": [ 3260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2753": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 232 ],
- "E": [ 141 ],
- "Q": [ 234 ],
- "R": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2754": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 235 ],
- "E": [ 141 ],
- "Q": [ 236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2755": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 237 ],
- "E": [ 141 ],
- "Q": [ 238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2756": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 239 ],
- "E": [ 141 ],
- "Q": [ 240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2757": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 241 ],
- "E": [ 141 ],
- "Q": [ 242 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2758": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 243 ],
- "E": [ 141 ],
- "Q": [ 244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2759": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 245 ],
- "E": [ 141 ],
- "Q": [ 246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2760": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 247 ],
- "E": [ 141 ],
- "Q": [ 3261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2761": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3261 ],
- "E": [ 141 ],
- "Q": [ 3262 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2762": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3262 ],
- "E": [ 141 ],
- "Q": [ 3263 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2763": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3263 ],
- "E": [ 141 ],
- "Q": [ 3264 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2764": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3264 ],
- "E": [ 141 ],
- "Q": [ 3265 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2765": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3265 ],
- "E": [ 141 ],
- "Q": [ 3266 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2766": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3266 ],
- "E": [ 141 ],
- "Q": [ 3267 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2767": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3267 ],
- "E": [ 141 ],
- "Q": [ 248 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 249 ],
- "Q": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2769": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2807 ],
- "E": [ 145 ],
- "Q": [ 144 ],
- "R": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2770": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2784 ],
- "Q": [ 143 ],
- "R": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 140 ],
- "Q": [ 146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2772": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3268 ],
- "E": [ 147 ],
- "Q": [ 2607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2773": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2607 ],
- "E": [ 147 ],
- "Q": [ 2623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2774": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2623 ],
- "E": [ 147 ],
- "Q": [ 2640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2775": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2640 ],
- "E": [ 147 ],
- "Q": [ 2652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2776": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2652 ],
- "E": [ 147 ],
- "Q": [ 2667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2777": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2667 ],
- "E": [ 147 ],
- "Q": [ 2682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2778": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2682 ],
- "E": [ 147 ],
- "Q": [ 2690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2779": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2690 ],
- "E": [ 147 ],
- "Q": [ 2704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2780": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2704 ],
- "E": [ 147 ],
- "Q": [ 2469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2781": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2469 ],
- "E": [ 147 ],
- "Q": [ 2484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2782": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2484 ],
- "E": [ 147 ],
- "Q": [ 2511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2783": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2511 ],
- "E": [ 147 ],
- "Q": [ 2524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2784": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2524 ],
- "E": [ 147 ],
- "Q": [ 2540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2785": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2540 ],
- "E": [ 147 ],
- "Q": [ 2563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2786": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2563 ],
- "E": [ 147 ],
- "Q": [ 2575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2787": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2575 ],
- "E": [ 147 ],
- "Q": [ 2593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 185 ],
- "Q": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 186 ],
- "Q": [ 87 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2940": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 281 ],
- "E": [ 152 ],
- "Q": [ 298 ],
- "R": [ 148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2941": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 299 ],
- "E": [ 152 ],
- "Q": [ 300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2942": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 301 ],
- "E": [ 152 ],
- "Q": [ 302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2943": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 303 ],
- "E": [ 152 ],
- "Q": [ 304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2944": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 305 ],
- "E": [ 152 ],
- "Q": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2945": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 307 ],
- "E": [ 152 ],
- "Q": [ 308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2946": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 309 ],
- "E": [ 152 ],
- "Q": [ 310 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2947": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 311 ],
- "E": [ 152 ],
- "Q": [ 312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2948": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 314 ],
- "E": [ 152 ],
- "Q": [ 315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2949": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 317 ],
- "E": [ 152 ],
- "Q": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2950": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 320 ],
- "E": [ 152 ],
- "Q": [ 321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2951": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 323 ],
- "E": [ 152 ],
- "Q": [ 324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2952": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 326 ],
- "E": [ 152 ],
- "Q": [ 327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2953": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 329 ],
- "E": [ 152 ],
- "Q": [ 330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2954": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 332 ],
- "E": [ 152 ],
- "Q": [ 333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2955": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 335 ],
- "E": [ 152 ],
- "Q": [ 3269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2956": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 280 ],
- "E": [ 152 ],
- "Q": [ 282 ],
- "R": [ 148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2957": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 283 ],
- "E": [ 152 ],
- "Q": [ 284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2958": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 285 ],
- "E": [ 152 ],
- "Q": [ 286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2959": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 287 ],
- "E": [ 152 ],
- "Q": [ 288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2960": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 289 ],
- "E": [ 152 ],
- "Q": [ 290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2961": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 291 ],
- "E": [ 152 ],
- "Q": [ 292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2962": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 293 ],
- "E": [ 152 ],
- "Q": [ 294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2963": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 295 ],
- "E": [ 152 ],
- "Q": [ 3270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2964": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3270 ],
- "E": [ 152 ],
- "Q": [ 3271 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2965": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3271 ],
- "E": [ 152 ],
- "Q": [ 3272 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2966": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3272 ],
- "E": [ 152 ],
- "Q": [ 3273 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2967": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3273 ],
- "E": [ 152 ],
- "Q": [ 3274 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2968": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3274 ],
- "E": [ 152 ],
- "Q": [ 3275 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2969": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3275 ],
- "E": [ 152 ],
- "Q": [ 3276 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2970": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3276 ],
- "E": [ 152 ],
- "Q": [ 296 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 297 ],
- "Q": [ 148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2972": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3277 ],
- "E": [ 150 ],
- "Q": [ 2465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2973": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2465 ],
- "E": [ 150 ],
- "Q": [ 2478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2974": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2478 ],
- "E": [ 150 ],
- "Q": [ 2509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2975": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2509 ],
- "E": [ 150 ],
- "Q": [ 2523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2976": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2523 ],
- "E": [ 150 ],
- "Q": [ 2538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2977": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2538 ],
- "E": [ 150 ],
- "Q": [ 2553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2978": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2553 ],
- "E": [ 150 ],
- "Q": [ 2578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2979": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2578 ],
- "E": [ 150 ],
- "Q": [ 2595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2980": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2595 ],
- "E": [ 150 ],
- "Q": [ 2610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2981": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2610 ],
- "E": [ 150 ],
- "Q": [ 2622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2982": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2622 ],
- "E": [ 150 ],
- "Q": [ 2637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2983": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2637 ],
- "E": [ 150 ],
- "Q": [ 2654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2984": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2654 ],
- "E": [ 150 ],
- "Q": [ 2666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2985": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2666 ],
- "E": [ 150 ],
- "Q": [ 2681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2986": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2681 ],
- "E": [ 150 ],
- "Q": [ 2691 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2987": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2691 ],
- "E": [ 150 ],
- "Q": [ 2706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2988": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2785 ],
- "Q": [ 149 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3006": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3278 ],
- "E": [ 155 ],
- "Q": [ 3279 ],
- "R": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3007": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 401 ],
- "E": [ 155 ],
- "Q": [ 3278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3008": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 403 ],
- "E": [ 155 ],
- "Q": [ 400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3009": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 405 ],
- "E": [ 155 ],
- "Q": [ 402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3010": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 407 ],
- "E": [ 155 ],
- "Q": [ 404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3011": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 409 ],
- "E": [ 155 ],
- "Q": [ 406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3012": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 411 ],
- "E": [ 155 ],
- "Q": [ 408 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3013": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 413 ],
- "E": [ 155 ],
- "Q": [ 410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3014": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 155 ],
- "Q": [ 412 ],
- "S": [ 2808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3015": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3048 ],
- "E": [ 155 ],
- "Q": [ 159 ],
- "R": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3016": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2803 ],
- "E": [ 160 ],
- "Q": [ 156 ],
- "S": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3017": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3050 ],
- "E": [ 155 ],
- "Q": [ 158 ],
- "R": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3018": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3052 ],
- "E": [ 155 ],
- "Q": [ 157 ],
- "S": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3044": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3279 ],
- "E": [ 155 ],
- "Q": [ 19 ],
- "S": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2487 ],
- "E": [ 2716 ],
- "Q": [ 2458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2508 ],
- "E": [ 2716 ],
- "Q": [ 2487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2528 ],
- "E": [ 2716 ],
- "Q": [ 2508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2542 ],
- "E": [ 2716 ],
- "Q": [ 2528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2557 ],
- "E": [ 2716 ],
- "Q": [ 2542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2579 ],
- "E": [ 2716 ],
- "Q": [ 2557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2594 ],
- "E": [ 2716 ],
- "Q": [ 2579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2777 ],
- "E": [ 2716 ],
- "Q": [ 2594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3710": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2776 ],
- "Q": [ 163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3711": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 163 ],
- "Q": [ 161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3713": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 415 ],
- "E": [ 173 ],
- "Q": [ 166 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3714": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 416 ],
- "E": [ 183 ],
- "Q": [ 169 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3715": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 418 ],
- "E": [ 173 ],
- "Q": [ 167 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3716": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 420 ],
- "E": [ 173 ],
- "Q": [ 168 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3717": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 422 ],
- "E": [ 173 ],
- "Q": [ 170 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 432 ],
- "Q": [ 3280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 437 ],
- "Q": [ 3281 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 442 ],
- "Q": [ 3282 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 447 ],
- "Q": [ 3283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 452 ],
- "Q": [ 3284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 457 ],
- "Q": [ 3285 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 462 ],
- "Q": [ 3286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 467 ],
- "Q": [ 3287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 472 ],
- "Q": [ 3288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 477 ],
- "Q": [ 3289 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 482 ],
- "Q": [ 3290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 487 ],
- "Q": [ 3291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 492 ],
- "Q": [ 3292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 497 ],
- "Q": [ 3293 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 502 ],
- "Q": [ 3294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 507 ],
- "Q": [ 3295 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 511 ],
- "Q": [ 3296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 514 ],
- "Q": [ 3297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 517 ],
- "Q": [ 3298 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 520 ],
- "Q": [ 3299 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 523 ],
- "Q": [ 3300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 526 ],
- "Q": [ 3301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3817": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 529 ],
- "Q": [ 3302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3818": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 532 ],
- "Q": [ 3303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3819": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 535 ],
- "Q": [ 3304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3820": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 538 ],
- "Q": [ 3305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3821": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 541 ],
- "Q": [ 3306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3822": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 544 ],
- "Q": [ 3307 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3823": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 547 ],
- "Q": [ 3308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3824": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 550 ],
- "Q": [ 3309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3825": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 553 ],
- "Q": [ 3310 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3826": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 556 ],
- "Q": [ 3311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3827": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 559 ],
- "Q": [ 3312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3828": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 562 ],
- "Q": [ 3313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3829": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 565 ],
- "Q": [ 3314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3830": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 568 ],
- "Q": [ 3315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3831": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 571 ],
- "Q": [ 3316 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3832": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 574 ],
- "Q": [ 3317 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3833": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 577 ],
- "Q": [ 3318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3834": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 580 ],
- "Q": [ 3319 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3835": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 583 ],
- "Q": [ 3320 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3836": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 586 ],
- "Q": [ 3321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3837": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 589 ],
- "Q": [ 3322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3838": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 592 ],
- "Q": [ 3323 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 595 ],
- "Q": [ 3324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 598 ],
- "Q": [ 3325 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 601 ],
- "Q": [ 3326 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 604 ],
- "Q": [ 3327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 607 ],
- "Q": [ 3328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 610 ],
- "Q": [ 3329 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 613 ],
- "Q": [ 3330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 616 ],
- "Q": [ 3331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 619 ],
- "Q": [ 3332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 622 ],
- "Q": [ 3333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 625 ],
- "Q": [ 3334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 628 ],
- "Q": [ 3335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 631 ],
- "Q": [ 3336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 634 ],
- "Q": [ 3337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 637 ],
- "Q": [ 3338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 640 ],
- "Q": [ 3339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 643 ],
- "Q": [ 3340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 646 ],
- "Q": [ 3341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3857": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 649 ],
- "Q": [ 3342 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3858": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 652 ],
- "Q": [ 3343 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3859": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 655 ],
- "Q": [ 3344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3860": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 658 ],
- "Q": [ 3345 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3861": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 661 ],
- "Q": [ 3346 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3862": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 664 ],
- "Q": [ 3347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3863": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 667 ],
- "Q": [ 3348 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3864": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 670 ],
- "Q": [ 3349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3865": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 673 ],
- "Q": [ 3350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3866": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 676 ],
- "Q": [ 3351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3867": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 679 ],
- "Q": [ 3352 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3868": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 682 ],
- "Q": [ 3353 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3869": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 685 ],
- "Q": [ 3354 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3870": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 688 ],
- "Q": [ 3355 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 691 ],
- "Q": [ 3356 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 694 ],
- "Q": [ 3357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 697 ],
- "Q": [ 3358 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 700 ],
- "Q": [ 3359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 703 ],
- "Q": [ 3360 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 706 ],
- "Q": [ 3361 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 709 ],
- "Q": [ 3362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 712 ],
- "Q": [ 3363 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 715 ],
- "Q": [ 3364 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 718 ],
- "Q": [ 3365 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 721 ],
- "Q": [ 3366 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 724 ],
- "Q": [ 3367 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3883": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 727 ],
- "Q": [ 3368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3884": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 730 ],
- "Q": [ 3369 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3885": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 733 ],
- "Q": [ 3370 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3886": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 736 ],
- "Q": [ 3371 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3887": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 739 ],
- "Q": [ 3372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3888": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 742 ],
- "Q": [ 3373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3889": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 745 ],
- "Q": [ 3374 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3890": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 748 ],
- "Q": [ 3375 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3891": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 751 ],
- "Q": [ 3376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 754 ],
- "Q": [ 3377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 757 ],
- "Q": [ 3378 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 760 ],
- "Q": [ 3379 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 763 ],
- "Q": [ 3380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 766 ],
- "Q": [ 3381 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 769 ],
- "Q": [ 3382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 772 ],
- "Q": [ 3383 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 775 ],
- "Q": [ 3384 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 778 ],
- "Q": [ 3385 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 781 ],
- "Q": [ 3386 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 784 ],
- "Q": [ 3387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 787 ],
- "Q": [ 3388 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 790 ],
- "Q": [ 3389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 793 ],
- "Q": [ 3390 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 796 ],
- "Q": [ 3391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 799 ],
- "Q": [ 3392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3908": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 802 ],
- "Q": [ 3393 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3909": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 805 ],
- "Q": [ 3394 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3910": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 808 ],
- "Q": [ 3395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3911": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 811 ],
- "Q": [ 3396 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3912": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 814 ],
- "Q": [ 3397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3913": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 817 ],
- "Q": [ 3398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3914": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 820 ],
- "Q": [ 3399 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3915": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 823 ],
- "Q": [ 3400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3916": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 826 ],
- "Q": [ 3401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3917": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 829 ],
- "Q": [ 3402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3918": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 832 ],
- "Q": [ 3403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3919": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 835 ],
- "Q": [ 3404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3920": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 838 ],
- "Q": [ 3405 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3921": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 841 ],
- "Q": [ 3406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3922": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 844 ],
- "Q": [ 3407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3923": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 847 ],
- "Q": [ 3408 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3924": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 850 ],
- "Q": [ 3409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3925": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 853 ],
- "Q": [ 3410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3926": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 856 ],
- "Q": [ 3411 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3927": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 859 ],
- "Q": [ 3412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3928": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 862 ],
- "Q": [ 3413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3929": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 865 ],
- "Q": [ 3414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3930": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 868 ],
- "Q": [ 3415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3931": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 871 ],
- "Q": [ 3416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3932": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 874 ],
- "Q": [ 3417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3933": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 877 ],
- "Q": [ 3418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3934": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 880 ],
- "Q": [ 3419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3935": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 883 ],
- "Q": [ 3420 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3936": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 886 ],
- "Q": [ 3421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3937": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 889 ],
- "Q": [ 3422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3938": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 892 ],
- "Q": [ 3423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3939": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 895 ],
- "Q": [ 3424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3940": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 898 ],
- "Q": [ 3425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3941": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 901 ],
- "Q": [ 3426 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3942": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 904 ],
- "Q": [ 3427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3943": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 907 ],
- "Q": [ 3428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3944": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 910 ],
- "Q": [ 3429 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3945": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 913 ],
- "Q": [ 3430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3946": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 916 ],
- "Q": [ 3431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3947": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 919 ],
- "Q": [ 3432 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3948": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 922 ],
- "Q": [ 3433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3949": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 925 ],
- "Q": [ 3434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3950": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 928 ],
- "Q": [ 3435 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3951": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 931 ],
- "Q": [ 3436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3952": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 934 ],
- "Q": [ 3437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3953": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 937 ],
- "Q": [ 3438 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3954": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 940 ],
- "Q": [ 3439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3955": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 943 ],
- "Q": [ 3440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3956": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 946 ],
- "Q": [ 3441 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3957": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 949 ],
- "Q": [ 3442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3958": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 952 ],
- "Q": [ 3443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3959": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 955 ],
- "Q": [ 3444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3960": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 958 ],
- "Q": [ 3445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3961": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 961 ],
- "Q": [ 3446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3962": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 964 ],
- "Q": [ 3447 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3963": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 967 ],
- "Q": [ 3448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3964": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 970 ],
- "Q": [ 3449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3965": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 973 ],
- "Q": [ 3450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3966": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 976 ],
- "Q": [ 3451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3967": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 979 ],
- "Q": [ 3452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3968": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 982 ],
- "Q": [ 3453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3969": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 985 ],
- "Q": [ 3454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3970": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 988 ],
- "Q": [ 3455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 991 ],
- "Q": [ 3456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3972": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 994 ],
- "Q": [ 3457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3973": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 997 ],
- "Q": [ 3458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3974": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1000 ],
- "Q": [ 3459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3975": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1003 ],
- "Q": [ 3460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3976": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1006 ],
- "Q": [ 3461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3977": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1009 ],
- "Q": [ 3462 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3978": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1012 ],
- "Q": [ 3463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3979": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1015 ],
- "Q": [ 3464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3980": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1018 ],
- "Q": [ 3465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3981": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1021 ],
- "Q": [ 3466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3982": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1024 ],
- "Q": [ 3467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3983": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1027 ],
- "Q": [ 3468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3984": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1030 ],
- "Q": [ 3469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3985": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1033 ],
- "Q": [ 3470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3986": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1036 ],
- "Q": [ 3471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3987": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1039 ],
- "Q": [ 3472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3988": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1042 ],
- "Q": [ 3473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3989": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1045 ],
- "Q": [ 3474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3990": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1048 ],
- "Q": [ 3475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3991": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1051 ],
- "Q": [ 3476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3992": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1054 ],
- "Q": [ 3477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3993": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1057 ],
- "Q": [ 3478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3994": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1060 ],
- "Q": [ 3479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3995": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1063 ],
- "Q": [ 3480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3996": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1066 ],
- "Q": [ 3481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3997": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1069 ],
- "Q": [ 3482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3998": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1072 ],
- "Q": [ 3483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3999": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1075 ],
- "Q": [ 3484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4000": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1078 ],
- "Q": [ 3485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4001": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1081 ],
- "Q": [ 3486 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1084 ],
- "Q": [ 3487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1087 ],
- "Q": [ 3488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1090 ],
- "Q": [ 3489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1093 ],
- "Q": [ 3490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1096 ],
- "Q": [ 3491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1099 ],
- "Q": [ 3492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1102 ],
- "Q": [ 3493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1105 ],
- "Q": [ 3494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4010": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1108 ],
- "Q": [ 3495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4011": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1111 ],
- "Q": [ 3496 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4012": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1114 ],
- "Q": [ 3497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4013": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1117 ],
- "Q": [ 3498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4014": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1120 ],
- "Q": [ 3499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4015": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1123 ],
- "Q": [ 3500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1126 ],
- "Q": [ 3501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1129 ],
- "Q": [ 3502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1132 ],
- "Q": [ 3503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1135 ],
- "Q": [ 3504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4020": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1138 ],
- "Q": [ 3505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1141 ],
- "Q": [ 3506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4022": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1144 ],
- "Q": [ 3507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4023": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1147 ],
- "Q": [ 3508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4024": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1150 ],
- "Q": [ 3509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4025": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1153 ],
- "Q": [ 3510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4026": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1156 ],
- "Q": [ 3511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1159 ],
- "Q": [ 3512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1162 ],
- "Q": [ 3513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1165 ],
- "Q": [ 3514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1168 ],
- "Q": [ 3515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1171 ],
- "Q": [ 3516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1174 ],
- "Q": [ 3517 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1177 ],
- "Q": [ 3518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1180 ],
- "Q": [ 3519 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4035": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1182 ],
- "Q": [ 3520 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1183 ],
- "Q": [ 3521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4037": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1184 ],
- "Q": [ 3522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4038": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1185 ],
- "Q": [ 3523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4039": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1186 ],
- "Q": [ 3524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4040": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1187 ],
- "Q": [ 3525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4041": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1188 ],
- "Q": [ 3526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4042": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1189 ],
- "Q": [ 3527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4043": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1190 ],
- "Q": [ 3528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4044": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1191 ],
- "Q": [ 3529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4045": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1192 ],
- "Q": [ 3530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4046": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1193 ],
- "Q": [ 3531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4047": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1194 ],
- "Q": [ 3532 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4048": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1195 ],
- "Q": [ 3533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4049": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1196 ],
- "Q": [ 3534 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4050": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1197 ],
- "Q": [ 3535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4051": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3536 ],
- "Q": [ 433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4052": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3537 ],
- "Q": [ 438 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4053": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3538 ],
- "Q": [ 443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4054": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3539 ],
- "Q": [ 448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4055": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3540 ],
- "Q": [ 453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4056": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3541 ],
- "Q": [ 458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4057": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3542 ],
- "Q": [ 463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4058": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3543 ],
- "Q": [ 468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3544 ],
- "Q": [ 473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3545 ],
- "Q": [ 478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3546 ],
- "Q": [ 483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4062": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3547 ],
- "Q": [ 488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4063": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3548 ],
- "Q": [ 493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4064": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3549 ],
- "Q": [ 498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4065": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3550 ],
- "Q": [ 503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4066": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3551 ],
- "Q": [ 508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4067": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3552 ],
- "Q": [ 430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4068": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3553 ],
- "Q": [ 435 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4069": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3554 ],
- "Q": [ 440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4070": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3555 ],
- "Q": [ 445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4071": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3556 ],
- "Q": [ 450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4072": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3557 ],
- "Q": [ 455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4073": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3558 ],
- "Q": [ 460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4074": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3559 ],
- "Q": [ 465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4075": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3560 ],
- "Q": [ 470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4076": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3561 ],
- "Q": [ 475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4077": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3562 ],
- "Q": [ 480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4078": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3563 ],
- "Q": [ 485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4079": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3564 ],
- "Q": [ 490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4080": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3565 ],
- "Q": [ 495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4081": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3566 ],
- "Q": [ 500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4082": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3567 ],
- "Q": [ 505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4083": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3568 ],
- "Q": [ 434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4084": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3569 ],
- "Q": [ 439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4085": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3570 ],
- "Q": [ 444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4086": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3571 ],
- "Q": [ 449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4087": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3572 ],
- "Q": [ 454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4088": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3573 ],
- "Q": [ 459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4089": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3574 ],
- "Q": [ 464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4090": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3575 ],
- "Q": [ 469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4091": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3576 ],
- "Q": [ 474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4092": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3577 ],
- "Q": [ 479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4093": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3578 ],
- "Q": [ 484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4094": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3579 ],
- "Q": [ 489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4095": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3580 ],
- "Q": [ 494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4096": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3581 ],
- "Q": [ 499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4097": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3582 ],
- "Q": [ 504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4098": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3583 ],
- "Q": [ 509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4099": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3584 ],
- "Q": [ 512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4100": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3585 ],
- "Q": [ 515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4101": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3586 ],
- "Q": [ 518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4102": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3587 ],
- "Q": [ 521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4103": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3588 ],
- "Q": [ 524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4104": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3589 ],
- "Q": [ 527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4105": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3590 ],
- "Q": [ 530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4106": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3591 ],
- "Q": [ 533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4107": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3592 ],
- "Q": [ 536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4108": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3593 ],
- "Q": [ 539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4109": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3594 ],
- "Q": [ 542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4110": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3595 ],
- "Q": [ 545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4111": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3596 ],
- "Q": [ 548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4112": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3597 ],
- "Q": [ 551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4113": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3598 ],
- "Q": [ 554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4114": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3599 ],
- "Q": [ 557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4115": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3600 ],
- "Q": [ 560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4116": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3601 ],
- "Q": [ 563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4117": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3602 ],
- "Q": [ 566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4118": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3603 ],
- "Q": [ 569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4119": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3604 ],
- "Q": [ 572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4120": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3605 ],
- "Q": [ 575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4121": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3606 ],
- "Q": [ 578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4122": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3607 ],
- "Q": [ 581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4123": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3608 ],
- "Q": [ 584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4124": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3609 ],
- "Q": [ 587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4125": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3610 ],
- "Q": [ 590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4126": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3611 ],
- "Q": [ 593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4127": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3612 ],
- "Q": [ 596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4128": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3613 ],
- "Q": [ 599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4129": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3614 ],
- "Q": [ 602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4130": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3615 ],
- "Q": [ 605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4131": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3616 ],
- "Q": [ 608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4132": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3617 ],
- "Q": [ 611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4133": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3618 ],
- "Q": [ 614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4134": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3619 ],
- "Q": [ 617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4135": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3620 ],
- "Q": [ 620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4136": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3621 ],
- "Q": [ 623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4137": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3622 ],
- "Q": [ 626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4138": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3623 ],
- "Q": [ 629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3624 ],
- "Q": [ 632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3625 ],
- "Q": [ 635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3626 ],
- "Q": [ 638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3627 ],
- "Q": [ 641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4143": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3628 ],
- "Q": [ 644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3629 ],
- "Q": [ 647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3630 ],
- "Q": [ 650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4146": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3631 ],
- "Q": [ 653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3632 ],
- "Q": [ 656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3633 ],
- "Q": [ 659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3634 ],
- "Q": [ 662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3635 ],
- "Q": [ 665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3636 ],
- "Q": [ 668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3637 ],
- "Q": [ 671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3638 ],
- "Q": [ 674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4154": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3639 ],
- "Q": [ 677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4155": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3640 ],
- "Q": [ 680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3641 ],
- "Q": [ 683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4157": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3642 ],
- "Q": [ 686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4158": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3643 ],
- "Q": [ 689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4159": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3644 ],
- "Q": [ 692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3645 ],
- "Q": [ 695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3646 ],
- "Q": [ 698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3647 ],
- "Q": [ 701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3648 ],
- "Q": [ 704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3649 ],
- "Q": [ 707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3650 ],
- "Q": [ 710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4166": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3651 ],
- "Q": [ 713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4167": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3652 ],
- "Q": [ 716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4168": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3653 ],
- "Q": [ 719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4169": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3654 ],
- "Q": [ 722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4170": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3655 ],
- "Q": [ 725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4171": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3656 ],
- "Q": [ 728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4172": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3657 ],
- "Q": [ 731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4173": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3658 ],
- "Q": [ 734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4174": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3659 ],
- "Q": [ 737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4175": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3660 ],
- "Q": [ 740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4176": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3661 ],
- "Q": [ 743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4177": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3662 ],
- "Q": [ 746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3663 ],
- "Q": [ 749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3664 ],
- "Q": [ 752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3665 ],
- "Q": [ 755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3666 ],
- "Q": [ 758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3667 ],
- "Q": [ 761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3668 ],
- "Q": [ 764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3669 ],
- "Q": [ 767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3670 ],
- "Q": [ 770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3671 ],
- "Q": [ 773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3672 ],
- "Q": [ 776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3673 ],
- "Q": [ 779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3674 ],
- "Q": [ 782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3675 ],
- "Q": [ 785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3676 ],
- "Q": [ 788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3677 ],
- "Q": [ 791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3678 ],
- "Q": [ 794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3679 ],
- "Q": [ 797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3680 ],
- "Q": [ 800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3681 ],
- "Q": [ 803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3682 ],
- "Q": [ 806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3683 ],
- "Q": [ 809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3684 ],
- "Q": [ 812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3685 ],
- "Q": [ 815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3686 ],
- "Q": [ 818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3687 ],
- "Q": [ 821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3688 ],
- "Q": [ 824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3689 ],
- "Q": [ 827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3690 ],
- "Q": [ 830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3691 ],
- "Q": [ 833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3692 ],
- "Q": [ 836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3693 ],
- "Q": [ 839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3694 ],
- "Q": [ 842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3695 ],
- "Q": [ 845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3696 ],
- "Q": [ 848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3697 ],
- "Q": [ 851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3698 ],
- "Q": [ 854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3699 ],
- "Q": [ 857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3700 ],
- "Q": [ 860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3701 ],
- "Q": [ 863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3702 ],
- "Q": [ 866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3703 ],
- "Q": [ 869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3704 ],
- "Q": [ 872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3705 ],
- "Q": [ 875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3706 ],
- "Q": [ 878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3707 ],
- "Q": [ 881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3708 ],
- "Q": [ 884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3709 ],
- "Q": [ 887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3710 ],
- "Q": [ 890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4226": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3711 ],
- "Q": [ 893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4227": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3712 ],
- "Q": [ 896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4228": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3713 ],
- "Q": [ 899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4229": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3714 ],
- "Q": [ 902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4230": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3715 ],
- "Q": [ 905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4231": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3716 ],
- "Q": [ 908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4232": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3717 ],
- "Q": [ 911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4233": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3718 ],
- "Q": [ 914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4234": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3719 ],
- "Q": [ 917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4235": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3720 ],
- "Q": [ 920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4236": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3721 ],
- "Q": [ 923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4237": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3722 ],
- "Q": [ 926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4238": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3723 ],
- "Q": [ 929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4239": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3724 ],
- "Q": [ 932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3725 ],
- "Q": [ 935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3726 ],
- "Q": [ 938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3727 ],
- "Q": [ 941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3728 ],
- "Q": [ 944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3729 ],
- "Q": [ 947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3730 ],
- "Q": [ 950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3731 ],
- "Q": [ 953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3732 ],
- "Q": [ 956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3733 ],
- "Q": [ 959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4249": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3734 ],
- "Q": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3735 ],
- "Q": [ 965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3736 ],
- "Q": [ 968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3737 ],
- "Q": [ 971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3738 ],
- "Q": [ 974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3739 ],
- "Q": [ 977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3740 ],
- "Q": [ 980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3741 ],
- "Q": [ 983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3742 ],
- "Q": [ 986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3743 ],
- "Q": [ 989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3744 ],
- "Q": [ 992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3745 ],
- "Q": [ 995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3746 ],
- "Q": [ 998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3747 ],
- "Q": [ 1001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3748 ],
- "Q": [ 1004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3749 ],
- "Q": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3750 ],
- "Q": [ 1010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3751 ],
- "Q": [ 1013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3752 ],
- "Q": [ 1016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3753 ],
- "Q": [ 1019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3754 ],
- "Q": [ 1022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3755 ],
- "Q": [ 1025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3756 ],
- "Q": [ 1028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3757 ],
- "Q": [ 1031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3758 ],
- "Q": [ 1034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3759 ],
- "Q": [ 1037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3760 ],
- "Q": [ 1040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3761 ],
- "Q": [ 1043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3762 ],
- "Q": [ 1046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3763 ],
- "Q": [ 1049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3764 ],
- "Q": [ 1052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3765 ],
- "Q": [ 1055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3766 ],
- "Q": [ 1058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3767 ],
- "Q": [ 1061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3768 ],
- "Q": [ 1064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3769 ],
- "Q": [ 1067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3770 ],
- "Q": [ 1070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3771 ],
- "Q": [ 1073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3772 ],
- "Q": [ 1076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3773 ],
- "Q": [ 1079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3774 ],
- "Q": [ 1082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3775 ],
- "Q": [ 1085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4291": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3776 ],
- "Q": [ 1088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4292": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3777 ],
- "Q": [ 1091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4293": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3778 ],
- "Q": [ 1094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4294": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3779 ],
- "Q": [ 1097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4295": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3780 ],
- "Q": [ 1100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4296": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3781 ],
- "Q": [ 1103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4297": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3782 ],
- "Q": [ 1106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4298": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3783 ],
- "Q": [ 1109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4299": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3784 ],
- "Q": [ 1112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4300": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3785 ],
- "Q": [ 1115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3786 ],
- "Q": [ 1118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4302": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3787 ],
- "Q": [ 1121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4303": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3788 ],
- "Q": [ 1124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4304": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3789 ],
- "Q": [ 1127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4305": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3790 ],
- "Q": [ 1130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4306": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3791 ],
- "Q": [ 1133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4307": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3792 ],
- "Q": [ 1136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4308": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3793 ],
- "Q": [ 1139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4309": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3794 ],
- "Q": [ 1142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4310": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3795 ],
- "Q": [ 1145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4311": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3796 ],
- "Q": [ 1148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4312": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3797 ],
- "Q": [ 1151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4313": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3798 ],
- "Q": [ 1154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4314": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3799 ],
- "Q": [ 1157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4315": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3800 ],
- "Q": [ 1160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4316": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3801 ],
- "Q": [ 1163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4317": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3802 ],
- "Q": [ 1166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4318": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3803 ],
- "Q": [ 1169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4319": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3804 ],
- "Q": [ 1172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4320": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3805 ],
- "Q": [ 1175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4321": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3806 ],
- "Q": [ 1178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4322": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3807 ],
- "Q": [ 1181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4323": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3808 ],
- "Q": [ 3536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4324": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3809 ],
- "Q": [ 3537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4325": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3810 ],
- "Q": [ 3538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4326": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3811 ],
- "Q": [ 3539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4327": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3812 ],
- "Q": [ 3540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4328": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3813 ],
- "Q": [ 3541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4329": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3814 ],
- "Q": [ 3542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4330": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3815 ],
- "Q": [ 3543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4331": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3816 ],
- "Q": [ 3544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4332": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3817 ],
- "Q": [ 3545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4333": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3818 ],
- "Q": [ 3546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4334": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3819 ],
- "Q": [ 3547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4335": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3820 ],
- "Q": [ 3548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4336": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3821 ],
- "Q": [ 3549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3822 ],
- "Q": [ 3550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3823 ],
- "Q": [ 3551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3824 ],
- "Q": [ 3552 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3825 ],
- "Q": [ 3553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3826 ],
- "Q": [ 3554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3827 ],
- "Q": [ 3555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3828 ],
- "Q": [ 3556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3829 ],
- "Q": [ 3557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3830 ],
- "Q": [ 3558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3831 ],
- "Q": [ 3559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3832 ],
- "Q": [ 3560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3833 ],
- "Q": [ 3561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3834 ],
- "Q": [ 3562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3835 ],
- "Q": [ 3563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3836 ],
- "Q": [ 3564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3837 ],
- "Q": [ 3565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3838 ],
- "Q": [ 3566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4354": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3839 ],
- "Q": [ 3567 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4355": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3840 ],
- "Q": [ 3568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4356": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3841 ],
- "Q": [ 3569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4357": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3842 ],
- "Q": [ 3570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4358": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3843 ],
- "Q": [ 3571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4359": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3844 ],
- "Q": [ 3572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3845 ],
- "Q": [ 3573 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4361": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3846 ],
- "Q": [ 3574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4362": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3847 ],
- "Q": [ 3575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4363": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3848 ],
- "Q": [ 3576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4364": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3849 ],
- "Q": [ 3577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4365": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3850 ],
- "Q": [ 3578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4366": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3851 ],
- "Q": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4367": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3852 ],
- "Q": [ 3580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4368": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3853 ],
- "Q": [ 3581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4369": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3854 ],
- "Q": [ 3582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4370": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3855 ],
- "Q": [ 3583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4371": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3856 ],
- "Q": [ 3584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4372": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3857 ],
- "Q": [ 3585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4373": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3858 ],
- "Q": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3859 ],
- "Q": [ 3587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4375": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3860 ],
- "Q": [ 3588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4376": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3861 ],
- "Q": [ 3589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4377": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3862 ],
- "Q": [ 3590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4378": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3863 ],
- "Q": [ 3591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4379": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3864 ],
- "Q": [ 3592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3865 ],
- "Q": [ 3593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3866 ],
- "Q": [ 3594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3867 ],
- "Q": [ 3595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3868 ],
- "Q": [ 3596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3869 ],
- "Q": [ 3597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3870 ],
- "Q": [ 3598 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3871 ],
- "Q": [ 3599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3872 ],
- "Q": [ 3600 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3873 ],
- "Q": [ 3601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3874 ],
- "Q": [ 3602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3875 ],
- "Q": [ 3603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3876 ],
- "Q": [ 3604 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3877 ],
- "Q": [ 3605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3878 ],
- "Q": [ 3606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3879 ],
- "Q": [ 3607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3880 ],
- "Q": [ 3608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3881 ],
- "Q": [ 3609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3882 ],
- "Q": [ 3610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3883 ],
- "Q": [ 3611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3884 ],
- "Q": [ 3612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3885 ],
- "Q": [ 3613 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4401": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3886 ],
- "Q": [ 3614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4402": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3887 ],
- "Q": [ 3615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4403": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3888 ],
- "Q": [ 3616 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4404": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3889 ],
- "Q": [ 3617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4405": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3890 ],
- "Q": [ 3618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4406": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3891 ],
- "Q": [ 3619 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4407": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3892 ],
- "Q": [ 3620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3893 ],
- "Q": [ 3621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3894 ],
- "Q": [ 3622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3895 ],
- "Q": [ 3623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3896 ],
- "Q": [ 3624 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3897 ],
- "Q": [ 3625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3898 ],
- "Q": [ 3626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3899 ],
- "Q": [ 3627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3900 ],
- "Q": [ 3628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3901 ],
- "Q": [ 3629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3902 ],
- "Q": [ 3630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4418": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3903 ],
- "Q": [ 3631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4419": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3904 ],
- "Q": [ 3632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4420": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3905 ],
- "Q": [ 3633 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4421": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3906 ],
- "Q": [ 3634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4422": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3907 ],
- "Q": [ 3635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4423": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3908 ],
- "Q": [ 3636 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4424": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3909 ],
- "Q": [ 3637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4425": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3910 ],
- "Q": [ 3638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4426": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3911 ],
- "Q": [ 3639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4427": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3912 ],
- "Q": [ 3640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4428": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3913 ],
- "Q": [ 3641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4429": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3914 ],
- "Q": [ 3642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4430": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3915 ],
- "Q": [ 3643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4431": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3916 ],
- "Q": [ 3644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4432": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3917 ],
- "Q": [ 3645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4433": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3918 ],
- "Q": [ 3646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4434": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3919 ],
- "Q": [ 3647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4435": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3920 ],
- "Q": [ 3648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4436": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3921 ],
- "Q": [ 3649 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4437": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3922 ],
- "Q": [ 3650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4438": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3923 ],
- "Q": [ 3651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4439": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3924 ],
- "Q": [ 3652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4440": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3925 ],
- "Q": [ 3653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4441": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3926 ],
- "Q": [ 3654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3927 ],
- "Q": [ 3655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3928 ],
- "Q": [ 3656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4444": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3929 ],
- "Q": [ 3657 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3930 ],
- "Q": [ 3658 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4446": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3931 ],
- "Q": [ 3659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4447": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3932 ],
- "Q": [ 3660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4448": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3933 ],
- "Q": [ 3661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4449": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3934 ],
- "Q": [ 3662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4450": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3935 ],
- "Q": [ 3663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4451": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3936 ],
- "Q": [ 3664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4452": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3937 ],
- "Q": [ 3665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4453": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3938 ],
- "Q": [ 3666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4454": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3939 ],
- "Q": [ 3667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4455": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3940 ],
- "Q": [ 3668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4456": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3941 ],
- "Q": [ 3669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4457": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3942 ],
- "Q": [ 3670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4458": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3943 ],
- "Q": [ 3671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3944 ],
- "Q": [ 3672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4460": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3945 ],
- "Q": [ 3673 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4461": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3946 ],
- "Q": [ 3674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3947 ],
- "Q": [ 3675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3948 ],
- "Q": [ 3676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4464": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3949 ],
- "Q": [ 3677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4465": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3950 ],
- "Q": [ 3678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3951 ],
- "Q": [ 3679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4467": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3952 ],
- "Q": [ 3680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4468": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3953 ],
- "Q": [ 3681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4469": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3954 ],
- "Q": [ 3682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4470": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3955 ],
- "Q": [ 3683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4471": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3956 ],
- "Q": [ 3684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4472": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3957 ],
- "Q": [ 3685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4473": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3958 ],
- "Q": [ 3686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4474": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3959 ],
- "Q": [ 3687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4475": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3960 ],
- "Q": [ 3688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4476": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3961 ],
- "Q": [ 3689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4477": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3962 ],
- "Q": [ 3690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4478": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3963 ],
- "Q": [ 3691 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4479": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3964 ],
- "Q": [ 3692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4480": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3965 ],
- "Q": [ 3693 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4481": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3966 ],
- "Q": [ 3694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4482": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3967 ],
- "Q": [ 3695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4483": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3968 ],
- "Q": [ 3696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4484": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3969 ],
- "Q": [ 3697 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4485": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3970 ],
- "Q": [ 3698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4486": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3971 ],
- "Q": [ 3699 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4487": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3972 ],
- "Q": [ 3700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4488": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3973 ],
- "Q": [ 3701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4489": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3974 ],
- "Q": [ 3702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4490": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3975 ],
- "Q": [ 3703 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4491": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3976 ],
- "Q": [ 3704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3977 ],
- "Q": [ 3705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3978 ],
- "Q": [ 3706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4494": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3979 ],
- "Q": [ 3707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3980 ],
- "Q": [ 3708 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3981 ],
- "Q": [ 3709 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4497": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3982 ],
- "Q": [ 3710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4498": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3983 ],
- "Q": [ 3711 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4499": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3984 ],
- "Q": [ 3712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4500": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3985 ],
- "Q": [ 3713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4501": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3986 ],
- "Q": [ 3714 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4502": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3987 ],
- "Q": [ 3715 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4503": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3988 ],
- "Q": [ 3716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4504": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3989 ],
- "Q": [ 3717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4505": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3990 ],
- "Q": [ 3718 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4506": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3991 ],
- "Q": [ 3719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4507": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3992 ],
- "Q": [ 3720 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4508": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3993 ],
- "Q": [ 3721 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4509": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3994 ],
- "Q": [ 3722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4510": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3995 ],
- "Q": [ 3723 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4511": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3996 ],
- "Q": [ 3724 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4512": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3997 ],
- "Q": [ 3725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4513": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3998 ],
- "Q": [ 3726 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4514": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3999 ],
- "Q": [ 3727 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4515": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4000 ],
- "Q": [ 3728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4516": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4001 ],
- "Q": [ 3729 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4517": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4002 ],
- "Q": [ 3730 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4518": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4003 ],
- "Q": [ 3731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4519": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4004 ],
- "Q": [ 3732 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4005 ],
- "Q": [ 3733 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4006 ],
- "Q": [ 3734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4007 ],
- "Q": [ 3735 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4008 ],
- "Q": [ 3736 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4009 ],
- "Q": [ 3737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4010 ],
- "Q": [ 3738 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4011 ],
- "Q": [ 3739 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4012 ],
- "Q": [ 3740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4013 ],
- "Q": [ 3741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4014 ],
- "Q": [ 3742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4015 ],
- "Q": [ 3743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4016 ],
- "Q": [ 3744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4017 ],
- "Q": [ 3745 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4018 ],
- "Q": [ 3746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4019 ],
- "Q": [ 3747 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4020 ],
- "Q": [ 3748 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4021 ],
- "Q": [ 3749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4022 ],
- "Q": [ 3750 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4023 ],
- "Q": [ 3751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4024 ],
- "Q": [ 3752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4025 ],
- "Q": [ 3753 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4026 ],
- "Q": [ 3754 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4027 ],
- "Q": [ 3755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4028 ],
- "Q": [ 3756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4029 ],
- "Q": [ 3757 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4030 ],
- "Q": [ 3758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4031 ],
- "Q": [ 3759 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4032 ],
- "Q": [ 3760 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4033 ],
- "Q": [ 3761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4034 ],
- "Q": [ 3762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4035 ],
- "Q": [ 3763 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4036 ],
- "Q": [ 3764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4037 ],
- "Q": [ 3765 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4038 ],
- "Q": [ 3766 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4039 ],
- "Q": [ 3767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4555": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4040 ],
- "Q": [ 3768 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4556": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4041 ],
- "Q": [ 3769 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4557": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4042 ],
- "Q": [ 3770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4558": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4043 ],
- "Q": [ 3771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4559": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4044 ],
- "Q": [ 3772 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4560": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4045 ],
- "Q": [ 3773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4561": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4046 ],
- "Q": [ 3774 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4562": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4047 ],
- "Q": [ 3775 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4563": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4048 ],
- "Q": [ 3776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4564": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4049 ],
- "Q": [ 3777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4050 ],
- "Q": [ 3778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4051 ],
- "Q": [ 3779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4052 ],
- "Q": [ 3780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4053 ],
- "Q": [ 3781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4054 ],
- "Q": [ 3782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4055 ],
- "Q": [ 3783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4056 ],
- "Q": [ 3784 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4057 ],
- "Q": [ 3785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4058 ],
- "Q": [ 3786 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4059 ],
- "Q": [ 3787 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4060 ],
- "Q": [ 3788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4061 ],
- "Q": [ 3789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4062 ],
- "Q": [ 3790 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4063 ],
- "Q": [ 3791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4064 ],
- "Q": [ 3792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4065 ],
- "Q": [ 3793 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4066 ],
- "Q": [ 3794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4067 ],
- "Q": [ 3795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4068 ],
- "Q": [ 3796 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4069 ],
- "Q": [ 3797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4070 ],
- "Q": [ 3798 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4071 ],
- "Q": [ 3799 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4072 ],
- "Q": [ 3800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4073 ],
- "Q": [ 3801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4074 ],
- "Q": [ 3802 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4075 ],
- "Q": [ 3803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4076 ],
- "Q": [ 3804 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4077 ],
- "Q": [ 3805 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4078 ],
- "Q": [ 3806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4079 ],
- "Q": [ 3807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4080 ],
- "Q": [ 3808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4081 ],
- "Q": [ 3809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4597": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4082 ],
- "Q": [ 3810 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4598": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4083 ],
- "Q": [ 3811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4599": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4084 ],
- "Q": [ 3812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4085 ],
- "Q": [ 3813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4086 ],
- "Q": [ 3814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4602": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4087 ],
- "Q": [ 3815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4603": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4088 ],
- "Q": [ 3816 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4604": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4089 ],
- "Q": [ 3817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4605": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4090 ],
- "Q": [ 3818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4606": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4091 ],
- "Q": [ 3819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4607": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4092 ],
- "Q": [ 3820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4093 ],
- "Q": [ 3821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4094 ],
- "Q": [ 3822 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4095 ],
- "Q": [ 3823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3280 ],
- "Q": [ 3824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3281 ],
- "Q": [ 3825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3282 ],
- "Q": [ 3826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3283 ],
- "Q": [ 3827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3284 ],
- "Q": [ 3828 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3285 ],
- "Q": [ 3829 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3286 ],
- "Q": [ 3830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3287 ],
- "Q": [ 3831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3288 ],
- "Q": [ 3832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3289 ],
- "Q": [ 3833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3290 ],
- "Q": [ 3834 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3291 ],
- "Q": [ 3835 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3292 ],
- "Q": [ 3836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3293 ],
- "Q": [ 3837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3294 ],
- "Q": [ 3838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3295 ],
- "Q": [ 3839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3296 ],
- "Q": [ 3840 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3297 ],
- "Q": [ 3841 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3298 ],
- "Q": [ 3842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3299 ],
- "Q": [ 3843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3300 ],
- "Q": [ 3844 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3301 ],
- "Q": [ 3845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3302 ],
- "Q": [ 3846 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3303 ],
- "Q": [ 3847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4635": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3304 ],
- "Q": [ 3848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4636": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3305 ],
- "Q": [ 3849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4637": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3306 ],
- "Q": [ 3850 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4638": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3307 ],
- "Q": [ 3851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4639": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3308 ],
- "Q": [ 3852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4640": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3309 ],
- "Q": [ 3853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4641": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3310 ],
- "Q": [ 3854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4642": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3311 ],
- "Q": [ 3855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4643": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3312 ],
- "Q": [ 3856 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4644": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3313 ],
- "Q": [ 3857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4645": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3314 ],
- "Q": [ 3858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4646": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3315 ],
- "Q": [ 3859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4647": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3316 ],
- "Q": [ 3860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4648": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3317 ],
- "Q": [ 3861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4649": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3318 ],
- "Q": [ 3862 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4650": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3319 ],
- "Q": [ 3863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4651": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3320 ],
- "Q": [ 3864 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4652": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3321 ],
- "Q": [ 3865 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4653": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3322 ],
- "Q": [ 3866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4654": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3323 ],
- "Q": [ 3867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4655": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3324 ],
- "Q": [ 3868 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4656": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3325 ],
- "Q": [ 3869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4657": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3326 ],
- "Q": [ 3870 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4658": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3327 ],
- "Q": [ 3871 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4659": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3328 ],
- "Q": [ 3872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4660": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3329 ],
- "Q": [ 3873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4661": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3330 ],
- "Q": [ 3874 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4662": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3331 ],
- "Q": [ 3875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4663": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3332 ],
- "Q": [ 3876 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4664": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3333 ],
- "Q": [ 3877 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4665": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3334 ],
- "Q": [ 3878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4666": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3335 ],
- "Q": [ 3879 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4667": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3336 ],
- "Q": [ 3880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3337 ],
- "Q": [ 3881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3338 ],
- "Q": [ 3882 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3339 ],
- "Q": [ 3883 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3340 ],
- "Q": [ 3884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3341 ],
- "Q": [ 3885 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3342 ],
- "Q": [ 3886 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3343 ],
- "Q": [ 3887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3344 ],
- "Q": [ 3888 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3345 ],
- "Q": [ 3889 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3346 ],
- "Q": [ 3890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3347 ],
- "Q": [ 3891 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3348 ],
- "Q": [ 3892 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3349 ],
- "Q": [ 3893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3350 ],
- "Q": [ 3894 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3351 ],
- "Q": [ 3895 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4683": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3352 ],
- "Q": [ 3896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4684": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3353 ],
- "Q": [ 3897 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4685": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3354 ],
- "Q": [ 3898 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4686": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3355 ],
- "Q": [ 3899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4687": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3356 ],
- "Q": [ 3900 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4688": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3357 ],
- "Q": [ 3901 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4689": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3358 ],
- "Q": [ 3902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4690": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3359 ],
- "Q": [ 3903 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4691": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3360 ],
- "Q": [ 3904 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4692": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3361 ],
- "Q": [ 3905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4693": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3362 ],
- "Q": [ 3906 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3363 ],
- "Q": [ 3907 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3364 ],
- "Q": [ 3908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3365 ],
- "Q": [ 3909 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3366 ],
- "Q": [ 3910 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3367 ],
- "Q": [ 3911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3368 ],
- "Q": [ 3912 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3369 ],
- "Q": [ 3913 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3370 ],
- "Q": [ 3914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3371 ],
- "Q": [ 3915 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3372 ],
- "Q": [ 3916 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3373 ],
- "Q": [ 3917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3374 ],
- "Q": [ 3918 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3375 ],
- "Q": [ 3919 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4707": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3376 ],
- "Q": [ 3920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4708": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3377 ],
- "Q": [ 3921 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4709": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3378 ],
- "Q": [ 3922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4710": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3379 ],
- "Q": [ 3923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4711": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3380 ],
- "Q": [ 3924 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4712": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3381 ],
- "Q": [ 3925 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4713": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3382 ],
- "Q": [ 3926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4714": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3383 ],
- "Q": [ 3927 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4715": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3384 ],
- "Q": [ 3928 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4716": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3385 ],
- "Q": [ 3929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4717": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3386 ],
- "Q": [ 3930 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4718": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3387 ],
- "Q": [ 3931 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4719": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3388 ],
- "Q": [ 3932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4720": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3389 ],
- "Q": [ 3933 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4721": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3390 ],
- "Q": [ 3934 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4722": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3391 ],
- "Q": [ 3935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4723": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3392 ],
- "Q": [ 3936 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4724": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3393 ],
- "Q": [ 3937 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4725": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3394 ],
- "Q": [ 3938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4726": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3395 ],
- "Q": [ 3939 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4727": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3396 ],
- "Q": [ 3940 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4728": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3397 ],
- "Q": [ 3941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4729": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3398 ],
- "Q": [ 3942 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4730": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3399 ],
- "Q": [ 3943 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4731": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3400 ],
- "Q": [ 3944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4732": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3401 ],
- "Q": [ 3945 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4733": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3402 ],
- "Q": [ 3946 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4734": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3403 ],
- "Q": [ 3947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4735": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3404 ],
- "Q": [ 3948 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4736": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3405 ],
- "Q": [ 3949 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4737": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3406 ],
- "Q": [ 3950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4738": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3407 ],
- "Q": [ 3951 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4739": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3408 ],
- "Q": [ 3952 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4740": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3409 ],
- "Q": [ 3953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4741": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3410 ],
- "Q": [ 3954 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4742": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3411 ],
- "Q": [ 3955 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4743": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3412 ],
- "Q": [ 3956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4744": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3413 ],
- "Q": [ 3957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4745": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3414 ],
- "Q": [ 3958 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4746": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3415 ],
- "Q": [ 3959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4747": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3416 ],
- "Q": [ 3960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4748": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3417 ],
- "Q": [ 3961 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4749": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3418 ],
- "Q": [ 3962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4750": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3419 ],
- "Q": [ 3963 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4751": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3420 ],
- "Q": [ 3964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4752": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3421 ],
- "Q": [ 3965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4753": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3422 ],
- "Q": [ 3966 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4754": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3423 ],
- "Q": [ 3967 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4755": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3424 ],
- "Q": [ 3968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4756": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3425 ],
- "Q": [ 3969 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4757": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3426 ],
- "Q": [ 3970 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4758": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3427 ],
- "Q": [ 3971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4759": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3428 ],
- "Q": [ 3972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4760": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3429 ],
- "Q": [ 3973 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4761": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3430 ],
- "Q": [ 3974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4762": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3431 ],
- "Q": [ 3975 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4763": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3432 ],
- "Q": [ 3976 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4764": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3433 ],
- "Q": [ 3977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4765": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3434 ],
- "Q": [ 3978 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4766": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3435 ],
- "Q": [ 3979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4767": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3436 ],
- "Q": [ 3980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3437 ],
- "Q": [ 3981 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4769": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3438 ],
- "Q": [ 3982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4770": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3439 ],
- "Q": [ 3983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3440 ],
- "Q": [ 3984 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4772": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3441 ],
- "Q": [ 3985 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4773": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3442 ],
- "Q": [ 3986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4774": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3443 ],
- "Q": [ 3987 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4775": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3444 ],
- "Q": [ 3988 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4776": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3445 ],
- "Q": [ 3989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4777": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3446 ],
- "Q": [ 3990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4778": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3447 ],
- "Q": [ 3991 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4779": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3448 ],
- "Q": [ 3992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4780": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3449 ],
- "Q": [ 3993 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4781": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3450 ],
- "Q": [ 3994 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4782": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3451 ],
- "Q": [ 3995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4783": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3452 ],
- "Q": [ 3996 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4784": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3453 ],
- "Q": [ 3997 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4785": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3454 ],
- "Q": [ 3998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4786": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3455 ],
- "Q": [ 3999 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4787": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3456 ],
- "Q": [ 4000 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3457 ],
- "Q": [ 4001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3458 ],
- "Q": [ 4002 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4790": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3459 ],
- "Q": [ 4003 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4791": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3460 ],
- "Q": [ 4004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4792": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3461 ],
- "Q": [ 4005 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4793": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3462 ],
- "Q": [ 4006 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4794": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3463 ],
- "Q": [ 4007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3464 ],
- "Q": [ 4008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3465 ],
- "Q": [ 4009 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3466 ],
- "Q": [ 4010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3467 ],
- "Q": [ 4011 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3468 ],
- "Q": [ 4012 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3469 ],
- "Q": [ 4013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3470 ],
- "Q": [ 4014 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3471 ],
- "Q": [ 4015 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3472 ],
- "Q": [ 4016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3473 ],
- "Q": [ 4017 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3474 ],
- "Q": [ 4018 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3475 ],
- "Q": [ 4019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3476 ],
- "Q": [ 4020 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3477 ],
- "Q": [ 4021 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3478 ],
- "Q": [ 4022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3479 ],
- "Q": [ 4023 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3480 ],
- "Q": [ 4024 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3481 ],
- "Q": [ 4025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3482 ],
- "Q": [ 4026 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3483 ],
- "Q": [ 4027 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3484 ],
- "Q": [ 4028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3485 ],
- "Q": [ 4029 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4817": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3486 ],
- "Q": [ 4030 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4818": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3487 ],
- "Q": [ 4031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4819": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3488 ],
- "Q": [ 4032 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4820": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3489 ],
- "Q": [ 4033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4821": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3490 ],
- "Q": [ 4034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4822": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3491 ],
- "Q": [ 4035 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4823": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3492 ],
- "Q": [ 4036 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4824": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3493 ],
- "Q": [ 4037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4825": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3494 ],
- "Q": [ 4038 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4826": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3495 ],
- "Q": [ 4039 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4827": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3496 ],
- "Q": [ 4040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4828": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3497 ],
- "Q": [ 4041 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4829": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3498 ],
- "Q": [ 4042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4830": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3499 ],
- "Q": [ 4043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4831": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3500 ],
- "Q": [ 4044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4832": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3501 ],
- "Q": [ 4045 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4833": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3502 ],
- "Q": [ 4046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4834": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3503 ],
- "Q": [ 4047 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4835": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3504 ],
- "Q": [ 4048 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4836": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3505 ],
- "Q": [ 4049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4837": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3506 ],
- "Q": [ 4050 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4838": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3507 ],
- "Q": [ 4051 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3508 ],
- "Q": [ 4052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3509 ],
- "Q": [ 4053 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3510 ],
- "Q": [ 4054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3511 ],
- "Q": [ 4055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3512 ],
- "Q": [ 4056 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3513 ],
- "Q": [ 4057 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3514 ],
- "Q": [ 4058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3515 ],
- "Q": [ 4059 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3516 ],
- "Q": [ 4060 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3517 ],
- "Q": [ 4061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3518 ],
- "Q": [ 4062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3519 ],
- "Q": [ 4063 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3520 ],
- "Q": [ 4064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3521 ],
- "Q": [ 4065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3522 ],
- "Q": [ 4066 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3523 ],
- "Q": [ 4067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3524 ],
- "Q": [ 4068 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3525 ],
- "Q": [ 4069 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4857": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3526 ],
- "Q": [ 4070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4858": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3527 ],
- "Q": [ 4071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4859": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3528 ],
- "Q": [ 4072 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4860": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3529 ],
- "Q": [ 4073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4861": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3530 ],
- "Q": [ 4074 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4862": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3531 ],
- "Q": [ 4075 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4863": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3532 ],
- "Q": [ 4076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4864": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3533 ],
- "Q": [ 4077 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4865": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3534 ],
- "Q": [ 4078 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4866": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3535 ],
- "Q": [ 4079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4867": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1201 ],
- "Q": [ 4080 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4868": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1206 ],
- "Q": [ 4081 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4869": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1209 ],
- "Q": [ 4082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4870": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1212 ],
- "Q": [ 4083 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1215 ],
- "Q": [ 4084 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1218 ],
- "Q": [ 4085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1221 ],
- "Q": [ 4086 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1224 ],
- "Q": [ 4087 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1227 ],
- "Q": [ 4088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1230 ],
- "Q": [ 4089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1233 ],
- "Q": [ 4090 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1236 ],
- "Q": [ 4091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1239 ],
- "Q": [ 4092 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1242 ],
- "Q": [ 4093 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1245 ],
- "Q": [ 4094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1248 ],
- "Q": [ 4095 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5430": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1252 ],
- "Q": [ 4096 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5431": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1260 ],
- "Q": [ 4097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5432": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1265 ],
- "Q": [ 4098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5433": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1270 ],
- "Q": [ 4099 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5434": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1275 ],
- "Q": [ 4100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5435": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1280 ],
- "Q": [ 4101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5436": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1285 ],
- "Q": [ 4102 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5437": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1290 ],
- "Q": [ 4103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5438": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1295 ],
- "Q": [ 4104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5439": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1300 ],
- "Q": [ 4105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5440": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1305 ],
- "Q": [ 4106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5441": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1310 ],
- "Q": [ 4107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1315 ],
- "Q": [ 4108 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1320 ],
- "Q": [ 4109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5444": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1325 ],
- "Q": [ 4110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1330 ],
- "Q": [ 4111 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5446": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1334 ],
- "Q": [ 4112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5447": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1337 ],
- "Q": [ 4113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5448": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1340 ],
- "Q": [ 4114 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5449": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1343 ],
- "Q": [ 4115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5450": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1346 ],
- "Q": [ 4116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5451": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1349 ],
- "Q": [ 4117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5452": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1352 ],
- "Q": [ 4118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5453": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1355 ],
- "Q": [ 4119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5454": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1358 ],
- "Q": [ 4120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5455": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1361 ],
- "Q": [ 4121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5456": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1364 ],
- "Q": [ 4122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5457": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1367 ],
- "Q": [ 4123 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5458": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1370 ],
- "Q": [ 4124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1373 ],
- "Q": [ 4125 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5460": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1376 ],
- "Q": [ 4126 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5461": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1379 ],
- "Q": [ 4127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1382 ],
- "Q": [ 4128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1385 ],
- "Q": [ 4129 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5464": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1388 ],
- "Q": [ 4130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5465": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1391 ],
- "Q": [ 4131 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1394 ],
- "Q": [ 4132 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5467": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1397 ],
- "Q": [ 4133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5468": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1400 ],
- "Q": [ 4134 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5469": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1403 ],
- "Q": [ 4135 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5470": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1406 ],
- "Q": [ 4136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5471": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1409 ],
- "Q": [ 4137 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5472": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1412 ],
- "Q": [ 4138 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5473": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1415 ],
- "Q": [ 4139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5474": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1418 ],
- "Q": [ 4140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5475": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1421 ],
- "Q": [ 4141 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5476": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1424 ],
- "Q": [ 4142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5477": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1427 ],
- "Q": [ 4143 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5478": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1430 ],
- "Q": [ 4144 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5479": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1433 ],
- "Q": [ 4145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5480": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1436 ],
- "Q": [ 4146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5481": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1439 ],
- "Q": [ 4147 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5482": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1442 ],
- "Q": [ 4148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5483": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1445 ],
- "Q": [ 4149 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5484": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1448 ],
- "Q": [ 4150 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5485": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1451 ],
- "Q": [ 4151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5486": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1454 ],
- "Q": [ 4152 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5487": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1457 ],
- "Q": [ 4153 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5488": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1460 ],
- "Q": [ 4154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5489": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1463 ],
- "Q": [ 4155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5490": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1466 ],
- "Q": [ 4156 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5491": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1469 ],
- "Q": [ 4157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1472 ],
- "Q": [ 4158 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1475 ],
- "Q": [ 4159 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5494": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1478 ],
- "Q": [ 4160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1481 ],
- "Q": [ 4161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1484 ],
- "Q": [ 4162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5497": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1487 ],
- "Q": [ 4163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5498": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1490 ],
- "Q": [ 4164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5499": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1493 ],
- "Q": [ 4165 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5500": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1496 ],
- "Q": [ 4166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5501": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1499 ],
- "Q": [ 4167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5502": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1502 ],
- "Q": [ 4168 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5503": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1505 ],
- "Q": [ 4169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5504": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1508 ],
- "Q": [ 4170 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5505": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1511 ],
- "Q": [ 4171 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5506": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1514 ],
- "Q": [ 4172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5507": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1517 ],
- "Q": [ 4173 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5508": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1520 ],
- "Q": [ 4174 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5509": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1523 ],
- "Q": [ 4175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5510": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1526 ],
- "Q": [ 4176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5511": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1529 ],
- "Q": [ 4177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5512": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1532 ],
- "Q": [ 4178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5513": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1535 ],
- "Q": [ 4179 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5514": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1538 ],
- "Q": [ 4180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5515": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1541 ],
- "Q": [ 4181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5516": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1544 ],
- "Q": [ 4182 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5517": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1547 ],
- "Q": [ 4183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5518": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1550 ],
- "Q": [ 4184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5519": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1553 ],
- "Q": [ 4185 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1556 ],
- "Q": [ 4186 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1559 ],
- "Q": [ 4187 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1562 ],
- "Q": [ 4188 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1565 ],
- "Q": [ 4189 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1568 ],
- "Q": [ 4190 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1571 ],
- "Q": [ 4191 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1574 ],
- "Q": [ 4192 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1577 ],
- "Q": [ 4193 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1580 ],
- "Q": [ 4194 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1583 ],
- "Q": [ 4195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1586 ],
- "Q": [ 4196 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1589 ],
- "Q": [ 4197 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1592 ],
- "Q": [ 4198 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1595 ],
- "Q": [ 4199 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1598 ],
- "Q": [ 4200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1601 ],
- "Q": [ 4201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1604 ],
- "Q": [ 4202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1607 ],
- "Q": [ 4203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1610 ],
- "Q": [ 4204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1613 ],
- "Q": [ 4205 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1616 ],
- "Q": [ 4206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1619 ],
- "Q": [ 4207 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1622 ],
- "Q": [ 4208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1625 ],
- "Q": [ 4209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1628 ],
- "Q": [ 4210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1631 ],
- "Q": [ 4211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1634 ],
- "Q": [ 4212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1637 ],
- "Q": [ 4213 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1640 ],
- "Q": [ 4214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1643 ],
- "Q": [ 4215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1646 ],
- "Q": [ 4216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1649 ],
- "Q": [ 4217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1652 ],
- "Q": [ 4218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1655 ],
- "Q": [ 4219 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1658 ],
- "Q": [ 4220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5555": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1661 ],
- "Q": [ 4221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5556": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1664 ],
- "Q": [ 4222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5557": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1667 ],
- "Q": [ 4223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5558": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1670 ],
- "Q": [ 4224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5559": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1673 ],
- "Q": [ 4225 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5560": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1676 ],
- "Q": [ 4226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5561": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1679 ],
- "Q": [ 4227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5562": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1682 ],
- "Q": [ 4228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5563": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1685 ],
- "Q": [ 4229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5564": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1688 ],
- "Q": [ 4230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1691 ],
- "Q": [ 4231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1694 ],
- "Q": [ 4232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1697 ],
- "Q": [ 4233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1700 ],
- "Q": [ 4234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1703 ],
- "Q": [ 4235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1706 ],
- "Q": [ 4236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1709 ],
- "Q": [ 4237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1712 ],
- "Q": [ 4238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1715 ],
- "Q": [ 4239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1718 ],
- "Q": [ 4240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1721 ],
- "Q": [ 4241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1724 ],
- "Q": [ 4242 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1727 ],
- "Q": [ 4243 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1730 ],
- "Q": [ 4244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1733 ],
- "Q": [ 4245 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1736 ],
- "Q": [ 4246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1739 ],
- "Q": [ 4247 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1742 ],
- "Q": [ 4248 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1745 ],
- "Q": [ 4249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1748 ],
- "Q": [ 4250 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1751 ],
- "Q": [ 4251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1754 ],
- "Q": [ 4252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1757 ],
- "Q": [ 4253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1760 ],
- "Q": [ 4254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1763 ],
- "Q": [ 4255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1766 ],
- "Q": [ 4256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1769 ],
- "Q": [ 4257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1772 ],
- "Q": [ 4258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1775 ],
- "Q": [ 4259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1778 ],
- "Q": [ 4260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1781 ],
- "Q": [ 4261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1784 ],
- "Q": [ 4262 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5597": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1787 ],
- "Q": [ 4263 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5598": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1790 ],
- "Q": [ 4264 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5599": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1793 ],
- "Q": [ 4265 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1796 ],
- "Q": [ 4266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1799 ],
- "Q": [ 4267 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5602": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1802 ],
- "Q": [ 4268 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5603": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1805 ],
- "Q": [ 4269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5604": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1808 ],
- "Q": [ 4270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5605": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1811 ],
- "Q": [ 4271 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5606": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1814 ],
- "Q": [ 4272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5607": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1817 ],
- "Q": [ 4273 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1820 ],
- "Q": [ 4274 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1823 ],
- "Q": [ 4275 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1826 ],
- "Q": [ 4276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1829 ],
- "Q": [ 4277 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1832 ],
- "Q": [ 4278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1835 ],
- "Q": [ 4279 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1838 ],
- "Q": [ 4280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1841 ],
- "Q": [ 4281 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1844 ],
- "Q": [ 4282 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1847 ],
- "Q": [ 4283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1850 ],
- "Q": [ 4284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1853 ],
- "Q": [ 4285 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1856 ],
- "Q": [ 4286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1859 ],
- "Q": [ 4287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1862 ],
- "Q": [ 4288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1865 ],
- "Q": [ 4289 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1868 ],
- "Q": [ 4290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1871 ],
- "Q": [ 4291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1874 ],
- "Q": [ 4292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1877 ],
- "Q": [ 4293 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1880 ],
- "Q": [ 4294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1883 ],
- "Q": [ 4295 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1886 ],
- "Q": [ 4296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1889 ],
- "Q": [ 4297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1892 ],
- "Q": [ 4298 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1895 ],
- "Q": [ 4299 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1898 ],
- "Q": [ 4300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5635": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1901 ],
- "Q": [ 4301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5636": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1904 ],
- "Q": [ 4302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5637": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1907 ],
- "Q": [ 4303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5638": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1910 ],
- "Q": [ 4304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5639": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1913 ],
- "Q": [ 4305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5640": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1916 ],
- "Q": [ 4306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5641": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1919 ],
- "Q": [ 4307 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5642": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1922 ],
- "Q": [ 4308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5643": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1925 ],
- "Q": [ 4309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5644": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1928 ],
- "Q": [ 4310 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5645": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1931 ],
- "Q": [ 4311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5646": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1934 ],
- "Q": [ 4312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5647": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1937 ],
- "Q": [ 4313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5648": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1940 ],
- "Q": [ 4314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5649": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1943 ],
- "Q": [ 4315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5650": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1946 ],
- "Q": [ 4316 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5651": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1949 ],
- "Q": [ 4317 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5652": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1952 ],
- "Q": [ 4318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5653": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1955 ],
- "Q": [ 4319 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5654": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1958 ],
- "Q": [ 4320 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5655": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1961 ],
- "Q": [ 4321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5656": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1964 ],
- "Q": [ 4322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5657": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1967 ],
- "Q": [ 4323 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5658": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1970 ],
- "Q": [ 4324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5659": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1973 ],
- "Q": [ 4325 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5660": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1976 ],
- "Q": [ 4326 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5661": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1979 ],
- "Q": [ 4327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5662": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1982 ],
- "Q": [ 4328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5663": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1985 ],
- "Q": [ 4329 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5664": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1988 ],
- "Q": [ 4330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5665": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1991 ],
- "Q": [ 4331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5666": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1994 ],
- "Q": [ 4332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5667": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1997 ],
- "Q": [ 4333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2000 ],
- "Q": [ 4334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2003 ],
- "Q": [ 4335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2006 ],
- "Q": [ 4336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2009 ],
- "Q": [ 4337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2012 ],
- "Q": [ 4338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2015 ],
- "Q": [ 4339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2018 ],
- "Q": [ 4340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2021 ],
- "Q": [ 4341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2024 ],
- "Q": [ 4342 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2027 ],
- "Q": [ 4343 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2030 ],
- "Q": [ 4344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2033 ],
- "Q": [ 4345 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2036 ],
- "Q": [ 4346 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2039 ],
- "Q": [ 4347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2042 ],
- "Q": [ 4348 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5683": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2045 ],
- "Q": [ 4349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5684": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2048 ],
- "Q": [ 4350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5685": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2051 ],
- "Q": [ 4351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5686": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2054 ],
- "Q": [ 4352 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5687": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2057 ],
- "Q": [ 4353 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5688": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2060 ],
- "Q": [ 4354 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5689": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2063 ],
- "Q": [ 4355 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5690": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2066 ],
- "Q": [ 4356 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5691": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2069 ],
- "Q": [ 4357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5692": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2072 ],
- "Q": [ 4358 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5693": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2075 ],
- "Q": [ 4359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2078 ],
- "Q": [ 4360 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2081 ],
- "Q": [ 4361 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2084 ],
- "Q": [ 4362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2087 ],
- "Q": [ 4363 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2090 ],
- "Q": [ 4364 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2093 ],
- "Q": [ 4365 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2096 ],
- "Q": [ 4366 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2099 ],
- "Q": [ 4367 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2102 ],
- "Q": [ 4368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2105 ],
- "Q": [ 4369 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2108 ],
- "Q": [ 4370 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2111 ],
- "Q": [ 4371 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2114 ],
- "Q": [ 4372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5707": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2117 ],
- "Q": [ 4373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5708": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2120 ],
- "Q": [ 4374 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5709": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2123 ],
- "Q": [ 4375 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5710": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2126 ],
- "Q": [ 4376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5711": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2129 ],
- "Q": [ 4377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5712": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2132 ],
- "Q": [ 4378 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5713": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2135 ],
- "Q": [ 4379 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5714": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2138 ],
- "Q": [ 4380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5715": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2141 ],
- "Q": [ 4381 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5716": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2144 ],
- "Q": [ 4382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5717": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2147 ],
- "Q": [ 4383 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5718": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2150 ],
- "Q": [ 4384 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5719": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2151 ],
- "Q": [ 4385 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5720": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2152 ],
- "Q": [ 4386 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5721": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2153 ],
- "Q": [ 4387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5722": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2154 ],
- "Q": [ 4388 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5723": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2155 ],
- "Q": [ 4389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5724": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2156 ],
- "Q": [ 4390 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5725": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2157 ],
- "Q": [ 4391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5726": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2158 ],
- "Q": [ 4392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5727": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2159 ],
- "Q": [ 4393 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5728": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2160 ],
- "Q": [ 4394 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5729": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2161 ],
- "Q": [ 4395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5730": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2162 ],
- "Q": [ 4396 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5731": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2163 ],
- "Q": [ 4397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5732": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2164 ],
- "Q": [ 4398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5733": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2165 ],
- "Q": [ 4399 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5734": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4400 ],
- "Q": [ 1255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5735": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4401 ],
- "Q": [ 1262 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5736": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4402 ],
- "Q": [ 1267 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5737": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4403 ],
- "Q": [ 1272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5738": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4404 ],
- "Q": [ 1277 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5739": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4405 ],
- "Q": [ 1282 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5740": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4406 ],
- "Q": [ 1287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5741": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4407 ],
- "Q": [ 1292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5742": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4408 ],
- "Q": [ 1297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5743": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4409 ],
- "Q": [ 1302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5744": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4410 ],
- "Q": [ 1307 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5745": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4411 ],
- "Q": [ 1312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5746": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4412 ],
- "Q": [ 1317 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5747": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4413 ],
- "Q": [ 1322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5748": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4414 ],
- "Q": [ 1327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5749": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4415 ],
- "Q": [ 1332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5750": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4416 ],
- "Q": [ 1250 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5751": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4417 ],
- "Q": [ 1259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5752": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4418 ],
- "Q": [ 1264 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5753": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4419 ],
- "Q": [ 1269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5754": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4420 ],
- "Q": [ 1274 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5755": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4421 ],
- "Q": [ 1279 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5756": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4422 ],
- "Q": [ 1284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5757": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4423 ],
- "Q": [ 1289 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5758": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4424 ],
- "Q": [ 1294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5759": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4425 ],
- "Q": [ 1299 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5760": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4426 ],
- "Q": [ 1304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5761": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4427 ],
- "Q": [ 1309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5762": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4428 ],
- "Q": [ 1314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5763": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4429 ],
- "Q": [ 1319 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5764": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4430 ],
- "Q": [ 1324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5765": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4431 ],
- "Q": [ 1329 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5766": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4432 ],
- "Q": [ 1254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5767": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4433 ],
- "Q": [ 1261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4434 ],
- "Q": [ 1266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5769": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4435 ],
- "Q": [ 1271 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5770": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4436 ],
- "Q": [ 1276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4437 ],
- "Q": [ 1281 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5772": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4438 ],
- "Q": [ 1286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5773": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4439 ],
- "Q": [ 1291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5774": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4440 ],
- "Q": [ 1296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5775": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4441 ],
- "Q": [ 1301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5776": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4442 ],
- "Q": [ 1306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5777": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4443 ],
- "Q": [ 1311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5778": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4444 ],
- "Q": [ 1316 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5779": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4445 ],
- "Q": [ 1321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5780": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4446 ],
- "Q": [ 1326 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5781": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4447 ],
- "Q": [ 1331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5782": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4448 ],
- "Q": [ 1335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5783": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4449 ],
- "Q": [ 1338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5784": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4450 ],
- "Q": [ 1341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5785": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4451 ],
- "Q": [ 1344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5786": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4452 ],
- "Q": [ 1347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5787": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4453 ],
- "Q": [ 1350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4454 ],
- "Q": [ 1353 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4455 ],
- "Q": [ 1356 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5790": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4456 ],
- "Q": [ 1359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5791": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4457 ],
- "Q": [ 1362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5792": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4458 ],
- "Q": [ 1365 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5793": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4459 ],
- "Q": [ 1368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5794": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4460 ],
- "Q": [ 1371 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4461 ],
- "Q": [ 1374 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4462 ],
- "Q": [ 1377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4463 ],
- "Q": [ 1380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4464 ],
- "Q": [ 1383 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4465 ],
- "Q": [ 1386 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4466 ],
- "Q": [ 1389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4467 ],
- "Q": [ 1392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4468 ],
- "Q": [ 1395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4469 ],
- "Q": [ 1398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4470 ],
- "Q": [ 1401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4471 ],
- "Q": [ 1404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4472 ],
- "Q": [ 1407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4473 ],
- "Q": [ 1410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4474 ],
- "Q": [ 1413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4475 ],
- "Q": [ 1416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4476 ],
- "Q": [ 1419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4477 ],
- "Q": [ 1422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4478 ],
- "Q": [ 1425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4479 ],
- "Q": [ 1428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4480 ],
- "Q": [ 1431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4481 ],
- "Q": [ 1434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4482 ],
- "Q": [ 1437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5817": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4483 ],
- "Q": [ 1440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5818": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4484 ],
- "Q": [ 1443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5819": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4485 ],
- "Q": [ 1446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5820": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4486 ],
- "Q": [ 1449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5821": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4487 ],
- "Q": [ 1452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5822": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4488 ],
- "Q": [ 1455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5823": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4489 ],
- "Q": [ 1458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5824": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4490 ],
- "Q": [ 1461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5825": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4491 ],
- "Q": [ 1464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5826": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4492 ],
- "Q": [ 1467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5827": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4493 ],
- "Q": [ 1470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5828": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4494 ],
- "Q": [ 1473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5829": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4495 ],
- "Q": [ 1476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5830": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4496 ],
- "Q": [ 1479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5831": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4497 ],
- "Q": [ 1482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5832": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4498 ],
- "Q": [ 1485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5833": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4499 ],
- "Q": [ 1488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5834": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4500 ],
- "Q": [ 1491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5835": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4501 ],
- "Q": [ 1494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5836": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4502 ],
- "Q": [ 1497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5837": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4503 ],
- "Q": [ 1500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5838": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4504 ],
- "Q": [ 1503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4505 ],
- "Q": [ 1506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4506 ],
- "Q": [ 1509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4507 ],
- "Q": [ 1512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4508 ],
- "Q": [ 1515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4509 ],
- "Q": [ 1518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4510 ],
- "Q": [ 1521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4511 ],
- "Q": [ 1524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4512 ],
- "Q": [ 1527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4513 ],
- "Q": [ 1530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4514 ],
- "Q": [ 1533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4515 ],
- "Q": [ 1536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4516 ],
- "Q": [ 1539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4517 ],
- "Q": [ 1542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4518 ],
- "Q": [ 1545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4519 ],
- "Q": [ 1548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4520 ],
- "Q": [ 1551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4521 ],
- "Q": [ 1554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4522 ],
- "Q": [ 1557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5857": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4523 ],
- "Q": [ 1560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5858": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4524 ],
- "Q": [ 1563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5859": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4525 ],
- "Q": [ 1566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5860": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4526 ],
- "Q": [ 1569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5861": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4527 ],
- "Q": [ 1572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5862": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4528 ],
- "Q": [ 1575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5863": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4529 ],
- "Q": [ 1578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5864": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4530 ],
- "Q": [ 1581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5865": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4531 ],
- "Q": [ 1584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5866": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4532 ],
- "Q": [ 1587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5867": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4533 ],
- "Q": [ 1590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5868": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4534 ],
- "Q": [ 1593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5869": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4535 ],
- "Q": [ 1596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5870": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4536 ],
- "Q": [ 1599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4537 ],
- "Q": [ 1602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4538 ],
- "Q": [ 1605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4539 ],
- "Q": [ 1608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4540 ],
- "Q": [ 1611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4541 ],
- "Q": [ 1614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4542 ],
- "Q": [ 1617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4543 ],
- "Q": [ 1620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4544 ],
- "Q": [ 1623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4545 ],
- "Q": [ 1626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4546 ],
- "Q": [ 1629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4547 ],
- "Q": [ 1632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4548 ],
- "Q": [ 1635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5883": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4549 ],
- "Q": [ 1638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5884": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4550 ],
- "Q": [ 1641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5885": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4551 ],
- "Q": [ 1644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5886": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4552 ],
- "Q": [ 1647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5887": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4553 ],
- "Q": [ 1650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5888": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4554 ],
- "Q": [ 1653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5889": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4555 ],
- "Q": [ 1656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5890": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4556 ],
- "Q": [ 1659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5891": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4557 ],
- "Q": [ 1662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4558 ],
- "Q": [ 1665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4559 ],
- "Q": [ 1668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4560 ],
- "Q": [ 1671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4561 ],
- "Q": [ 1674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4562 ],
- "Q": [ 1677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4563 ],
- "Q": [ 1680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4564 ],
- "Q": [ 1683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4565 ],
- "Q": [ 1686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4566 ],
- "Q": [ 1689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4567 ],
- "Q": [ 1692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4568 ],
- "Q": [ 1695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4569 ],
- "Q": [ 1698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4570 ],
- "Q": [ 1701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4571 ],
- "Q": [ 1704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4572 ],
- "Q": [ 1707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4573 ],
- "Q": [ 1710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5908": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4574 ],
- "Q": [ 1713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5909": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4575 ],
- "Q": [ 1716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5910": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4576 ],
- "Q": [ 1719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5911": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4577 ],
- "Q": [ 1722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5912": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4578 ],
- "Q": [ 1725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5913": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4579 ],
- "Q": [ 1728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5914": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4580 ],
- "Q": [ 1731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5915": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4581 ],
- "Q": [ 1734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5916": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4582 ],
- "Q": [ 1737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5917": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4583 ],
- "Q": [ 1740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5918": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4584 ],
- "Q": [ 1743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5919": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4585 ],
- "Q": [ 1746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5920": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4586 ],
- "Q": [ 1749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5921": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4587 ],
- "Q": [ 1752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5922": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4588 ],
- "Q": [ 1755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5923": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4589 ],
- "Q": [ 1758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5924": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4590 ],
- "Q": [ 1761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5925": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4591 ],
- "Q": [ 1764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5926": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4592 ],
- "Q": [ 1767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5927": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4593 ],
- "Q": [ 1770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5928": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4594 ],
- "Q": [ 1773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5929": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4595 ],
- "Q": [ 1776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5930": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4596 ],
- "Q": [ 1779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5931": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4597 ],
- "Q": [ 1782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5932": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4598 ],
- "Q": [ 1785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5933": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4599 ],
- "Q": [ 1788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5934": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4600 ],
- "Q": [ 1791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5935": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4601 ],
- "Q": [ 1794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5936": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4602 ],
- "Q": [ 1797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5937": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4603 ],
- "Q": [ 1800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5938": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4604 ],
- "Q": [ 1803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5939": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4605 ],
- "Q": [ 1806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5940": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4606 ],
- "Q": [ 1809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5941": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4607 ],
- "Q": [ 1812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5942": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4608 ],
- "Q": [ 1815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5943": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4609 ],
- "Q": [ 1818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5944": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4610 ],
- "Q": [ 1821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5945": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4611 ],
- "Q": [ 1824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5946": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4612 ],
- "Q": [ 1827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5947": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4613 ],
- "Q": [ 1830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5948": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4614 ],
- "Q": [ 1833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5949": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4615 ],
- "Q": [ 1836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5950": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4616 ],
- "Q": [ 1839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5951": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4617 ],
- "Q": [ 1842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5952": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4618 ],
- "Q": [ 1845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5953": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4619 ],
- "Q": [ 1848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5954": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4620 ],
- "Q": [ 1851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5955": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4621 ],
- "Q": [ 1854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5956": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4622 ],
- "Q": [ 1857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5957": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4623 ],
- "Q": [ 1860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5958": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4624 ],
- "Q": [ 1863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5959": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4625 ],
- "Q": [ 1866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5960": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4626 ],
- "Q": [ 1869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5961": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4627 ],
- "Q": [ 1872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5962": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4628 ],
- "Q": [ 1875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5963": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4629 ],
- "Q": [ 1878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5964": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4630 ],
- "Q": [ 1881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5965": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4631 ],
- "Q": [ 1884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5966": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4632 ],
- "Q": [ 1887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5967": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4633 ],
- "Q": [ 1890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5968": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4634 ],
- "Q": [ 1893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5969": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4635 ],
- "Q": [ 1896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5970": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4636 ],
- "Q": [ 1899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4637 ],
- "Q": [ 1902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5972": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4638 ],
- "Q": [ 1905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5973": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4639 ],
- "Q": [ 1908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5974": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4640 ],
- "Q": [ 1911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5975": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4641 ],
- "Q": [ 1914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5976": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4642 ],
- "Q": [ 1917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5977": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4643 ],
- "Q": [ 1920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5978": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4644 ],
- "Q": [ 1923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5979": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4645 ],
- "Q": [ 1926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5980": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4646 ],
- "Q": [ 1929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5981": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4647 ],
- "Q": [ 1932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5982": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4648 ],
- "Q": [ 1935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5983": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4649 ],
- "Q": [ 1938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5984": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4650 ],
- "Q": [ 1941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5985": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4651 ],
- "Q": [ 1944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5986": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4652 ],
- "Q": [ 1947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5987": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4653 ],
- "Q": [ 1950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5988": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4654 ],
- "Q": [ 1953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5989": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4655 ],
- "Q": [ 1956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5990": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4656 ],
- "Q": [ 1959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5991": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4657 ],
- "Q": [ 1962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5992": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4658 ],
- "Q": [ 1965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5993": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4659 ],
- "Q": [ 1968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5994": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4660 ],
- "Q": [ 1971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5995": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4661 ],
- "Q": [ 1974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5996": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4662 ],
- "Q": [ 1977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5997": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4663 ],
- "Q": [ 1980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5998": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4664 ],
- "Q": [ 1983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5999": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4665 ],
- "Q": [ 1986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6000": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4666 ],
- "Q": [ 1989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6001": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4667 ],
- "Q": [ 1992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4668 ],
- "Q": [ 1995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4669 ],
- "Q": [ 1998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4670 ],
- "Q": [ 2001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4671 ],
- "Q": [ 2004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4672 ],
- "Q": [ 2007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4673 ],
- "Q": [ 2010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4674 ],
- "Q": [ 2013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4675 ],
- "Q": [ 2016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6010": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4676 ],
- "Q": [ 2019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6011": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4677 ],
- "Q": [ 2022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6012": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4678 ],
- "Q": [ 2025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6013": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4679 ],
- "Q": [ 2028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6014": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4680 ],
- "Q": [ 2031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6015": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4681 ],
- "Q": [ 2034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4682 ],
- "Q": [ 2037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4683 ],
- "Q": [ 2040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4684 ],
- "Q": [ 2043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4685 ],
- "Q": [ 2046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6020": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4686 ],
- "Q": [ 2049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4687 ],
- "Q": [ 2052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6022": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4688 ],
- "Q": [ 2055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6023": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4689 ],
- "Q": [ 2058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6024": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4690 ],
- "Q": [ 2061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6025": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4691 ],
- "Q": [ 2064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6026": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4692 ],
- "Q": [ 2067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4693 ],
- "Q": [ 2070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4694 ],
- "Q": [ 2073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4695 ],
- "Q": [ 2076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4696 ],
- "Q": [ 2079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4697 ],
- "Q": [ 2082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4698 ],
- "Q": [ 2085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4699 ],
- "Q": [ 2088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4700 ],
- "Q": [ 2091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6035": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4701 ],
- "Q": [ 2094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4702 ],
- "Q": [ 2097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6037": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4703 ],
- "Q": [ 2100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6038": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4704 ],
- "Q": [ 2103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6039": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4705 ],
- "Q": [ 2106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6040": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4706 ],
- "Q": [ 2109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6041": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4707 ],
- "Q": [ 2112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6042": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4708 ],
- "Q": [ 2115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6043": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4709 ],
- "Q": [ 2118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6044": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4710 ],
- "Q": [ 2121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6045": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4711 ],
- "Q": [ 2124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6046": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4712 ],
- "Q": [ 2127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6047": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4713 ],
- "Q": [ 2130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6048": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4714 ],
- "Q": [ 2133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6049": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4715 ],
- "Q": [ 2136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6050": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4716 ],
- "Q": [ 2139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6051": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4717 ],
- "Q": [ 2142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6052": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4718 ],
- "Q": [ 2145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6053": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4719 ],
- "Q": [ 2148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6054": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4720 ],
- "Q": [ 4400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6055": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4721 ],
- "Q": [ 4401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6056": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4722 ],
- "Q": [ 4402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6057": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4723 ],
- "Q": [ 4403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6058": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4724 ],
- "Q": [ 4404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4725 ],
- "Q": [ 4405 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4726 ],
- "Q": [ 4406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4727 ],
- "Q": [ 4407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6062": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4728 ],
- "Q": [ 4408 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6063": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4729 ],
- "Q": [ 4409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6064": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4730 ],
- "Q": [ 4410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6065": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4731 ],
- "Q": [ 4411 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6066": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4732 ],
- "Q": [ 4412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6067": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4733 ],
- "Q": [ 4413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6068": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4734 ],
- "Q": [ 4414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6069": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4735 ],
- "Q": [ 4415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6070": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4736 ],
- "Q": [ 4416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6071": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4737 ],
- "Q": [ 4417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6072": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4738 ],
- "Q": [ 4418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6073": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4739 ],
- "Q": [ 4419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6074": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4740 ],
- "Q": [ 4420 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6075": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4741 ],
- "Q": [ 4421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6076": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4742 ],
- "Q": [ 4422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6077": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4743 ],
- "Q": [ 4423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6078": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4744 ],
- "Q": [ 4424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6079": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4745 ],
- "Q": [ 4425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6080": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4746 ],
- "Q": [ 4426 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6081": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4747 ],
- "Q": [ 4427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6082": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4748 ],
- "Q": [ 4428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6083": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4749 ],
- "Q": [ 4429 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6084": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4750 ],
- "Q": [ 4430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6085": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4751 ],
- "Q": [ 4431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6086": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4752 ],
- "Q": [ 4432 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6087": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4753 ],
- "Q": [ 4433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6088": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4754 ],
- "Q": [ 4434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6089": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4755 ],
- "Q": [ 4435 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6090": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4756 ],
- "Q": [ 4436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6091": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4757 ],
- "Q": [ 4437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6092": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4758 ],
- "Q": [ 4438 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6093": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4759 ],
- "Q": [ 4439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6094": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4760 ],
- "Q": [ 4440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6095": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4761 ],
- "Q": [ 4441 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6096": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4762 ],
- "Q": [ 4442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6097": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4763 ],
- "Q": [ 4443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6098": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4764 ],
- "Q": [ 4444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6099": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4765 ],
- "Q": [ 4445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6100": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4766 ],
- "Q": [ 4446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6101": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4767 ],
- "Q": [ 4447 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6102": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4768 ],
- "Q": [ 4448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6103": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4769 ],
- "Q": [ 4449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6104": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4770 ],
- "Q": [ 4450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6105": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4771 ],
- "Q": [ 4451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6106": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4772 ],
- "Q": [ 4452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6107": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4773 ],
- "Q": [ 4453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6108": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4774 ],
- "Q": [ 4454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6109": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4775 ],
- "Q": [ 4455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6110": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4776 ],
- "Q": [ 4456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6111": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4777 ],
- "Q": [ 4457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6112": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4778 ],
- "Q": [ 4458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6113": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4779 ],
- "Q": [ 4459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6114": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4780 ],
- "Q": [ 4460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6115": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4781 ],
- "Q": [ 4461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6116": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4782 ],
- "Q": [ 4462 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6117": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4783 ],
- "Q": [ 4463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6118": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4784 ],
- "Q": [ 4464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6119": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4785 ],
- "Q": [ 4465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6120": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4786 ],
- "Q": [ 4466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6121": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4787 ],
- "Q": [ 4467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6122": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4788 ],
- "Q": [ 4468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6123": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4789 ],
- "Q": [ 4469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6124": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4790 ],
- "Q": [ 4470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6125": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4791 ],
- "Q": [ 4471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6126": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4792 ],
- "Q": [ 4472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6127": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4793 ],
- "Q": [ 4473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6128": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4794 ],
- "Q": [ 4474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6129": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4795 ],
- "Q": [ 4475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6130": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4796 ],
- "Q": [ 4476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6131": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4797 ],
- "Q": [ 4477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6132": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4798 ],
- "Q": [ 4478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6133": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4799 ],
- "Q": [ 4479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6134": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4800 ],
- "Q": [ 4480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6135": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4801 ],
- "Q": [ 4481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6136": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4802 ],
- "Q": [ 4482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6137": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4803 ],
- "Q": [ 4483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6138": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4804 ],
- "Q": [ 4484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4805 ],
- "Q": [ 4485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4806 ],
- "Q": [ 4486 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4807 ],
- "Q": [ 4487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4808 ],
- "Q": [ 4488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6143": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4809 ],
- "Q": [ 4489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4810 ],
- "Q": [ 4490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4811 ],
- "Q": [ 4491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6146": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4812 ],
- "Q": [ 4492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4813 ],
- "Q": [ 4493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4814 ],
- "Q": [ 4494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4815 ],
- "Q": [ 4495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4816 ],
- "Q": [ 4496 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4817 ],
- "Q": [ 4497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4818 ],
- "Q": [ 4498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4819 ],
- "Q": [ 4499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6154": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4820 ],
- "Q": [ 4500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6155": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4821 ],
- "Q": [ 4501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4822 ],
- "Q": [ 4502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6157": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4823 ],
- "Q": [ 4503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6158": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4824 ],
- "Q": [ 4504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6159": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4825 ],
- "Q": [ 4505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4826 ],
- "Q": [ 4506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4827 ],
- "Q": [ 4507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4828 ],
- "Q": [ 4508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4829 ],
- "Q": [ 4509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4830 ],
- "Q": [ 4510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4831 ],
- "Q": [ 4511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6166": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4832 ],
- "Q": [ 4512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6167": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4833 ],
- "Q": [ 4513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6168": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4834 ],
- "Q": [ 4514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6169": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4835 ],
- "Q": [ 4515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6170": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4836 ],
- "Q": [ 4516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6171": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4837 ],
- "Q": [ 4517 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6172": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4838 ],
- "Q": [ 4518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6173": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4839 ],
- "Q": [ 4519 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6174": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4840 ],
- "Q": [ 4520 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6175": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4841 ],
- "Q": [ 4521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6176": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4842 ],
- "Q": [ 4522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6177": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4843 ],
- "Q": [ 4523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4844 ],
- "Q": [ 4524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4845 ],
- "Q": [ 4525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4846 ],
- "Q": [ 4526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4847 ],
- "Q": [ 4527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4848 ],
- "Q": [ 4528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4849 ],
- "Q": [ 4529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4850 ],
- "Q": [ 4530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4851 ],
- "Q": [ 4531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4852 ],
- "Q": [ 4532 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4853 ],
- "Q": [ 4533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4854 ],
- "Q": [ 4534 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4855 ],
- "Q": [ 4535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4856 ],
- "Q": [ 4536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4857 ],
- "Q": [ 4537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4858 ],
- "Q": [ 4538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4859 ],
- "Q": [ 4539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4860 ],
- "Q": [ 4540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4861 ],
- "Q": [ 4541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4862 ],
- "Q": [ 4542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4863 ],
- "Q": [ 4543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4864 ],
- "Q": [ 4544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4865 ],
- "Q": [ 4545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4866 ],
- "Q": [ 4546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4867 ],
- "Q": [ 4547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4868 ],
- "Q": [ 4548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4869 ],
- "Q": [ 4549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4870 ],
- "Q": [ 4550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4871 ],
- "Q": [ 4551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4872 ],
- "Q": [ 4552 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4873 ],
- "Q": [ 4553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4874 ],
- "Q": [ 4554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4875 ],
- "Q": [ 4555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4876 ],
- "Q": [ 4556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4877 ],
- "Q": [ 4557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4878 ],
- "Q": [ 4558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4879 ],
- "Q": [ 4559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4880 ],
- "Q": [ 4560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4881 ],
- "Q": [ 4561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4882 ],
- "Q": [ 4562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4883 ],
- "Q": [ 4563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4884 ],
- "Q": [ 4564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4885 ],
- "Q": [ 4565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4886 ],
- "Q": [ 4566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4887 ],
- "Q": [ 4567 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4888 ],
- "Q": [ 4568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4889 ],
- "Q": [ 4569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4890 ],
- "Q": [ 4570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4891 ],
- "Q": [ 4571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6226": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4892 ],
- "Q": [ 4572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6227": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4893 ],
- "Q": [ 4573 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6228": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4894 ],
- "Q": [ 4574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6229": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4895 ],
- "Q": [ 4575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6230": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4896 ],
- "Q": [ 4576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6231": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4897 ],
- "Q": [ 4577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6232": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4898 ],
- "Q": [ 4578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6233": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4899 ],
- "Q": [ 4579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6234": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4900 ],
- "Q": [ 4580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6235": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4901 ],
- "Q": [ 4581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6236": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4902 ],
- "Q": [ 4582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6237": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4903 ],
- "Q": [ 4583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6238": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4904 ],
- "Q": [ 4584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6239": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4905 ],
- "Q": [ 4585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4906 ],
- "Q": [ 4586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4907 ],
- "Q": [ 4587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4908 ],
- "Q": [ 4588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4909 ],
- "Q": [ 4589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4910 ],
- "Q": [ 4590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4911 ],
- "Q": [ 4591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4912 ],
- "Q": [ 4592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4913 ],
- "Q": [ 4593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4914 ],
- "Q": [ 4594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6249": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4915 ],
- "Q": [ 4595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4916 ],
- "Q": [ 4596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4917 ],
- "Q": [ 4597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4918 ],
- "Q": [ 4598 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4919 ],
- "Q": [ 4599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4920 ],
- "Q": [ 4600 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4921 ],
- "Q": [ 4601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4922 ],
- "Q": [ 4602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4923 ],
- "Q": [ 4603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4924 ],
- "Q": [ 4604 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4925 ],
- "Q": [ 4605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4926 ],
- "Q": [ 4606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4927 ],
- "Q": [ 4607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4928 ],
- "Q": [ 4608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4929 ],
- "Q": [ 4609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4930 ],
- "Q": [ 4610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4931 ],
- "Q": [ 4611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4932 ],
- "Q": [ 4612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4933 ],
- "Q": [ 4613 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4934 ],
- "Q": [ 4614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4935 ],
- "Q": [ 4615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4936 ],
- "Q": [ 4616 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4937 ],
- "Q": [ 4617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4938 ],
- "Q": [ 4618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4939 ],
- "Q": [ 4619 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4940 ],
- "Q": [ 4620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4941 ],
- "Q": [ 4621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4942 ],
- "Q": [ 4622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4943 ],
- "Q": [ 4623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4944 ],
- "Q": [ 4624 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4945 ],
- "Q": [ 4625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4946 ],
- "Q": [ 4626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4947 ],
- "Q": [ 4627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4948 ],
- "Q": [ 4628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4949 ],
- "Q": [ 4629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4950 ],
- "Q": [ 4630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4951 ],
- "Q": [ 4631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4952 ],
- "Q": [ 4632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4953 ],
- "Q": [ 4633 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4954 ],
- "Q": [ 4634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4955 ],
- "Q": [ 4635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4956 ],
- "Q": [ 4636 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6291": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4957 ],
- "Q": [ 4637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6292": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4958 ],
- "Q": [ 4638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6293": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4959 ],
- "Q": [ 4639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6294": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4960 ],
- "Q": [ 4640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6295": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4961 ],
- "Q": [ 4641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6296": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4962 ],
- "Q": [ 4642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6297": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4963 ],
- "Q": [ 4643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6298": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4964 ],
- "Q": [ 4644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6299": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4965 ],
- "Q": [ 4645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6300": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4966 ],
- "Q": [ 4646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4967 ],
- "Q": [ 4647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6302": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4968 ],
- "Q": [ 4648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6303": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4969 ],
- "Q": [ 4649 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6304": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4970 ],
- "Q": [ 4650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6305": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4971 ],
- "Q": [ 4651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6306": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4972 ],
- "Q": [ 4652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6307": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4973 ],
- "Q": [ 4653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6308": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4974 ],
- "Q": [ 4654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6309": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4975 ],
- "Q": [ 4655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6310": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4976 ],
- "Q": [ 4656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6311": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4977 ],
- "Q": [ 4657 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6312": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4978 ],
- "Q": [ 4658 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6313": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4979 ],
- "Q": [ 4659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6314": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4980 ],
- "Q": [ 4660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6315": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4981 ],
- "Q": [ 4661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6316": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4982 ],
- "Q": [ 4662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6317": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4983 ],
- "Q": [ 4663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6318": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4984 ],
- "Q": [ 4664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6319": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4985 ],
- "Q": [ 4665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6320": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4986 ],
- "Q": [ 4666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6321": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4987 ],
- "Q": [ 4667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6322": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4988 ],
- "Q": [ 4668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6323": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4989 ],
- "Q": [ 4669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6324": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4990 ],
- "Q": [ 4670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6325": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4991 ],
- "Q": [ 4671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6326": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4992 ],
- "Q": [ 4672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6327": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4993 ],
- "Q": [ 4673 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6328": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4994 ],
- "Q": [ 4674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6329": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4995 ],
- "Q": [ 4675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6330": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4996 ],
- "Q": [ 4676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6331": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4997 ],
- "Q": [ 4677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6332": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4998 ],
- "Q": [ 4678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6333": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4999 ],
- "Q": [ 4679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6334": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5000 ],
- "Q": [ 4680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6335": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5001 ],
- "Q": [ 4681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6336": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5002 ],
- "Q": [ 4682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5003 ],
- "Q": [ 4683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5004 ],
- "Q": [ 4684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5005 ],
- "Q": [ 4685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5006 ],
- "Q": [ 4686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5007 ],
- "Q": [ 4687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5008 ],
- "Q": [ 4688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5009 ],
- "Q": [ 4689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5010 ],
- "Q": [ 4690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5011 ],
- "Q": [ 4691 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5012 ],
- "Q": [ 4692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5013 ],
- "Q": [ 4693 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5014 ],
- "Q": [ 4694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5015 ],
- "Q": [ 4695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5016 ],
- "Q": [ 4696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5017 ],
- "Q": [ 4697 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5018 ],
- "Q": [ 4698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5019 ],
- "Q": [ 4699 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6354": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5020 ],
- "Q": [ 4700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6355": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5021 ],
- "Q": [ 4701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6356": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5022 ],
- "Q": [ 4702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6357": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5023 ],
- "Q": [ 4703 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6358": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5024 ],
- "Q": [ 4704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6359": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5025 ],
- "Q": [ 4705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5026 ],
- "Q": [ 4706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6361": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5027 ],
- "Q": [ 4707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6362": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5028 ],
- "Q": [ 4708 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6363": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5029 ],
- "Q": [ 4709 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6364": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5030 ],
- "Q": [ 4710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6365": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5031 ],
- "Q": [ 4711 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6366": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5032 ],
- "Q": [ 4712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6367": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5033 ],
- "Q": [ 4713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6368": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5034 ],
- "Q": [ 4714 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6369": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5035 ],
- "Q": [ 4715 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6370": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5036 ],
- "Q": [ 4716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6371": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5037 ],
- "Q": [ 4717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6372": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5038 ],
- "Q": [ 4718 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6373": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5039 ],
- "Q": [ 4719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5040 ],
- "Q": [ 4720 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6375": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5041 ],
- "Q": [ 4721 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6376": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5042 ],
- "Q": [ 4722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6377": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5043 ],
- "Q": [ 4723 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6378": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5044 ],
- "Q": [ 4724 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6379": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5045 ],
- "Q": [ 4725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5046 ],
- "Q": [ 4726 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5047 ],
- "Q": [ 4727 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5048 ],
- "Q": [ 4728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5049 ],
- "Q": [ 4729 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5050 ],
- "Q": [ 4730 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5051 ],
- "Q": [ 4731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5052 ],
- "Q": [ 4732 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5053 ],
- "Q": [ 4733 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5054 ],
- "Q": [ 4734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5055 ],
- "Q": [ 4735 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4096 ],
- "Q": [ 4736 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4097 ],
- "Q": [ 4737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4098 ],
- "Q": [ 4738 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4099 ],
- "Q": [ 4739 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4100 ],
- "Q": [ 4740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4101 ],
- "Q": [ 4741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4102 ],
- "Q": [ 4742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4103 ],
- "Q": [ 4743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4104 ],
- "Q": [ 4744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4105 ],
- "Q": [ 4745 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4106 ],
- "Q": [ 4746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6401": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4107 ],
- "Q": [ 4747 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6402": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4108 ],
- "Q": [ 4748 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6403": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4109 ],
- "Q": [ 4749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6404": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4110 ],
- "Q": [ 4750 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6405": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4111 ],
- "Q": [ 4751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6406": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4112 ],
- "Q": [ 4752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6407": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4113 ],
- "Q": [ 4753 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4114 ],
- "Q": [ 4754 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4115 ],
- "Q": [ 4755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4116 ],
- "Q": [ 4756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4117 ],
- "Q": [ 4757 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4118 ],
- "Q": [ 4758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4119 ],
- "Q": [ 4759 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4120 ],
- "Q": [ 4760 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4121 ],
- "Q": [ 4761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4122 ],
- "Q": [ 4762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4123 ],
- "Q": [ 4763 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6418": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4124 ],
- "Q": [ 4764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6419": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4125 ],
- "Q": [ 4765 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6420": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4126 ],
- "Q": [ 4766 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6421": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4127 ],
- "Q": [ 4767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6422": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4128 ],
- "Q": [ 4768 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6423": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4129 ],
- "Q": [ 4769 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6424": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4130 ],
- "Q": [ 4770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6425": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4131 ],
- "Q": [ 4771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6426": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4132 ],
- "Q": [ 4772 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6427": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4133 ],
- "Q": [ 4773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6428": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4134 ],
- "Q": [ 4774 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6429": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4135 ],
- "Q": [ 4775 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6430": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4136 ],
- "Q": [ 4776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6431": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4137 ],
- "Q": [ 4777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6432": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4138 ],
- "Q": [ 4778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6433": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4139 ],
- "Q": [ 4779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6434": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4140 ],
- "Q": [ 4780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6435": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4141 ],
- "Q": [ 4781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6436": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4142 ],
- "Q": [ 4782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6437": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4143 ],
- "Q": [ 4783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6438": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4144 ],
- "Q": [ 4784 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6439": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4145 ],
- "Q": [ 4785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6440": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4146 ],
- "Q": [ 4786 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6441": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4147 ],
- "Q": [ 4787 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4148 ],
- "Q": [ 4788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4149 ],
- "Q": [ 4789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6444": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4150 ],
- "Q": [ 4790 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4151 ],
- "Q": [ 4791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6446": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4152 ],
- "Q": [ 4792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6447": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4153 ],
- "Q": [ 4793 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6448": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4154 ],
- "Q": [ 4794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6449": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4155 ],
- "Q": [ 4795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6450": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4156 ],
- "Q": [ 4796 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6451": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4157 ],
- "Q": [ 4797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6452": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4158 ],
- "Q": [ 4798 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6453": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4159 ],
- "Q": [ 4799 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6454": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4160 ],
- "Q": [ 4800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6455": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4161 ],
- "Q": [ 4801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6456": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4162 ],
- "Q": [ 4802 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6457": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4163 ],
- "Q": [ 4803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6458": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4164 ],
- "Q": [ 4804 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4165 ],
- "Q": [ 4805 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6460": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4166 ],
- "Q": [ 4806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6461": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4167 ],
- "Q": [ 4807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4168 ],
- "Q": [ 4808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4169 ],
- "Q": [ 4809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6464": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4170 ],
- "Q": [ 4810 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6465": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4171 ],
- "Q": [ 4811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4172 ],
- "Q": [ 4812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6467": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4173 ],
- "Q": [ 4813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6468": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4174 ],
- "Q": [ 4814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6469": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4175 ],
- "Q": [ 4815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6470": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4176 ],
- "Q": [ 4816 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6471": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4177 ],
- "Q": [ 4817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6472": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4178 ],
- "Q": [ 4818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6473": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4179 ],
- "Q": [ 4819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6474": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4180 ],
- "Q": [ 4820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6475": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4181 ],
- "Q": [ 4821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6476": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4182 ],
- "Q": [ 4822 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6477": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4183 ],
- "Q": [ 4823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6478": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4184 ],
- "Q": [ 4824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6479": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4185 ],
- "Q": [ 4825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6480": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4186 ],
- "Q": [ 4826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6481": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4187 ],
- "Q": [ 4827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6482": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4188 ],
- "Q": [ 4828 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6483": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4189 ],
- "Q": [ 4829 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6484": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4190 ],
- "Q": [ 4830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6485": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4191 ],
- "Q": [ 4831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6486": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4192 ],
- "Q": [ 4832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6487": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4193 ],
- "Q": [ 4833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6488": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4194 ],
- "Q": [ 4834 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6489": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4195 ],
- "Q": [ 4835 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6490": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4196 ],
- "Q": [ 4836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6491": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4197 ],
- "Q": [ 4837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4198 ],
- "Q": [ 4838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4199 ],
- "Q": [ 4839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6494": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4200 ],
- "Q": [ 4840 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4201 ],
- "Q": [ 4841 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4202 ],
- "Q": [ 4842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6497": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4203 ],
- "Q": [ 4843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6498": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4204 ],
- "Q": [ 4844 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6499": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4205 ],
- "Q": [ 4845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6500": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4206 ],
- "Q": [ 4846 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6501": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4207 ],
- "Q": [ 4847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6502": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4208 ],
- "Q": [ 4848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6503": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4209 ],
- "Q": [ 4849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6504": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4210 ],
- "Q": [ 4850 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6505": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4211 ],
- "Q": [ 4851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6506": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4212 ],
- "Q": [ 4852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6507": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4213 ],
- "Q": [ 4853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6508": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4214 ],
- "Q": [ 4854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6509": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4215 ],
- "Q": [ 4855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6510": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4216 ],
- "Q": [ 4856 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6511": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4217 ],
- "Q": [ 4857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6512": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4218 ],
- "Q": [ 4858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6513": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4219 ],
- "Q": [ 4859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6514": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4220 ],
- "Q": [ 4860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6515": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4221 ],
- "Q": [ 4861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6516": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4222 ],
- "Q": [ 4862 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6517": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4223 ],
- "Q": [ 4863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6518": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4224 ],
- "Q": [ 4864 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6519": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4225 ],
- "Q": [ 4865 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4226 ],
- "Q": [ 4866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4227 ],
- "Q": [ 4867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4228 ],
- "Q": [ 4868 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4229 ],
- "Q": [ 4869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4230 ],
- "Q": [ 4870 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4231 ],
- "Q": [ 4871 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4232 ],
- "Q": [ 4872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4233 ],
- "Q": [ 4873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4234 ],
- "Q": [ 4874 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4235 ],
- "Q": [ 4875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4236 ],
- "Q": [ 4876 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4237 ],
- "Q": [ 4877 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4238 ],
- "Q": [ 4878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4239 ],
- "Q": [ 4879 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4240 ],
- "Q": [ 4880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4241 ],
- "Q": [ 4881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4242 ],
- "Q": [ 4882 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4243 ],
- "Q": [ 4883 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4244 ],
- "Q": [ 4884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4245 ],
- "Q": [ 4885 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4246 ],
- "Q": [ 4886 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4247 ],
- "Q": [ 4887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4248 ],
- "Q": [ 4888 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4249 ],
- "Q": [ 4889 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4250 ],
- "Q": [ 4890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4251 ],
- "Q": [ 4891 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4252 ],
- "Q": [ 4892 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4253 ],
- "Q": [ 4893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4254 ],
- "Q": [ 4894 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4255 ],
- "Q": [ 4895 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4256 ],
- "Q": [ 4896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4257 ],
- "Q": [ 4897 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4258 ],
- "Q": [ 4898 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4259 ],
- "Q": [ 4899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4260 ],
- "Q": [ 4900 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6555": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4261 ],
- "Q": [ 4901 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6556": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4262 ],
- "Q": [ 4902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6557": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4263 ],
- "Q": [ 4903 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6558": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4264 ],
- "Q": [ 4904 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6559": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4265 ],
- "Q": [ 4905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6560": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4266 ],
- "Q": [ 4906 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6561": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4267 ],
- "Q": [ 4907 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6562": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4268 ],
- "Q": [ 4908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6563": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4269 ],
- "Q": [ 4909 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6564": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4270 ],
- "Q": [ 4910 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4271 ],
- "Q": [ 4911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4272 ],
- "Q": [ 4912 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4273 ],
- "Q": [ 4913 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4274 ],
- "Q": [ 4914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4275 ],
- "Q": [ 4915 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4276 ],
- "Q": [ 4916 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4277 ],
- "Q": [ 4917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4278 ],
- "Q": [ 4918 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4279 ],
- "Q": [ 4919 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4280 ],
- "Q": [ 4920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4281 ],
- "Q": [ 4921 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4282 ],
- "Q": [ 4922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4283 ],
- "Q": [ 4923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4284 ],
- "Q": [ 4924 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4285 ],
- "Q": [ 4925 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4286 ],
- "Q": [ 4926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4287 ],
- "Q": [ 4927 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4288 ],
- "Q": [ 4928 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4289 ],
- "Q": [ 4929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4290 ],
- "Q": [ 4930 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4291 ],
- "Q": [ 4931 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4292 ],
- "Q": [ 4932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4293 ],
- "Q": [ 4933 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4294 ],
- "Q": [ 4934 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4295 ],
- "Q": [ 4935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4296 ],
- "Q": [ 4936 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4297 ],
- "Q": [ 4937 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4298 ],
- "Q": [ 4938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4299 ],
- "Q": [ 4939 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4300 ],
- "Q": [ 4940 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4301 ],
- "Q": [ 4941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4302 ],
- "Q": [ 4942 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6597": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4303 ],
- "Q": [ 4943 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6598": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4304 ],
- "Q": [ 4944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6599": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4305 ],
- "Q": [ 4945 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4306 ],
- "Q": [ 4946 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4307 ],
- "Q": [ 4947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6602": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4308 ],
- "Q": [ 4948 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6603": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4309 ],
- "Q": [ 4949 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6604": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4310 ],
- "Q": [ 4950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6605": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4311 ],
- "Q": [ 4951 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6606": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4312 ],
- "Q": [ 4952 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6607": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4313 ],
- "Q": [ 4953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4314 ],
- "Q": [ 4954 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4315 ],
- "Q": [ 4955 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4316 ],
- "Q": [ 4956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4317 ],
- "Q": [ 4957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4318 ],
- "Q": [ 4958 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4319 ],
- "Q": [ 4959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4320 ],
- "Q": [ 4960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4321 ],
- "Q": [ 4961 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4322 ],
- "Q": [ 4962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4323 ],
- "Q": [ 4963 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4324 ],
- "Q": [ 4964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4325 ],
- "Q": [ 4965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4326 ],
- "Q": [ 4966 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4327 ],
- "Q": [ 4967 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4328 ],
- "Q": [ 4968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4329 ],
- "Q": [ 4969 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4330 ],
- "Q": [ 4970 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4331 ],
- "Q": [ 4971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4332 ],
- "Q": [ 4972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4333 ],
- "Q": [ 4973 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4334 ],
- "Q": [ 4974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4335 ],
- "Q": [ 4975 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4336 ],
- "Q": [ 4976 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4337 ],
- "Q": [ 4977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4338 ],
- "Q": [ 4978 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4339 ],
- "Q": [ 4979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4340 ],
- "Q": [ 4980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6635": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4341 ],
- "Q": [ 4981 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6636": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4342 ],
- "Q": [ 4982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6637": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4343 ],
- "Q": [ 4983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6638": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4344 ],
- "Q": [ 4984 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6639": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4345 ],
- "Q": [ 4985 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6640": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4346 ],
- "Q": [ 4986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6641": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4347 ],
- "Q": [ 4987 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6642": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4348 ],
- "Q": [ 4988 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6643": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4349 ],
- "Q": [ 4989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6644": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4350 ],
- "Q": [ 4990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6645": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4351 ],
- "Q": [ 4991 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6646": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4352 ],
- "Q": [ 4992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6647": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4353 ],
- "Q": [ 4993 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6648": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4354 ],
- "Q": [ 4994 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6649": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4355 ],
- "Q": [ 4995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6650": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4356 ],
- "Q": [ 4996 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6651": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4357 ],
- "Q": [ 4997 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6652": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4358 ],
- "Q": [ 4998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6653": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4359 ],
- "Q": [ 4999 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6654": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4360 ],
- "Q": [ 5000 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6655": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4361 ],
- "Q": [ 5001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6656": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4362 ],
- "Q": [ 5002 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6657": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4363 ],
- "Q": [ 5003 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6658": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4364 ],
- "Q": [ 5004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6659": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4365 ],
- "Q": [ 5005 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6660": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4366 ],
- "Q": [ 5006 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6661": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4367 ],
- "Q": [ 5007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6662": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4368 ],
- "Q": [ 5008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6663": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4369 ],
- "Q": [ 5009 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6664": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4370 ],
- "Q": [ 5010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6665": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4371 ],
- "Q": [ 5011 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6666": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4372 ],
- "Q": [ 5012 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6667": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4373 ],
- "Q": [ 5013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4374 ],
- "Q": [ 5014 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4375 ],
- "Q": [ 5015 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4376 ],
- "Q": [ 5016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4377 ],
- "Q": [ 5017 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4378 ],
- "Q": [ 5018 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4379 ],
- "Q": [ 5019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4380 ],
- "Q": [ 5020 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4381 ],
- "Q": [ 5021 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4382 ],
- "Q": [ 5022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4383 ],
- "Q": [ 5023 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4384 ],
- "Q": [ 5024 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4385 ],
- "Q": [ 5025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4386 ],
- "Q": [ 5026 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4387 ],
- "Q": [ 5027 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4388 ],
- "Q": [ 5028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6683": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4389 ],
- "Q": [ 5029 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6684": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4390 ],
- "Q": [ 5030 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6685": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4391 ],
- "Q": [ 5031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6686": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4392 ],
- "Q": [ 5032 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6687": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4393 ],
- "Q": [ 5033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6688": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4394 ],
- "Q": [ 5034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6689": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4395 ],
- "Q": [ 5035 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6690": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4396 ],
- "Q": [ 5036 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6691": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4397 ],
- "Q": [ 5037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6692": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4398 ],
- "Q": [ 5038 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6693": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4399 ],
- "Q": [ 5039 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2168 ],
- "Q": [ 5040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2173 ],
- "Q": [ 5041 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2177 ],
- "Q": [ 5042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2182 ],
- "Q": [ 5043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2186 ],
- "Q": [ 5044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2190 ],
- "Q": [ 5045 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2194 ],
- "Q": [ 5046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2198 ],
- "Q": [ 5047 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2202 ],
- "Q": [ 5048 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2206 ],
- "Q": [ 5049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2210 ],
- "Q": [ 5050 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2214 ],
- "Q": [ 5051 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2218 ],
- "Q": [ 5052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6707": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2222 ],
- "Q": [ 5053 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6708": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2225 ],
- "Q": [ 5054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6709": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2227 ],
- "Q": [ 5055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7357": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2994 ],
- "Q": [ 70 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7358": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2805 ],
- "E": [ 70 ],
- "Q": [ 72 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7359": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2996 ],
- "Q": [ 73 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7360": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2998 ],
- "Q": [ 67 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7361": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3000 ],
- "Q": [ 68 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7362": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3002 ],
- "Q": [ 74 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7363": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3004 ],
- "Q": [ 75 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7392": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2717 ],
- "Q": [ 2820 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7393": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2717 ],
- "Q": [ 2825 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7394": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2717 ],
- "Q": [ 2830 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7395": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2717 ],
- "Q": [ 2835 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7396": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2717 ],
- "Q": [ 2840 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7397": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2717 ],
- "Q": [ 2845 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7398": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2717 ],
- "Q": [ 2850 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7399": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2717 ],
- "Q": [ 2855 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7400": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2717 ],
- "Q": [ 2860 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7401": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2717 ],
- "Q": [ 2865 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7402": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2717 ],
- "Q": [ 2870 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7403": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2717 ],
- "Q": [ 2875 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7404": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2717 ],
- "Q": [ 2880 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7405": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2717 ],
- "Q": [ 2885 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7406": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2717 ],
- "Q": [ 2890 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7407": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2717 ],
- "Q": [ 2895 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7430": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2229 ],
- "Q": [ 178 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7431": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2806 ],
- "E": [ 184 ],
- "Q": [ 177 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7432": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2231 ],
- "Q": [ 179 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7433": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2233 ],
- "Q": [ 180 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7434": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2235 ],
- "Q": [ 181 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7435": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2237 ],
- "Q": [ 182 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$993": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2712 ],
- "Q": [ 2821 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$994": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2712 ],
- "Q": [ 2826 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$995": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2712 ],
- "Q": [ 2831 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$996": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2712 ],
- "Q": [ 2836 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$997": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2712 ],
- "Q": [ 2841 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$998": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2712 ],
- "Q": [ 2846 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$999": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2712 ],
- "Q": [ 2851 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1928": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:501|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2711 ],
- "Q": [ 2710 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1978": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3058 ],
- "Q": [ 2304 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1979": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3060 ],
- "Q": [ 2349 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1980": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3062 ],
- "Q": [ 2354 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1981": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3064 ],
- "Q": [ 2358 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1982": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3066 ],
- "Q": [ 2369 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1983": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3073 ],
- "Q": [ 1198 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1984": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3075 ],
- "Q": [ 1204 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1985": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3077 ],
- "Q": [ 1207 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1986": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3079 ],
- "Q": [ 1210 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1987": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3081 ],
- "Q": [ 1213 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1988": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3083 ],
- "Q": [ 1216 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1989": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3085 ],
- "Q": [ 1219 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1990": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3087 ],
- "Q": [ 1222 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1991": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3089 ],
- "Q": [ 1225 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1992": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3091 ],
- "Q": [ 1228 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1993": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3093 ],
- "Q": [ 1231 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1994": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3095 ],
- "Q": [ 1234 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1995": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3097 ],
- "Q": [ 1237 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1996": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3099 ],
- "Q": [ 1240 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1997": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3101 ],
- "Q": [ 1243 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1998": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3103 ],
- "Q": [ 1246 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2023": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3121 ],
- "Q": [ 2179 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2024": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3123 ],
- "Q": [ 2178 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2025": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3125 ],
- "Q": [ 2979 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2026": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3127 ],
- "Q": [ 2981 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2027": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3129 ],
- "Q": [ 2983 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2028": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3131 ],
- "Q": [ 2985 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2029": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3133 ],
- "Q": [ 2987 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2030": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3135 ],
- "Q": [ 2989 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2031": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3137 ],
- "Q": [ 2991 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2032": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3139 ],
- "Q": [ 2993 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2033": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3141 ],
- "Q": [ 2973 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2034": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2725 ],
- "Q": [ 2976 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2035": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3144 ],
- "Q": [ 61 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2060": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:13"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2816 ],
- "Q": [ 59 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2085": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2802 ],
- "Q": [ 185 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2086": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 185 ],
- "Q": [ 186 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2111": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 187 ],
- "E": [ 188 ],
- "Q": [ 2813 ],
- "S": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2112": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 189 ],
- "E": [ 190 ],
- "Q": [ 2814 ],
- "S": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2113": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 191 ],
- "E": [ 192 ],
- "Q": [ 2811 ],
- "S": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2114": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 193 ],
- "E": [ 194 ],
- "Q": [ 2809 ],
- "S": [ 2778 ]
- }
- },
- "_bn00._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010001111110110000100010001001000100000100000110111110011100110100101100100101101101100000110010001000000011001001000010110101000000000101010100010001111001001011011100111011101011111101110110111010110000110100000101000101000000101110001100001010111110101",
- "INIT_1": "0100000111111010001011001100110000100011010110010100010110011110100111001101100011100110111110010000011011000101101000111000110001000101110110010001010011001110000011001011101111011111111101101011110010100100011111010101010110000010000011111001011010011101",
- "INIT_2": "1010000010010000101110001010101000010111111011000001011001011100110010110111111100000110101011100000100011010001010000011101110001001000000000011110110001110000001101001111110010001101010000011110110010110000010101000010010010100011011000011111111110000110",
- "INIT_3": "0100110100110110010100111011001111001011100000111101001011100101000101000010111011000001000000010110111001000110111010111111001000000111011001101000101110101010101000110001011001100100000111000000110111111100010011111011111011100110011110101010000110001011",
- "INIT_4": "0010110001001000001011000001010001111011001111110001101000110101001000100011101000101110000001011000101100001101000010010110010001000001100101000001000000101101101001000001001010010010010110001000101100110011001000011001111110011101010100010000000101010111",
- "INIT_5": "0000110000110110011101011011011111111001101100011010010001111100001000100101000001010101010011000011110001101011010110000111011000100000010111110110101100011101011100010101101000000011001111000110010101110111011010000100111000100100000010010000111001111000",
- "INIT_6": "1000010011111001010111110101001110011001010010000100001111000110000100010001001111010000100011101100001011111010101101000110110011011001010011000100000101001011011010000010000101110100010001000101000001110110111001011110010100001010001101010001100001000111",
- "INIT_7": "0110010010101101000110011011110000101001011111001110100011000000100000100110111101101000100111000010110000101101100010100101100010110100101001011010110000101010001011010001000011101110100100100001010000101010000010100011000000101000000111100111000101011111",
- "INIT_8": "1100000011010010001001000110101010110110110101100000100111001100010100101101001010001000101011011010010001101111011111000011101110100111111101101110010110110100101000010010110000011100010001100001110001111101000100101010100001010101010011010100010000100000",
- "INIT_9": "1110000000001001011100100001111011101000010110101110000100111111101001010000000011011000101001011010111110000001111101010100111110101100000101000011010000001111001000101111110000110000100011010100100010000110000111000010100101110110100001100000101000011001",
- "INIT_A": "1000100001110000000001011101010110010100101111000100000110100100100010001111000010110000011100001010010111111000111011010111110001010001000101001000000011000100101000001010010011010101000101010000001101110000011110100110100111110011010001011100100101010111",
- "INIT_B": "1110010001110000100001010011100110100000101101010000100001001001111000000110110010001000000010000011100000100101000100010100110010000101010111010000000001010000101100001011010100000001100000001000000001110100000101010001110100100000100011001000000101011001",
- "INIT_C": "0101000000000000101100111111110000111000101110000011010000001111110110001001110010010101010010111110100100010011101000010001101100000011000101100110010001011100100011100001011010101100011001111001010101000000011010100000011001010011011101000000011011010100",
- "INIT_D": "1010001101010011011001100011110000100100110101010001110001011110000001000000101111111000000000001011000110001101000001001111110111100110100101100000001001101010101101000101101110110010100011000011001111001111000000100101010011010010000010101101000110010100",
- "INIT_E": "0100101011111111010101001110000111010110100110100010100000111000000100101100111011000100000001101001000010110100101100101010011100110000011011110100110001001001110101101110111000011010001000101011110000101110000000100111010010011011100001010001011110101111",
- "INIT_F": "0101000011001011001110011110011001010101110010011101001111011010011110001110111100110001100011010101011000100111100110101101011011010000101011011011011011010110010100111010110101010101101100000001000100010100001100000001100111001101011001101101110011010110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:5|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5056, 5057, 5058, 2724, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 2727, 5066, 5067, 5068, 5069 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 433, "0", "0", "0", "0", "0", "0", "0", 438, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn01._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100000010100000000000011010010110001000100011011010011011111100011000001010110111011100010010001111011011110111001000100011001110011010110010110000001101110111001110000011111010010101110111100010100000001110011111100110001010010100111111100000100000110001",
- "INIT_1": "1011000010101100000100000000110010010101101010110100110001101000111110011010100111100100111100011001110010011111010100100001001100010101101101010100000000100000001101010010100101001000111001000000100110011011001001111000111110101010111010100001000110011001",
- "INIT_2": "1001100010011010110000011001000101100000111000000001000011100000110000110111101110000010101000100011000000011000001000000010000101011000010010001010000001111000010010010001100110010100000111001011100111111101101100011101000110001010000110001100000111111001",
- "INIT_3": "0000001011101011000001011110000100000100000011000000110000111110010100010101010101010001110000011000011000010010000001010011110101010010011100101011001010100000001110110010001011001010000111101110101101111101110100001110001000000001011100000000010111000101",
- "INIT_4": "0010001000100110010101000101110000001000010000000111001000111010010001100101111110010101110001100111100010001000000000100110101101010001111000010101100110111001000011000001100010111010000110101000101110110011011101000100011001100011001101010010011011110110",
- "INIT_5": "1000000001000110000000001111010001000101010100111101000001010100010111100111110000000100000100000111000001001010000001100000110101100001001110100100101001011100000000000101000101101011010111110011100101110111000110110101000101000100010000100001100001101000",
- "INIT_6": "1010100011110111011011001011010010000100100101011000111011011101010110101101100010100010101011000011000001100000010010000001101001100000011100000100011100110101100110001001001110010000101100111001100110011010000010110000100110111111011101001011101011100000",
- "INIT_7": "0000000001110001110010011100000000101000011010001001110010110100111011111110110010010001000100100111001011010110101001000011010110011011100110110001011010100001001001011011101001111111011110110010110010010010001010100011110000000100001111000110011011100101",
- "INIT_8": "0101111110111111100001000000110011010101110101011100010000010100000110110110100000010101000111000000011110000100000001010000001101101011101010100101110001011110000110001111100000100101001111110101000100010000011000000111000000100010001110001000110010010000",
- "INIT_9": "1011010010110110101000101110001010001100000111000011101000111000101011011110101000000010001100100010010000101110001000110101010100100001000000101011100111111010110011101000101100110100011100001101111011000001000001010111011011110001111100101100111001111110",
- "INIT_A": "1000110100101100011111000101110101000100110001000100100011111100011001001100010011000001111000000010010000101000101100001011010100000000010001001010010010110101111110011111110001111100111111000100011010100111000010110101101010001000101101000011000000010111",
- "INIT_B": "0110110001000100010100000111110011101000001011000000010001111001101001011111010001110001010000010100100101011001001001001011110011101000100111000001110001010100111100001111010010110101110101000100100101111001100000001001100110110000111111011101010000000100",
- "INIT_C": "0010100000100001110010010101100110101001110100111000000101001011001000101000101000011000011111010001000100010001010110001111100011111000111100100011100100111011101000101100101000111100011011111010110011101110100000101110001110000010010010110001100100011111",
- "INIT_D": "0000000101001011100110000010100111000010100100010010000100110111110001011011001111100000111110100100000111010010011010101111001111110000111110010100101111100011110101011111100011111100011110000010100001110001000111000000111010001110101011110000001001011000",
- "INIT_E": "1000000111101011000000101001111010010000110100101100011011001111111100001111000101011100110111011101001101111011000000011100010110000111101101100001110010011100111110001110110001001100100010111010010001010101101100111101101000001001000010011001000011100000",
- "INIT_F": "0001111101011110000011011101000010001100010010011111110111111100100001000100010000100100111001000101000011001001011101001111011000111001000110011100001001101100000001000100010011111110000100011111101101010010010011001100110000111000100111101111110001011111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:30|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5070, 5071, 5072, 2730, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 2733, 5080, 5081, 5082, 5083 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 443, "0", "0", "0", "0", "0", "0", "0", 448, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn02._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010010010110000110010000100001010000000001000101101001000010000011000010110010010001001010101000001001100011010110100001101111001010000110100100100011010001100110001101001000000001111100000000010101011100000100111101001000001100001111000000111001010000011",
- "INIT_1": "0001010010110100001111011110011100100010011001100100010010001010011010011100000010000101010110000010011011101000101000011010110000011100100101000010000011001010000010111011010111100000011000001100010000010111100011001010100010001000011001001011010100110110",
- "INIT_2": "1000001000011000100100110010010100000000011010000010001010101011011010001001000000000010110010110000100011011000000100001000110011011001101010000110110000001100010110011101000110000100010001000101000110011101001110000100000011010110010001001111000100101001",
- "INIT_3": "1011100110011011000100001001100010101001101110011001001000111110100101011010111000010000000000001000001000000111101101010000010110001010101000101001001101110010001110110010011000010100100010001000110111100001010001101011011001100100100111011110000001101100",
- "INIT_4": "0100000000000110011010000011100101011010010110110001101000101001011011110110010100101001001010000011110111000101100001001000011000010101100001110001110100001101101000001010100100111010110110001000001010011000011111001010010001010101000001100110111000001010",
- "INIT_5": "1000111010110001001101101100000000011001001011010011011001111000000000000111110100010011010011000011100001010111010100110001111100010001001110000011000100100111010010000000011001101000001111000111011000111000011010100011111000110100000100010101000000010011",
- "INIT_6": "1110001100011010011110000010010001011000000100101001100110000100110100100100011001001010100000001001100000100101001110000000000001011000000111000110111100000011000100110001001001111010000100101000000100100100000000100001001011010000111111010101101001110111",
- "INIT_7": "1011100011000000101000010000100001010000010001101010000000001100110000010110110111010001011101100111010001011000000000011111010110011001000110001011001011010110100010100011011111101010110101001011000000011101001111000110001000001101001000011100011101111010",
- "INIT_8": "0100100000010110000101110101000000010011110000000000111000101100010110101001110010001111111001011000001111001001100010100000000011001010010000001101001111000101101110101101111010011011011110110111101101001001010111110110001011110011110100000011001100000111",
- "INIT_9": "1111001101011110001000110110010100001011100101101101001001111100100011100011000001110010000101011110101010110010000101100001100001000010011100110000101000111001011001101101110011110110111101011100011000101101100111101010101111001010011010101010101010010101",
- "INIT_A": "1010000100110001000001001011110010100100000010010111100010000000101100000001000110000101010000010110000000011100110001001001000010000000101000000011000010000101001110011010000111011100101001001000101001011001110111110000000110110110100100100101101100011011",
- "INIT_B": "1110010010010000011001010001100111100001000000000011110000100000111011010001110000000100000001000101110011100101000000011100010111100100100110001100110010011000011110001010000000111000101011011000010001101100110000011001000000101001100010010101000110011100",
- "INIT_C": "0000100011111000110110000000010000111000100000110110000010111001111010000000101110011101100011100010100100111110001001000001111011001010110111100010101000010000110010100100000001111001000100001101101110110001100010001011110001001011111011110001111001010110",
- "INIT_D": "1111110100100100111110110000101001110010101010101100011100000000000101100000000110111100011010011011001100111100101010010111100111101010001110100001101000010001001111011100001111111110000001010110000110000100010011000010110111011001011110010001100100101001",
- "INIT_E": "0110011010100101000000100011110100101001100001010010001110000110100000100110010011000100100001001101100000000110110001000100000101100101000000101100110001001011111110010000100100000111001001001111000001010010000101110111000100001010101011100000001011100010",
- "INIT_F": "0101100110001011000011000100101001010101000110101000010001101100010100010001011001101001110001110101000000100000110010110000110011111001111010111100111000101001000100000010100010101001111011111001110010001001100011011000010011101100111100001100101000000001",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:55|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5084, 5085, 5086, 2736, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 2739, 5094, 5095, 5096, 5097 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 453, "0", "0", "0", "0", "0", "0", "0", 458, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn03._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000000100100000010001100100000000000100000000011010010000010000000000100000110000100100001011000001000010011101000001101000000000000010100000000001101000110101100001101110000010000000001000110000000101010011001001001111001010100011000010000101100101010",
- "INIT_1": "0010000000010000000101000011010000000011001000000000000000000000000000000000001100110000000000010001000000100000000100001010000010000001100000000000000000000000001000000000001000001000011010000000010000001000100000111000100010100010100010000001000000110100",
- "INIT_2": "0001000010010000000000000011000000100000000000000000000000110001000100110010000000000010000000100001000000110000001000000001000111000000111100001000000010101000000000000100100000010000001000000010000000000000000110010011100000000000010000000001000000010000",
- "INIT_3": "0000100000110001000100010001010001001100101000000010001010000000000001001001010100000001001110000000001010000110000000000011100000111010000010100000000000010001000000100001001000000000100100101000000010100000011000000101000000010000100000010000010100100000",
- "INIT_4": "0010011001001000001011000100100000000000010101110000100000011010001000000100111110010100011010011101001001101001010000000100000000101000110100010000000000010000010001001010010000000000001100100001100100001010000000000111000110100100010100110000000011101100",
- "INIT_5": "1000000000001010010000001000001000001001011000011010001000010000000000000000010000110010011001110000000000110010010000000101001100100000010111110010110001010111000000000000000000010101011001100000100100001010000000000010101100010000011000000000101001010000",
- "INIT_6": "0001000011001011001011000110000010001111110010110000000000011000010000101001100000100000110010100101000000001001011100100010001010010000110010000000001001011100110100000001001111001000110100100001100110000000000000110100000010000000010010011001101001011000",
- "INIT_7": "0100000011010000010000010010110110111000010100010011110000101000000000001100000101000000100000010001000001111000100101101010000100000000000110011000001001010100000100011010011110001001110011100010000010111101000010100101011000100000001001010101001011110101",
- "INIT_8": "0110000100001010000001000001011000000100000100110100000000001100001110000001100001010000100001011000010000000011010011001000101000100000010010100000000010011011110000000000001000001101100100110010000001101001000100000000101010010000111100111010100000111001",
- "INIT_9": "0111100100110000110000000010000010010001000000000010100001001000100001001110001011000000010000100100000011100110010110000000001000100001010000101101000001100011010010000000111000000000111101001000000111010110001111000000101111001000111010101101000010001000",
- "INIT_A": "0010010010001001000011000100000001000100001000010100010100100100010100010110000000000100010011010010110001000000001000000100000110100001100000000000000101100000011100001000000111000100000010000000000011001000100101001000111100110100000100100010010000000000",
- "INIT_B": "0000000010100100100000000110110110001000011000010000000000110100000110000110100101000101000101000001110010101100001001011001110000110000000001001000100011000100001010000111100000101100000101011010110101000100010000001100100001111000000001011000000000000001",
- "INIT_C": "1101011000011110110010110100000000000001001010100001100001110010000000000110100000010100100100000100101000101011111011000000100010001001001000100010100101000010001010000110001000000100010111010001011011101011100001100000110000000010010010110010001100111100",
- "INIT_D": "1010100011010001001000100101000100100000010100101000000111100010000100010010001011111000101001000111001000010001000100001000100101100010101010000110000101111000111000010101100011100000010110100010000001000000000100100100110000110110011000010010111000001111",
- "INIT_E": "0010010101100110000110000001101001111100011011010110101000101001101100101011001011011101000011010100101111001000000000010100010000010001111101010011100011100100001000001110000100001000000011011101010000100000111000010010111000111001001110100110000001100010",
- "INIT_F": "0010010100010000010011010100110100110100101101000001010100000101000000001001000101101000011010011101000001011000101110011100100110100000111101000000100011001000000100000001010011010111111011101100101110010101101001001000110011010000011110000001010110001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:80|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5098, 5099, 5100, 2742, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 2745, 5108, 5109, 5110, 5111 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 463, "0", "0", "0", "0", "0", "0", "0", 468, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn04._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000110010100011001000000011001010100000100000001010101111101000100000000000001000000010101010000110000100011001100010110100111010001110100110100010000110011100111100000111111010000000111011100100001011100001101001100001010010001011110000001010010100011110",
- "INIT_1": "1100000011110000010100001101111000000101011000000111100010111000101000011000110100010010001110101000001110001001101010000010101000001011110010011110010010001100110000000100100000000100100100100000001010001000001100000101100001011000001010000101100011011010",
- "INIT_2": "0110010001011000001010001000010011101110010110101000011000001100000100101101101010000000110000010110100101011010010000001010011000000100000100100000010001011010100010011010101010101000100010100100100010000010000100010000001010100001110001110110101000001010",
- "INIT_3": "0100001000000110001000001010100100100110000100100010010010100101001000101010011011000011100100011010100000000101011011101100001010100000101100010010000001111010110111000100110010111100000110001111000001001100101011001110001010011110011110100100000010001100",
- "INIT_4": "0010100100011010000110100101010100111100010010000010110001110000000000000000001000101011001011001101011000111000001011000010101011101100010000101100011000000000101000000011000100000000101000001111000011110011001100100000001000101110000100011110110010110001",
- "INIT_5": "1000110001111001100010110011111111000111011100011100101001011101010101000101100101110100010110000110010001111010001001000111010001111101000010100101100001011110000100010100011000000000010001100000100001000101000000000100110001110000011000000000101000110101",
- "INIT_6": "0001100000011101010010010101000111000010010010000000010000001100100010011000110111000010100000010010001000101100000110100011000010000100000011000000110000000000001101000010001110001101010101110000011001100110011000100011000110101100001011000001000001101000",
- "INIT_7": "1001000110110001000100000011100011100000101000000001001001010110011011000010110010100010100011101101101010101110100100100011011000000010110111110000010000110001100101001011000001010110000100011010111011011000100010100001000001100100000011000100010001101100",
- "INIT_8": "0001000000110000001000101000100001011000110111000100111100101010000010001110010111010100001110100110000000101100000101001000101000100100101011001010101000101000000000010000010101001100101000100000010000001100101110001011001010000100001001110100100000000001",
- "INIT_9": "1001100010001110010001000011011010000000110011001000001000101010000001000011001011001000100011100000100100111111100010101000110011000100101000000000001000100000100001101000100010000100101100100000111001001000000101000111000000001100001101100001000000010100",
- "INIT_A": "1000000010111001101110000101100100010100100000010010000011000000000010001100100010011000000110000110110100011000100100001011010101010000011000000000010011101100100000011000000000000001011010001000000011011001000100100111100001011101011110001000110011101010",
- "INIT_B": "1011100010111000000110000101110110100100110101000100000101011100001000000110100100001100000000001011000110110101000000001101110010111100101110000011100000010100011000001110010010011000111110011011100011111100101001001001100111001000111011011010000010001001",
- "INIT_C": "1000000011101111100010001011100110101100101110111000110101001111010100001110100101100000001000011011100001110110101101011011100010111000101100110001101100111010000110100010100000001110110110101000011010100001110001101001101010010010010010111010000001011100",
- "INIT_D": "1000101010001010100000111011001010010101110111101100000010100101010000101001000100110000010101010001001010010010000101010001100110110100010111000100000010001101101100001111000011000010111010110000000010111011000011100011101110000000011000100100110111110011",
- "INIT_E": "1100111010110001010010001101101100011100110010100001101010111111000010010011011000101100010110010100100011001010001101101000000011001110101110100011100000001110110001000010000011011000110001111010010111110101010000100110011110011000101000111100000010101001",
- "INIT_F": "0001001011000010001011000111001010001000110111110000101011101000101001101100101000011101100111111000011110010000100111001001011100000100011000100100100011010101010001010101000010000010110110100001101000000100000000000000101001000001000111010000100010001101",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:105|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5112, 5113, 5114, 2748, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 2751, 5122, 5123, 5124, 5125 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 473, "0", "0", "0", "0", "0", "0", "0", 478, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn05._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000010001000000000000001010010100010100001001010011010100111000000101001001010000000101100010010000001000001001010111000000110110010001001000010000010011100000000001011000010110000001101000110000010000100000010000010101010000100010011010000010000001101",
- "INIT_1": "1100000101000101010000001000000000000000001100000011100011100000001101011000010000000001000001011000000000000000001010000010000000000000001001111010001001011000000000100101010000000010000000100000000000110000001000011000100100001000010110000001000001010000",
- "INIT_2": "0010010011100000101000001000000011111000001000001011000010000000000000011000000010000100001000000110001100000001001000101011000000000110100100000000011000001000101110110000110100100010100001000000001011001000000000100000010100100100101101010010000001000100",
- "INIT_3": "0011001000100100010000001100011000100100000000000000010010010000001000100000000000000001010100111000000011010000001100001100100000010100100000010010000000000000100101000101000010001000100100000110000010000000100100000100000000001000000000000000000101000000",
- "INIT_4": "0011000101000100000100000100000000010000000100000010010001010010010000000101011000010000000000011000000110001000000000010000000100110000100110000000000001010000101000001001000000010000100000100000000010000010001100000001000001100000010000000000010111001000",
- "INIT_5": "1000000010000000010000001100000000000001001000011100100110000000010100000000000000000000000000000100000000000000000001000111000000100000010000010000100100001000000000000000001000010000000000000000000000000000000000000010010000101000001010100000010000001100",
- "INIT_6": "1100001111000100100000010000111010000000100100000000010001010000010000001100000000000011110100110011101000001010100011001000110010010100100010000000000000000000000000010000011010000101110011000001010010010000100000000110010010100100100000000100000000000000",
- "INIT_7": "0101010011010100000100000001000000011000110010000000000000010000001011000100000000000000100000000000000001100000100010001010100001000001010000000000100000001001000000101010000000010001010000010100000011010010000000001000100000110100010101000101010001100100",
- "INIT_8": "0001100000101000000000001000000001010010100111000001001001010000000100001010100000000000010100000100000001100010000000100000001000000000000000000000000000110000000000000001010001000010010100100000000000111010001000000000001010010100101001110100000001111000",
- "INIT_9": "1000001010000000000001000110000000000010100100000000001100100010000000100010000000000010100101000000000001101000000000000000001010000000111000000100000001110000100000000000001000001010101010000100001010011010010000100010000001010010111001000000000010001000",
- "INIT_A": "0100000010100001010100000100000100100000001000000000000011000000000000000111000000110000001110000010000110000001110100001000100001000000101000000100110011100000000000001001000000000001010010010000100011000000010010000100100001000000001100000100000001101010",
- "INIT_B": "1001000011000100000101000100100101110001101000010001000001000101010000000101000000000000100100001010000111000000000100000100000000001100110001010001010010100000001000001010000010010000011101000101000011000000000100001010000010000000001000010000000010101001",
- "INIT_C": "1100000111000001000000001100000010000100000000000100000100000000010000000100000001000000010000001111000011000001100100001000000000010000111000000001000001100001000100000010000000010000100010101000000010100001000000001000000101000010010010101000100011000000",
- "INIT_D": "0001001010011010010101000111010010000000000000000000000010111000000100001100000001000010010000000000000000010000000000000100000000010000110010011000000011000000100100001001100000011000101110000000100010110000000100000100100000000000011000000000000110100001",
- "INIT_E": "1101101001110010000100101000100001000000110000000001000000100001000110001110000000110000010010000000000000000000000000000000000001011010010000000001000001001100010001100110011000000000100001011101010111000101000000000010001000000010001000001000110001101110",
- "INIT_F": "0000001010010000000100000000000000011000100000000000100001010000000000101000000000010100110100010001000000000000000001001000000000000000011100000100000001000000000100000000001001000000110100000000001010000000000100101000100000010001010000000001100000011010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:130|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5126, 5127, 5128, 2754, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 2757, 5136, 5137, 5138, 5139 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 483, "0", "0", "0", "0", "0", "0", "0", 488, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn06._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0011011100000001001101100010000010001010000000001110011100000000100000100000000000110001001000011100100100010000001011110100000010111110001000000101110101000000011001100000000011111110001000000000011100000011011010100001001011011110000000100011010000100000",
- "INIT_1": "1110000100010001010010100000000001100001000000000111101000010000100001010000000000101011000000001101000100000000001111000000000001101111000000001100111000000100011010100000001010110011001000011011010000000000010110110000000001111110000000001100100000010000",
- "INIT_2": "1110011000100000100011000000000011111111000000010010110000000000001010110010000011100101000000000100101100000001011111100000000000110110000000000101111000000000101011110000000111011011000000010110001000000000001001110010000010111111010001000100011000000000",
- "INIT_3": "0110011001000100001010110000000000010111000000001100010100000000111010100000000011010011100000000111100100111100010001100000000000010101000000010110100000010000110101000000000000111000001000000101010000000000100010110000000101101010000000001101110100000000",
- "INIT_4": "0101001101000000010101110100000001110001000100000111011100000000000100100000001010101111001000000010100100001000001110010000100000010010000000000001001000010000001100010001000001100010000000100010001100000010000100110000000001111001000010001111010100100000",
- "INIT_5": "0111100101000000001111110000001000010011000000010110110100010000010100010000000000001001000000000100100100010000001101000000000000010001000100000001101100000000010101110001000100000000010000000100010100000000011001100010101000100010000010100010010100010000",
- "INIT_6": "1100011100000101110100110100001001000100010000000100010001001000000001010000000000110011000000000010111100000010100011011000000000001100000000000010110000001000101011110000100100000111000100001111111000000010101111010000000000100100000000001100010000000000",
- "INIT_7": "0011010100000001010100000011100010101100000000000101010000000001001011010000000010001100000000101100010010100010010011000000001001100101000110100110100100010000000000100000000001010011000000100101001100000000110100000000000101011101000000000100110001000000",
- "INIT_8": "0001101100000000011010100000001001010110000000000011000100000010010001100000001001111010000000100110111000000010000101100000000010100110000010100010001000000010000001110000001001000110000000000000111000000000101010100000100000001111000010001100001100000000",
- "INIT_9": "0000001000000000100101100100001011000011000000100010011100000000000101100000000000001111000000000001001100000000100001100000000000110110000000001001001000000010100100100000001000001011000100000100001000000000011001100000000000000110000000000100101100001000",
- "INIT_A": "0111010100000000000100010000000011111000000000000011000000100000101011000010100010110000100000001101000101000000011111000000000000010000010000000001110000000000010100010000000100001001000000000001110000000000001010100100001000101111010000001000001000000000",
- "INIT_B": "0001110000001000010101010000000001111101000001000110100101100000001000000010000011101001001000000000000100000000001000000000000000001101000000000011010100000000011101000111000011010000000000000001000100000000001001010000000010011001000000000010100100000001",
- "INIT_C": "0000000100001000001100000000000010010101000000000100010101000000111100010000100001100011010000001001011100000001010100110000000100010100000000100001011100000010001101100000001011000110100000100100000101000001010111110100000100111110000000101000100100010100",
- "INIT_D": "0001001100000001010101010000000111011101000000111101100001000000010000110100001000000011000000001100110000000000000001010000100010011111100000011000100000000000000110100000000000011011000000100001111100010000011000010100000011100110100000001101000110000000",
- "INIT_E": "1111111100001000011000110100000000010010000000000101000100000001000011010000100100110100000000000000011100000000101101110001010001000111001010000101011101000100111001101000010011110011100100010000011100000001100000100000010000000111000000001000011100001010",
- "INIT_F": "0101011000010000001100100000000001001011000000000001101000000000011010110000000100010111000000010000011100000001001101100000000001110010000000000101010100000000011010110100000010010000000010000101001000010100000110100001000001001101000000000001111000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:155|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5140, 5141, 5142, 2760, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 2763, 5150, 5151, 5152, 5153 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 493, "0", "0", "0", "0", "0", "0", "0", 498, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn07._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100000010110110000000000111010001010000100110100000000001110111000000001101111001000000001011010000000010011101000000001110111100000000100101100000000011011111000000011110111000000000110111100001000010000110000000001111101000000000100111010000000011110101",
- "INIT_1": "0000001011111000000000001101101000000000111111110000000110101010000000000100110001000000101010110001000011011001010000001011110000000000111101110000000110011110000000001110101001000000101110100100000010101000000000001101111100000001101111100001000011001000",
- "INIT_2": "0000000111011110010000001000110000000000011111100000000001101100000001000110101100010010111011010000010011010010000000001111111000000000111101100000000111111010000000001110111000000000010110100000000010100010000100001110011100000000011010010000000010010110",
- "INIT_3": "0000000011100110000000001010101100000000000111110000000011011110001000001110111000000100100100110000001001110101000000000100001001000010100101010010010001111000000000100101010001000001001111100000001001011100000000001100110000100000111011100001001010001101",
- "INIT_4": "0000000001010011000010000101011100000000011001000000100001110011000000000001001000000000101011111100000000101101000100000110110100000000110101100000000011010110010000100001110100000101011010100100010000101011000000001001011100000100111100000000000001110001",
- "INIT_5": "1000000001110101000000001011111100000001100111110000000001011101000000000111110100000000011011000000000001111001000000000101011000000000010111110000000001011101001010000101011100000000011001100010100001000101000000000111111000000000011110110000000000110101",
- "INIT_6": "0010000011001111000110001111001100100000010111000010000001011100000000001000111100000000101101110000000001101101000000010110110001010001001011000100000000111010000100001110111110000000011101110000000011111110000000001111110100000000001101000001000011101111",
- "INIT_7": "0000000010110101100001000110100000000000001011000000000111111100000100000010110000000000111011010001000110101100000000000111110000000000011111010000000011111111010000001111111000110000100111110000000011111111000010011111000010100000010111000100000001101011",
- "INIT_8": "1001000000011101000000011110111000100000110101101000000001110111000010001110011000000000101111000001000011101111001000011101101000010001111011100100010010101010001000001101111100001000111101101000000001011110010001010011101000010000001011110000010010110001",
- "INIT_9": "0001000000100100000010011101010000100000010011000000000011011101000000011111000001100000100111110000000001010111001000010101011000001000010101010000010010101011001000011101111000000000100101110010000011010111100000000101001100011001101001100010000011011101",
- "INIT_A": "0000000010011101000000001011100100000000111110000000000011110100000000001110110100000000101101000000000011010000000000000111110000001000011111000000000010011101000000001100010000000000111110000000000011111100000000001110110000010000010010010000000100110110",
- "INIT_B": "0000000101111100000000000101010100000000111111011000000001101001000000000010100000000100111110010000000001011101000100000110110000000000110111010001000110110100001000001111110100000000110100000000000010101001001000001010110100000000111101010100000010101101",
- "INIT_C": "0000000000011110000000000011101001000000101111110000000011011111000001001111101100000000001111110000100000010101000000000101111100100000010111101000000001110111000000010001111000001000111000100000000001100101000000000111101100000010101111100000000000111111",
- "INIT_D": "0000010000010001000000001101010100000000110111000000010001111000000010001100011100100100100010110001000011101100000000000101110100110000110111010000010011101010000000000111111000000100010110110000000010111111100000000110010100000000110001100000100011110001",
- "INIT_E": "0000000011000011000000001110011100000000100101100000000011001101000000001110010000000010111100000000000011100111000010001010011100000000111011100000000001110111000000001100001000001000111000110000100000100110000000001000111001011000100001110001000011001111",
- "INIT_F": "0010000011011110000010001111001100100000110011110000000011111111000000001110111100000000111101110000000011101110000010000011011000000000110111100000100010110101100000000110111100000000001111010010000011011111000000001111111000000000101011100010000011011110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:180|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5154, 5155, 5156, 2766, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 2769, 5164, 5165, 5166, 5167 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 503, "0", "0", "0", "0", "0", "0", "0", 508, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn10._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111111111111111111111001111111011111111111111111111110111111110111111101111111111111100111111101111111011111111111111101111111111111100111111001111111111111101111111101111111011111110111111111111110011111100111111111111111011111110111111011111111111111101",
- "INIT_1": "1111110011111110111111001111110011111111111111001111111011111110111111001111111011111111111111111111111111111100111111111111110011111100111111001111111111111110111111011111111011111101111111001111110011111101111111011111110011111111111111101111110111111111",
- "INIT_2": "1111110111111111111111111111111011111101111111101111110111111100111111101111111011111100111111001111110111111100111111111111110011111101111111001111110111111101111111011111111011111111111111001111110111111110111111011111110011111111111111011111111011111110",
- "INIT_3": "1111110111111110111111001111110011111100111111101111110111111101111111011111111011111100111111011111111011111100111111001111110111111110111111011111110011111101111111011111110111111100111111001111110011111100111111001111111111111100111111001111111011111100",
- "INIT_4": "1111111011111100111111001111111011111110111111011111110011111110111111111111110011111101111111111111111011111110111111101111111111111110111111001111110111111101111111101111110011111101111111011111110111111110111111101111111011111100111111011111111111111100",
- "INIT_5": "1111110111111111111111101111111111111110111111011111110011111100111111101111110011111110111111011111111011111100111111001111110011111111111111001111110011111111111111001111110011111100111111111111111011111100111111001111111111111110111111001111111011111110",
- "INIT_6": "1111110011111100111111001111111011111110111111101111110011111110111111101111110011111110111111001111111011111110111111001111111011111111111111001111110011111111111111111111111011111101111111101111111011111111111111101111110111111100111111011111110011111111",
- "INIT_7": "1111111011111100111111001111111011111110111111101111110011111110111111001111111011111100111111101111110011111110111111101111110011111100111111001111111011111110111111001111111011111100111111101111111011111110111111001111110011111110111111101111110011111110",
- "INIT_8": "1111110011111110111111001111111011111100111111001111110011111110111111001111110011111110111111001111110011111100111111001111111011111100111111001111111011111100111111001111110011111110111111101111110011111110111111101111110011111100111111001111111011111100",
- "INIT_9": "1111111111111101111111011111110111111100111111111111111111111111111111111111111011111100111111011111110011111100111111111111110111111111111111001111111111111110111111001111111011111101111111101111111111111110111111111111111011111111111111111111110011111100",
- "INIT_A": "1111111111111110111111111111110011111101111111101111110111111100111111001111111111111111111111101111111111111110111111001111110111111100111111001111110011111110111111101111110111111110111111111111110011111100111111111111111111111100111111101111110011111111",
- "INIT_B": "1111111111111110111111111111110111111111111111111111110011111110111111101111110111111111111111111111111111111101111111111111111111111101111111101111110011111111111111001111111111111110111111001111111011111101111111011111111011111110111111001111110111111101",
- "INIT_C": "1111111011111110111111101111111011111110111111111111111111111111111111111111111011111111111111101111111011111110111111101111111111111100111111001111110011111101111111011111110011111100111111011111110111111100111111001111110011111101111111011111111111111101",
- "INIT_D": "1111111011111110111111101111111111111110111111111111111111111110111111101111111011111110111111111111111111111110111111111111111111111110111111101111111011111110111111101111111111111110111111111111111011111111111111111111111011111111111111101111111011111111",
- "INIT_E": "1111111011111111111111111111111111111110111111111111111111111110111111111111111011111111111111111111111111111111111111101111111011111110111111111111111011111111111111111111111111111111111111101111111011111111111111111111111011111110111111111111111111111110",
- "INIT_F": "1111111011111110111111111111111111111111111111101111111111111111111111111111111011111110111111111111111011111111111111101111111011111111111111111111111111111110111111111111111011111111111111111111111011111111111111111111111011111110111111101111111111111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:205|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5168, 5169, 5170, 2723, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 2728, 5178, 5179, 5180, 5181 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 433, "0", "0", "0", "0", "0", "0", "0", 438, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn11._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111110111111101111111111111110111111001111111011111101111111001111111011111110111111111111110111111110111111111111110111111101111111001111110011111101111111001111111111111111111111011111110011111101111111001111110111111101111111001111110011111100",
- "INIT_1": "1111110011111101111111001111110011111110111111011111110111111110111111001111111111111101111111011111110011111111111111001111110111111100111111111111110011111100111111001111111011111100111111101111110111111101111111111111111011111110111111011111110111111111",
- "INIT_2": "1111110011111110111111111111110111111100111111111111111011111100111111011111110111111111111111011111111011111101111111001111110111111110111111111111110111111101111111001111110011111100111111001111110011111100111111101111111111111100111111011111111111111101",
- "INIT_3": "1111110011111100111111001111111111111100111111101111110011111100111111001111111011111110111111011111110111111110111111001111111011111111111111011111110011111100111111101111110011111101111111001111111011111110111111011111111111111100111111001111110011111101",
- "INIT_4": "1111110011111101111111101111110111111111111111001111111111111110111111001111110011111111111111011111110111111101111111111111110111111110111111001111110011111111111111101111110011111111111111111111110111111111111111011111111011111111111111101111111011111101",
- "INIT_5": "1111110011111110111111011111110011111110111111001111110111111111111111001111110011111110111111001111110011111100111111111111111111111100111111011111110011111101111111001111111011111110111111111111110011111101111111111111110011111110111111011111111011111101",
- "INIT_6": "1111110011111110111111001111111011111110111111101111110011111110111111101111111011111100111111101111111011111100111111011111111111111100111111101111110011111110111111111111110011111100111111111111110111111110111111101111111111111101111111001111111111111101",
- "INIT_7": "1111110011111110111111101111111011111100111111101111110011111100111111101111110011111110111111101111110011111100111111001111111011111110111111001111111011111110111111001111111011111100111111001111111011111100111111101111110011111110111111101111111011111100",
- "INIT_8": "1111110011111110111111101111110011111100111111101111110011111100111111001111110011111100111111101111110011111100111111001111111011111100111111101111111011111100111111001111110011111100111111001111110011111110111111101111111011111110111111101111110011111100",
- "INIT_9": "1111110011111111111111101111111011111100111111101111110011111111111111111111110011111100111111001111110011111101111111011111110011111100111111011111111011111101111111001111111111111100111111101111110011111110111111001111111011111111111111001111110011111100",
- "INIT_A": "1111111011111111111111111111111011111100111111111111111111111111111111001111111111111100111111111111111011111100111111001111110111111101111111011111110011111100111111001111110111111110111111001111111011111111111111001111111011111111111111111111110011111110",
- "INIT_B": "1111111111111101111111001111110111111101111111101111111111111111111111111111110111111110111111011111111011111101111111011111111111111111111111111111110011111111111111101111110011111111111111111111111011111100111111001111111111111100111111011111110111111111",
- "INIT_C": "1111111011111110111111101111111011111110111111111111111011111110111111111111111011111111111111101111111011111111111111101111111011111101111111011111110011111100111111011111110011111100111111011111110011111101111111001111110111111100111111001111111011111100",
- "INIT_D": "1111111011111111111111101111111111111111111111111111111011111110111111111111111111111110111111101111111011111111111111111111111011111110111111111111111011111110111111111111111011111110111111111111111011111110111111111111111011111110111111111111111111111111",
- "INIT_E": "1111111111111110111111111111111111111110111111111111111011111110111111101111111111111111111111111111111011111111111111111111111011111110111111111111111111111111111111111111111011111111111111101111111011111111111111111111111111111111111111111111111011111110",
- "INIT_F": "1111111111111111111111101111111011111110111111111111111111111110111111111111111111111110111111111111111011111111111111101111111111111111111111101111111011111111111111101111111011111111111111101111111011111110111111101111111111111110111111101111111111111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:230|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5182, 5183, 5184, 2731, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 2734, 5192, 5193, 5194, 5195 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 443, "0", "0", "0", "0", "0", "0", "0", 448, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn12._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111100111111001111111111111111111111101111110011111100111111001111110011111100111111101111111111111101111111001111111111111100111111011111111111111100111111111111110011111101111111101111110011111100111111101111111011111100111111001111111011111101",
- "INIT_1": "1111111011111101111111111111110011111101111111001111111111111110111111101111110011111100111111011111111111111101111111011111110011111100111111001111111111111110111111111111110011111100111111001111110011111101111111001111110111111110111111101111110111111100",
- "INIT_2": "1111110111111111111111111111110011111100111111101111111011111110111111001111110011111101111111011111110111111100111111111111111011111110111111111111110111111110111111011111110011111111111111111111110111111110111111101111111011111111111111101111111011111100",
- "INIT_3": "1111110111111101111111001111111011111100111111001111110111111101111111011111110111111110111111101111111111111100111111011111111111111100111111111111111111111110111111001111110011111110111111011111110111111111111111001111111111111110111111101111110111111100",
- "INIT_4": "1111110011111101111111101111111011111101111111101111111111111100111111001111110011111111111111111111110011111100111111101111110111111111111111011111110111111110111111111111111111111110111111011111110111111100111111111111111011111101111111001111110011111110",
- "INIT_5": "1111111111111100111111001111110011111111111111001111110011111100111111001111111011111100111111111111111111111100111111001111110111111110111111111111110011111101111111001111111011111110111111001111110111111100111111111111111011111100111111001111111011111101",
- "INIT_6": "1111111011111100111111101111111011111110111111001111110011111110111111101111111011111100111111001111111011111100111111001111111011111110111111111111110011111110111111111111110111111101111111101111110011111101111111011111110011111111111111101111110111111110",
- "INIT_7": "1111111011111110111111101111110011111100111111101111110011111100111111001111111011111100111111001111110011111110111111101111110011111110111111101111111011111110111111001111111011111100111111001111111011111100111111101111111011111110111111101111111011111110",
- "INIT_8": "1111110011111100111111001111111011111100111111001111110011111110111111001111110011111110111111001111111011111110111111101111111011111100111111001111111011111110111111101111110011111110111111001111110011111100111111101111110011111100111111101111111011111110",
- "INIT_9": "1111111111111100111111001111111111111100111111111111111111111100111111001111111111111101111111001111110011111110111111001111110111111101111111011111111111111100111111001111111011111101111111101111110111111100111111101111110011111100111111101111110011111100",
- "INIT_A": "1111111111111110111111001111110011111101111111101111110111111111111111001111111011111111111111001111110011111110111111001111110011111100111111101111110011111110111111011111110011111111111111101111110011111110111111011111110011111100111111101111110011111101",
- "INIT_B": "1111111111111110111111001111111011111101111111001111110011111110111111001111111111111111111111111111111111111100111111011111110011111101111111101111110011111100111111101111111111111110111111001111110011111111111111111111111011111101111111011111110111111100",
- "INIT_C": "1111111111111110111111101111111111111111111111101111111011111110111111111111111111111110111111111111111011111110111111101111111111111101111111011111110011111101111111001111110011111100111111011111110111111100111111011111110111111101111111011111110111111110",
- "INIT_D": "1111111111111111111111111111111011111110111111101111111111111110111111101111111011111110111111101111111111111111111111111111111011111111111111111111111111111111111111111111111011111111111111101111111111111110111111101111111011111110111111111111111111111111",
- "INIT_E": "1111111111111110111111111111111111111110111111101111111111111111111111111111111111111111111111101111111011111110111111111111111111111110111111101111111111111111111111111111111011111110111111111111111111111111111111111111111011111111111111101111111111111111",
- "INIT_F": "1111111011111110111111101111111011111111111111111111111111111111111111101111111011111110111111111111111011111111111111101111111111111111111111111111111111111111111111111111111111111111111111101111111111111110111111101111111011111110111111111111111011111111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:255|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5196, 5197, 5198, 2737, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 2740, 5206, 5207, 5208, 5209 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 453, "0", "0", "0", "0", "0", "0", "0", 458, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn13._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111111011111100111111001111110011111110111111101111110011111100111111101111110011111100111111001111110111111101111111001111111011111100111111001111110011111110111111001111110111111100111111111111110011111100111111011111111111111100111111011111111011111110",
- "INIT_1": "1111110011111111111111001111110011111101111111001111111111111100111111011111111111111100111111001111110111111100111111001111111111111101111111001111110011111110111111001111111011111100111111001111110011111100111111101111110011111110111111101111110011111101",
- "INIT_2": "1111110011111101111111101111110111111100111111001111110011111111111111011111110011111100111111101111110111111110111111011111110011111110111111101111110011111110111111001111110011111100111111011111110011111111111111001111111011111100111111101111110111111110",
- "INIT_3": "1111110011111111111111001111111011111100111111101111110011111111111111001111110111111110111111101111110011111101111111011111111111111111111111111111110011111101111111001111110011111100111111011111110111111101111111011111110111111110111111101111110011111101",
- "INIT_4": "1111111011111101111111011111111011111100111111101111110011111100111111101111110011111100111111111111110011111110111111101111110011111111111111111111110011111110111111011111110111111100111111101111110011111101111111101111110111111110111111011111110011111100",
- "INIT_5": "1111110011111111111111001111110011111111111111001111111111111100111111101111110011111110111111001111111111111101111111101111110111111110111111001111110011111100111111011111111111111100111111011111111011111101111111001111111111111100111111101111111111111100",
- "INIT_6": "1111110011111100111111001111111011111100111111001111110011111100111111001111110011111100111111001111111011111100111111011111110011111111111111011111110011111100111111111111110011111101111111001111111011111101111111001111111111111100111111011111110011111111",
- "INIT_7": "1111111011111100111111101111111011111100111111101111110011111110111111001111111011111100111111001111110011111100111111101111111011111110111111101111111011111110111111001111110011111110111111001111111011111100111111101111111011111110111111101111110011111110",
- "INIT_8": "1111110011111100111111001111111011111100111111001111110011111100111111101111111011111110111111101111110011111110111111001111111011111100111111001111111011111100111111101111111011111100111111101111110011111100111111101111111011111100111111001111111011111100",
- "INIT_9": "1111111011111101111111001111110011111100111111001111110011111101111111011111111111111101111111001111110111111101111111111111110011111111111111001111111011111101111111011111110011111100111111001111111011111100111111001111110011111101111111111111110011111100",
- "INIT_A": "1111110111111110111111101111110011111101111111001111110011111100111111001111110011111100111111011111110011111110111111001111110111111101111111001111110011111100111111101111110111111110111111111111111011111100111111101111110011111101111111001111110011111100",
- "INIT_B": "1111111011111111111111101111110011111110111111011111110111111100111111001111110011111111111111101111111011111100111111101111110111111100111111011111110011111100111111001111111111111101111111001111110011111100111111111111110011111100111111001111110011111110",
- "INIT_C": "1111111011111111111111101111111011111110111111111111111011111110111111101111111111111110111111101111111111111111111111101111111011111100111111001111110011111100111111011111110111111100111111001111110111111100111111001111110011111100111111011111110011111101",
- "INIT_D": "1111111011111111111111101111111111111110111111101111111111111110111111111111111011111110111111101111111111111110111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111011111111111111101111111011111110",
- "INIT_E": "1111111111111111111111111111111111111110111111101111111011111111111111101111111111111110111111111111111011111110111111111111111011111111111111111111111011111110111111101111111111111110111111101111111011111111111111101111111111111110111111101111111011111111",
- "INIT_F": "1111111111111110111111101111111111111110111111111111111011111110111111101111111111111111111111101111111111111110111111111111111111111110111111101111111011111111111111101111111111111110111111111111111011111111111111101111111011111111111111111111111011111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:280|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5210, 5211, 5212, 2743, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 2746, 5220, 5221, 5222, 5223 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 463, "0", "0", "0", "0", "0", "0", "0", 468, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn14._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111101111111101111111011111110111111111111110011111110111111011111110111111110111111101111110111111110111111011111110111111101111111001111111011111111111111001111111011111101111111011111110111111101111111011111110111111101111111111111110111111111",
- "INIT_1": "1111111011111101111111001111111011111101111111011111111111111110111111111111111111111110111111001111111011111100111111001111110111111101111111011111110011111100111111001111110011111101111111001111111011111100111111001111110111111110111111101111110011111110",
- "INIT_2": "1111110011111111111111001111110111111100111111111111110011111100111111101111110011111110111111001111111111111101111111111111110011111100111111001111111011111100111111001111110011111110111111001111111111111101111111001111110111111100111111001111110011111110",
- "INIT_3": "1111110011111110111111011111111111111100111111101111110011111111111111001111111111111100111111011111110011111100111111001111110111111100111111011111110011111110111111001111111011111100111111001111110011111110111111001111111111111100111111001111110011111100",
- "INIT_4": "1111110011111101111111011111110111111100111111001111110011111110111111011111110011111100111111001111110011111101111111011111110111111100111111001111110011111111111111001111110011111100111111111111110011111110111111101111111111111100111111001111110111111111",
- "INIT_5": "1111110111111111111111111111111111111110111111001111111011111100111111101111110011111110111111001111111011111100111111001111110011111110111111001111111011111111111111111111110011111100111111111111110011111100111111011111110011111101111111001111110011111100",
- "INIT_6": "1111110011111100111111001111111011111100111111001111110011111110111111101111111011111100111111001111110011111100111111001111111011111100111111001111110111111111111111001111110011111101111111111111110011111101111111001111111111111100111111001111110011111110",
- "INIT_7": "1111110011111100111111001111110011111100111111101111110011111110111111001111110011111100111111001111110011111110111111001111110011111100111111001111111011111110111111001111111011111100111111101111110011111100111111001111110011111110111111101111110011111100",
- "INIT_8": "1111110011111100111111001111110011111100111111001111110011111100111111001111111011111100111111001111110011111100111111001111111011111100111111001111111011111100111111001111110011111100111111101111110011111110111111001111110011111100111111001111110011111100",
- "INIT_9": "1111110011111100111111011111111111111100111111111111110011111110111111001111110011111100111111001111110111111101111111001111110011111100111111001111110011111101111111011111111111111100111111101111110011111100111111011111111111111100111111001111110011111100",
- "INIT_A": "1111110111111101111111011111110011111101111111111111110111111110111111001111111011111100111111101111110111111101111111001111110111111100111111001111110011111110111111001111110011111111111111111111110111111111111111001111110111111110111111101111110011111101",
- "INIT_B": "1111111011111110111111001111111111111100111111011111111011111110111111011111111111111111111111101111110011111111111111011111110011111110111111101111110011111101111111001111110111111100111111001111110011111111111111011111111111111101111111101111110011111100",
- "INIT_C": "1111111011111111111111101111111011111110111111101111111011111110111111101111111111111110111111111111111011111110111111101111111011111100111111001111110011111101111111001111110011111100111111011111110111111101111111011111110011111100111111011111110011111111",
- "INIT_D": "1111111111111111111111111111111111111111111111101111111011111111111111101111111011111110111111101111111011111110111111101111111111111110111111101111111011111111111111111111111011111110111111111111111111111110111111111111111111111110111111111111111011111110",
- "INIT_E": "1111111111111110111111101111111111111110111111101111111011111110111111101111111111111111111111101111111111111111111111101111111111111110111111101111111011111110111111101111111111111110111111111111111011111110111111101111111011111110111111111111111011111111",
- "INIT_F": "1111111011111110111111111111111111111110111111111111111111111111111111101111111011111111111111111111111111111111111111111111111011111111111111111111111011111111111111101111111011111110111111111111111111111110111111101111111111111110111111111111111011111111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:305|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5224, 5225, 5226, 2749, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 2752, 5234, 5235, 5236, 5237 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 473, "0", "0", "0", "0", "0", "0", "0", 478, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn15._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110111111111111111101111110011111100111111011111111111111100111111011111111111111110111111001111110011111101111111011111111011111100111111001111110011111110111111001111110011111101111111111111110111111101111111011111110111111110111111111111110011111100",
- "INIT_1": "1111110011111100111111111111111011111110111111101111110011111100111111001111111011111111111111101111110011111100111111001111110011111100111111001111110011111100111111001111111011111111111111111111110011111100111111001111110111111101111111001111111011111101",
- "INIT_2": "1111110011111111111111001111110111111100111111111111110011111110111111101111111011111100111111011111110011111100111111011111110011111111111111001111110011111101111111101111111011111100111111011111110111111111111111001111110011111100111111001111110011111110",
- "INIT_3": "1111111011111100111111001111110011111100111111001111111011111101111111001111111011111100111111111111110011111100111111101111110011111100111111001111111011111101111111001111111011111100111111001111110011111101111111001111111011111100111111101111110011111100",
- "INIT_4": "1111110011111100111111001111110011111100111111001111111011111100111111011111110111111100111111001111110011111101111111011111110011111100111111111111110011111100111111001111110111111100111111111111110011111100111111011111110011111100111111011111110011111111",
- "INIT_5": "1111110111111111111111011111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111011111110011111100111111001111111011111111111111001111110011111110111111001111110011111100111111011111110111111100111111011111110011111100",
- "INIT_6": "1111110011111100111111101111110011111100111111001111110011111110111111001111111011111100111111001111110011111100111111001111111011111100111111001111110111111111111111001111110011111100111111101111110011111101111111011111110111111101111111011111110011111110",
- "INIT_7": "1111110011111100111111001111111011111110111111001111110011111110111111001111110011111100111111001111110011111110111111001111111011111100111111101111111011111110111111001111111011111110111111001111110011111100111111001111111011111110111111101111110011111100",
- "INIT_8": "1111110011111100111111001111110011111100111111001111110011111100111111101111110011111100111111001111110011111100111111101111111011111100111111101111110011111100111111001111110011111110111111001111110011111110111111001111110011111100111111001111110011111100",
- "INIT_9": "1111110111111100111111001111110011111100111111111111110111111100111111001111111011111101111111001111110011111100111111011111110011111100111111001111110011111100111111001111111011111100111111101111110011111100111111001111110011111100111111101111110011111100",
- "INIT_A": "1111110011111100111111011111110011111100111111101111110111111100111111001111111011111100111111001111110111111111111111001111110011111100111111001111110011111110111111001111110011111100111111001111111011111100111111001111111011111110111111001111110011111101",
- "INIT_B": "1111110011111101111111101111110111111100111111101111111011111100111111011111111011111100111111011111111011111100111111001111111111111110111111011111110011111101111111001111110011111100111111001111110011111111111111101111110011111101111111101111111011111101",
- "INIT_C": "1111111011111110111111101111111011111111111111101111111111111110111111101111111011111110111111111111111111111110111111101111111011111100111111011111110011111101111111011111110011111100111111011111110011111100111111011111110011111100111111011111110011111110",
- "INIT_D": "1111111111111111111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111111111111111111111111111111111111111111111011111111111111101111111011111110111111101111111011111110",
- "INIT_E": "1111111011111110111111101111111011111110111111101111111011111111111111101111111111111111111111111111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111111111111011111111111111101111111011111111111111101111111011111111",
- "INIT_F": "1111111011111110111111101111111011111110111111101111111011111110111111101111111111111110111111101111111011111110111111101111111111111110111111101111111011111110111111101111111011111110111111111111111011111110111111111111111111111110111111101111111011111111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:330|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5238, 5239, 5240, 2755, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 2758, 5248, 5249, 5250, 5251 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 483, "0", "0", "0", "0", "0", "0", "0", 488, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn16._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110111111100111111101111110111111100111111001111111011111100111111011111110011111110111111011111111011111100111111011111110011111100111111001111110111111110111111101111110011111101111111001111110111111100111111001111110011111110111111001111110011111110",
- "INIT_1": "1111111011111110111111101111110011111110111111101111110011111100111111101111111011111111111111001111110011111110111111001111110011111100111111001111110011111100111111101111110011111111111111001111110011111111111111101111110011111100111111001111111111111100",
- "INIT_2": "1111110111111100111111011111110111111101111111011111111011111111111111111111110011111100111111101111110011111110111111001111111011111100111111001111110011111110111111101111111011111101111111111111110111111100111111011111110011111110111111011111111111111100",
- "INIT_3": "1111110011111101111111001111110011111100111111001111111111111100111111011111110011111101111111001111110011111101111111001111110011111100111111001111111111111100111111011111110111111100111111011111110011111100111111001111110011111101111111001111110011111100",
- "INIT_4": "1111110011111100111111001111110011111100111111001111110011111100111111011111110011111100111111011111110011111100111111011111110011111100111111001111110111111100111111101111110011111110111111101111111011111100111111011111110011111111111111011111110111111101",
- "INIT_5": "1111111111111100111111101111111111111100111111111111110111111110111111001111111011111100111111101111110011111110111111111111110011111100111111101111110011111110111111001111111011111100111111001111110011111100111111011111110011111101111111001111110011111101",
- "INIT_6": "1111110011111100111111101111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111011111110011111100111111011111110011111101111111001111110111111100111111001111110011111100111111001111110111111101111111001111110111111101",
- "INIT_7": "1111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111110111111001111110011111100111111001111110011111100111111001111110011111110111111101111110011111100",
- "INIT_8": "1111110011111100111111001111110011111100111111001111110011111100111111101111110011111100111111001111110011111100111111101111110011111110111111001111110011111110111111001111110011111110111111001111110011111100111111001111110011111100111111001111110011111100",
- "INIT_9": "1111110011111100111111001111110011111100111111001111111011111100111111001111110011111100111111001111110011111100111111011111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111111111110111111100111111011111110011111100",
- "INIT_A": "1111110011111100111111001111110011111100111111001111110011111100111111001111110011111110111111001111110111111100111111001111110111111101111111001111110011111100111111001111110011111101111111011111111111111100111111001111110111111111111111001111110011111100",
- "INIT_B": "1111110111111100111111111111110011111100111111011111111111111100111111011111110011111100111111001111111111111100111111011111110011111111111111001111110111111100111111001111110111111101111111001111110011111100111111101111110011111100111111001111110011111100",
- "INIT_C": "1111111011111110111111101111111011111111111111111111111111111110111111101111111111111110111111101111111011111110111111101111111011111100111111001111110011111100111111011111110011111100111111001111110011111100111111001111110011111101111111001111110111111100",
- "INIT_D": "1111111111111110111111111111111111111111111111111111111011111111111111111111111011111110111111101111111011111110111111101111111011111110111111101111111111111111111111111111111011111111111111101111111111111111111111111111111111111110111111111111111011111110",
- "INIT_E": "1111111011111110111111101111111011111110111111101111111111111111111111111111111011111111111111101111111111111110111111101111111111111110111111101111111011111110111111101111111011111110111111101111111111111111111111111111111111111111111111101111111111111110",
- "INIT_F": "1111111111111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111111111111101111111111111111111111111111111011111110111111111111111011111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:355|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5252, 5253, 5254, 2761, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 2764, 5262, 5263, 5264, 5265 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 493, "0", "0", "0", "0", "0", "0", "0", 498, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn17._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111111111111001111111011111100111111101111110011111101111111001111111011111100111111101111110011111110111111001111111111111110111111011111111011111101111111001111111011111100111111111111111011111100111111001111110011111100111111101111110011111101",
- "INIT_1": "1111110011111111111111001111111011111100111111111111110111111110111111001111111111111100111111101111110011111101111111001111110111111110111111011111110111111100111111001111111011111100111111101111110011111100111111001111111011111100111111111111110011111101",
- "INIT_2": "1111110011111111111111001111111111111100111111111111110011111110111111001111110111111100111111011111110011111101111111011111110011111100111111011111111011111101111111001111111011111100111111011111110011111101111111001111110111111100111111001111110011111111",
- "INIT_3": "1111110011111100111111001111111011111101111111101111110011111101111111001111111111111100111111111111110011111110111111001111111011111100111111111111110011111101111111001111111111111110111111001111110011111110111111001111111111111101111111101111111011111100",
- "INIT_4": "1111110011111110111111001111111111111111111111001111110011111100111111001111111011111100111111101111111011111100111111001111110111111100111111111111110011111111111111001111110111111100111111111111110011111110111111001111111111111100111111111111110011111111",
- "INIT_5": "1111110011111111111111001111111111111100111111001111110011111101111111011111110011111100111111011111110011111101111111001111111011111110111111011111110011111111111111001111110111111100111111011111110011111110111111001111111111111110111111011111110011111100",
- "INIT_6": "1111111011111100111111001111110011111100111111101111110011111110111111001111111011111110111111001111110011111110111111001111111111111101111111101111110011111111111111001111111011111100111111101111110011111111111111001111111111111110111111011111110011111111",
- "INIT_7": "1111110011111110111111001111111011111100111111101111110011111110111111001111111011111110111111001111110011111110111111001111111011111100111111101111111011111100111111001111111011111100111111001111110011111110111111001111111011111110111111101111110011111110",
- "INIT_8": "1111110011111110111111001111111011111110111111001111110011111110111111001111110011111100111111101111110011111100111111001111111011111100111111101111110011111100111111001111110011111100111111001111110011111110111111001111111011111100111111101111110011111110",
- "INIT_9": "1111110011111111111111001111111111111100111111111111110011111111111111001111111111111110111111001111111011111101111111001111111011111100111111111111110011111111111111001111111111111101111111101111110011111110111111001111111111111100111111101111111011111100",
- "INIT_A": "1111111011111101111111011111111011111100111111111111110111111110111111001111111111111100111111101111110011111111111111101111110011111110111111011111110111111110111111001111111011111100111111111111110011111101111111001111111011111100111111111111110011111111",
- "INIT_B": "1111110011111111111111001111110111111100111111101111110011111111111111001111111111111100111111111111110011111101111111001111111111111100111111111111110011111111111111001111111011111110111111011111110011111111111111001111110111111101111111101111110011111111",
- "INIT_C": "1111111011111111111111111111111011111110111111111111111011111111111111101111111011111110111111101111111011111110111111101111111111111100111111011111110011111101111111001111110011111100111111011111110011111101111111011111110011111100111111011111110011111101",
- "INIT_D": "1111111011111111111111101111111111111110111111111111111011111110111111101111111111111111111111101111111011111111111111101111111111111110111111101111111011111111111111101111111111111110111111101111111011111111111111101111111111111110111111101111111011111110",
- "INIT_E": "1111111011111111111111111111111011111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111011111110111111101111111011111111111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111",
- "INIT_F": "1111111011111111111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111011111110111111111111111011111111111111101111111111111110111111101111111011111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:380|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5266, 5267, 5268, 2767, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 2770, 5276, 5277, 5278, 5279 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 503, "0", "0", "0", "0", "0", "0", "0", 508, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_leds.io[0]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 368 ],
- "D_OUT_0": [ 369 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "_leds.io[10]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 388 ],
- "D_OUT_0": [ 389 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 13 ]
- }
- },
- "_leds.io[11]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 390 ],
- "D_OUT_0": [ 391 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 14 ]
- }
- },
- "_leds.io[12]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 392 ],
- "D_OUT_0": [ 393 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 15 ]
- }
- },
- "_leds.io[13]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 394 ],
- "D_OUT_0": [ 395 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 16 ]
- }
- },
- "_leds.io[14]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 396 ],
- "D_OUT_0": [ 397 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 17 ]
- }
- },
- "_leds.io[15]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 398 ],
- "D_OUT_0": [ 399 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 18 ]
- }
- },
- "_leds.io[1]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 370 ],
- "D_OUT_0": [ 371 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 4 ]
- }
- },
- "_leds.io[2]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 372 ],
- "D_OUT_0": [ 373 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "_leds.io[3]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 374 ],
- "D_OUT_0": [ 375 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "_leds.io[4]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 376 ],
- "D_OUT_0": [ 377 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 7 ]
- }
- },
- "_leds.io[5]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 378 ],
- "D_OUT_0": [ 379 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 8 ]
- }
- },
- "_leds.io[6]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 380 ],
- "D_OUT_0": [ 381 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 9 ]
- }
- },
- "_leds.io[7]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 382 ],
- "D_OUT_0": [ 383 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 10 ]
- }
- },
- "_leds.io[8]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 384 ],
- "D_OUT_0": [ 385 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 11 ]
- }
- },
- "_leds.io[9]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 386 ],
- "D_OUT_0": [ 387 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 12 ]
- }
- },
- "_mod.io[0]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 336 ],
- "D_OUT_0": [ 337 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2470 ],
- "PACKAGE_PIN": [ 25 ]
- }
- },
- "_mod.io[10]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 356 ],
- "D_OUT_0": [ 357 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2638 ],
- "PACKAGE_PIN": [ 35 ]
- }
- },
- "_mod.io[11]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 358 ],
- "D_OUT_0": [ 359 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2653 ],
- "PACKAGE_PIN": [ 36 ]
- }
- },
- "_mod.io[12]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 360 ],
- "D_OUT_0": [ 361 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2668 ],
- "PACKAGE_PIN": [ 37 ]
- }
- },
- "_mod.io[13]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 362 ],
- "D_OUT_0": [ 363 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2679 ],
- "PACKAGE_PIN": [ 38 ]
- }
- },
- "_mod.io[14]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 364 ],
- "D_OUT_0": [ 365 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2692 ],
- "PACKAGE_PIN": [ 39 ]
- }
- },
- "_mod.io[15]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 366 ],
- "D_OUT_0": [ 367 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2705 ],
- "PACKAGE_PIN": [ 40 ]
- }
- },
- "_mod.io[1]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 338 ],
- "D_OUT_0": [ 339 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2481 ],
- "PACKAGE_PIN": [ 26 ]
- }
- },
- "_mod.io[2]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 340 ],
- "D_OUT_0": [ 341 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2510 ],
- "PACKAGE_PIN": [ 27 ]
- }
- },
- "_mod.io[3]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 342 ],
- "D_OUT_0": [ 343 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2526 ],
- "PACKAGE_PIN": [ 28 ]
- }
- },
- "_mod.io[4]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 344 ],
- "D_OUT_0": [ 345 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2541 ],
- "PACKAGE_PIN": [ 29 ]
- }
- },
- "_mod.io[5]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 346 ],
- "D_OUT_0": [ 347 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2564 ],
- "PACKAGE_PIN": [ 30 ]
- }
- },
- "_mod.io[6]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 348 ],
- "D_OUT_0": [ 349 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2577 ],
- "PACKAGE_PIN": [ 31 ]
- }
- },
- "_mod.io[7]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 350 ],
- "D_OUT_0": [ 351 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2592 ],
- "PACKAGE_PIN": [ 32 ]
- }
- },
- "_mod.io[8]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 352 ],
- "D_OUT_0": [ 353 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2609 ],
- "PACKAGE_PIN": [ 33 ]
- }
- },
- "_mod.io[9]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 354 ],
- "D_OUT_0": [ 355 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2621 ],
- "PACKAGE_PIN": [ 34 ]
- }
- },
- "_rcxd._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:339|j4a.v:115"
- },
- "port_directions": {
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 2775 ],
- "INPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 20 ]
- }
- },
- "_sb_warmboot": {
- "hide_name": 0,
- "type": "SB_WARMBOOT",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:469"
- },
- "port_directions": {
- "BOOT": "input",
- "S0": "input",
- "S1": "input"
- },
- "connections": {
- "BOOT": [ 3056 ],
- "S0": [ 3055 ],
- "S1": [ 3054 ]
- }
- },
- "_spi._miso": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:22"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2785 ],
- "D_IN_0": [ 3277 ],
- "INPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 41 ]
- }
- },
- "_spi._mosi": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:57"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 3269 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 42 ]
- }
- },
- "_spi._scl": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:52"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 149 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 43 ]
- }
- },
- "_spi2._miso": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:26"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 3268 ],
- "INPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 44 ]
- }
- },
- "_spi2._mosi": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:65"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 3260 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 45 ]
- }
- },
- "_spi2._scl": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:60"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 144 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 46 ]
- }
- },
- "_spi3.sb_io_cs": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:10"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 130 ],
- "INPUT_CLK": [ 3242 ],
- "PACKAGE_PIN": [ 47 ]
- }
- },
- "_spi3.sb_io_mosi": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:20"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 3243 ],
- "INPUT_CLK": [ 3242 ],
- "PACKAGE_PIN": [ 49 ]
- }
- },
- "_spi3.sb_io_scl": {
- "hide_name": 0,
- "type": "SB_GB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:16"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 3242 ],
- "PACKAGE_PIN": [ 48 ]
- }
- },
- "pio0._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:370|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2774 ],
- "D_IN_0": [ 2525 ],
- "D_OUT_0": [ 200 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 24 ]
- }
- },
- "pio1._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:371|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2774 ],
- "D_IN_0": [ 2543 ],
- "D_OUT_0": [ 202 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 23 ]
- }
- },
- "pio2._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:372|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2774 ],
- "D_IN_0": [ 2561 ],
- "D_OUT_0": [ 204 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 21 ]
- }
- },
- "spowerpin0._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:313|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 5280 ],
- "D_OUT_0": [ "1" ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 50 ]
- }
- },
- "spowerpin1._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:314|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 5281 ],
- "D_OUT_0": [ "1" ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 51 ]
- }
- },
- "spowerpin2._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:315|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 5282 ],
- "D_OUT_0": [ "1" ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 52 ]
- }
- },
- "uut": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 3,
- "DIVQ": 0,
- "DIVR": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 1,
- "PLLOUT_SELECT": "GENCLK"
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:185"
- },
- "port_directions": {
- "BYPASS": "input",
- "LOCK": "output",
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "LOCK": [ 78 ],
- "PLLOUTCORE": [ 3053 ],
- "REFERENCECLK": [ 2 ],
- "RESETB": [ 53 ]
- }
- }
- },
- "netnames": {
- "$0\\unlocked[0:0]": {
- "hide_name": 1,
- "bits": [ 2711 ],
- "attributes": {
- "src": "j4a.v:501"
- }
- },
- "$abc$17798$n1": {
- "hide_name": 1,
- "bits": [ 2816 ],
- "attributes": {
- }
- },
- "$abc$17798$n1015": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$17798$n1019": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$17798$n102": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$17798$n1025": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$17798$n105": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$17798$n1070": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$17798$n108": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$17798$n109": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$17798$n11": {
- "hide_name": 1,
- "bits": [ 2778 ],
- "attributes": {
- }
- },
- "$abc$17798$n112": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$17798$n114": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$17798$n119": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$17798$n124": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$17798$n128": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$17798$n13": {
- "hide_name": 1,
- "bits": [ 2779 ],
- "attributes": {
- }
- },
- "$abc$17798$n133": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$17798$n137": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$17798$n139": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- }
- },
- "$abc$17798$n144": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$17798$n15": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$17798$n150": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$17798$n151": {
- "hide_name": 1,
- "bits": [ 183 ],
- "attributes": {
- }
- },
- "$abc$17798$n1536": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$17798$n1538": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$17798$n1540": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$17798$n1542": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$17798$n1544": {
- "hide_name": 1,
- "bits": [ 209 ],
- "attributes": {
- }
- },
- "$abc$17798$n1546": {
- "hide_name": 1,
- "bits": [ 211 ],
- "attributes": {
- }
- },
- "$abc$17798$n1548": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$17798$n1550": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$abc$17798$n1552": {
- "hide_name": 1,
- "bits": [ 217 ],
- "attributes": {
- }
- },
- "$abc$17798$n1554": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$17798$n1556": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$17798$n1558": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$17798$n1560": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$17798$n1562": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$17798$n1564": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$17798$n1566": {
- "hide_name": 1,
- "bits": [ 231 ],
- "attributes": {
- }
- },
- "$abc$17798$n1570": {
- "hide_name": 1,
- "bits": [ 233 ],
- "attributes": {
- }
- },
- "$abc$17798$n1579": {
- "hide_name": 1,
- "bits": [ 235 ],
- "attributes": {
- }
- },
- "$abc$17798$n1581": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$17798$n1583": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$17798$n1585": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$17798$n1587": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$17798$n1589": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$17798$n1591": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$17798$n1592": {
- "hide_name": 1,
- "bits": [ 249 ],
- "attributes": {
- }
- },
- "$abc$17798$n1594": {
- "hide_name": 1,
- "bits": [ 251 ],
- "attributes": {
- }
- },
- "$abc$17798$n1596": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$17798$n1598": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$17798$n1600": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$17798$n1602": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$17798$n1604": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$17798$n1606": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$17798$n1608": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$17798$n161": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$17798$n1610": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$17798$n1612": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$17798$n1614": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$17798$n1616": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$17798$n1618": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$17798$n1620": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$17798$n1622": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$17798$n1625": {
- "hide_name": 1,
- "bits": [ 281 ],
- "attributes": {
- }
- },
- "$abc$17798$n164": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$17798$n1642": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$17798$n1644": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$17798$n1646": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$17798$n1648": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$17798$n1650": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$17798$n1652": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$17798$n1654": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$17798$n1655": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$17798$n1657": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$17798$n1659": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$17798$n1661": {
- "hide_name": 1,
- "bits": [ 303 ],
- "attributes": {
- }
- },
- "$abc$17798$n1663": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$17798$n1665": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$17798$n1667": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$17798$n1669": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$17798$n167": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$17798$n1671": {
- "hide_name": 1,
- "bits": [ 314 ],
- "attributes": {
- }
- },
- "$abc$17798$n1673": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$17798$n1675": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$17798$n1677": {
- "hide_name": 1,
- "bits": [ 323 ],
- "attributes": {
- }
- },
- "$abc$17798$n1679": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$17798$n1681": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$17798$n1683": {
- "hide_name": 1,
- "bits": [ 332 ],
- "attributes": {
- }
- },
- "$abc$17798$n1685": {
- "hide_name": 1,
- "bits": [ 335 ],
- "attributes": {
- }
- },
- "$abc$17798$n17": {
- "hide_name": 1,
- "bits": [ 2780 ],
- "attributes": {
- }
- },
- "$abc$17798$n170": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$17798$n173": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$17798$n1751": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$17798$n1753": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$17798$n1755": {
- "hide_name": 1,
- "bits": [ 405 ],
- "attributes": {
- }
- },
- "$abc$17798$n1757": {
- "hide_name": 1,
- "bits": [ 407 ],
- "attributes": {
- }
- },
- "$abc$17798$n1759": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$17798$n1761": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$17798$n1763": {
- "hide_name": 1,
- "bits": [ 413 ],
- "attributes": {
- }
- },
- "$abc$17798$n1764": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$17798$n1765": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$17798$n1767": {
- "hide_name": 1,
- "bits": [ 416 ],
- "attributes": {
- }
- },
- "$abc$17798$n1768": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$17798$n1769": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$17798$n1770": {
- "hide_name": 1,
- "bits": [ 419 ],
- "attributes": {
- }
- },
- "$abc$17798$n1771": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$17798$n1772": {
- "hide_name": 1,
- "bits": [ 421 ],
- "attributes": {
- }
- },
- "$abc$17798$n1773": {
- "hide_name": 1,
- "bits": [ 422 ],
- "attributes": {
- }
- },
- "$abc$17798$n19": {
- "hide_name": 1,
- "bits": [ 2781 ],
- "attributes": {
- }
- },
- "$abc$17798$n21": {
- "hide_name": 1,
- "bits": [ 2782 ],
- "attributes": {
- }
- },
- "$abc$17798$n23": {
- "hide_name": 1,
- "bits": [ 2783 ],
- "attributes": {
- }
- },
- "$abc$17798$n25": {
- "hide_name": 1,
- "bits": [ 2808 ],
- "attributes": {
- }
- },
- "$abc$17798$n29": {
- "hide_name": 1,
- "bits": [ 77 ],
- "attributes": {
- }
- },
- "$abc$17798$n3": {
- "hide_name": 1,
- "bits": [ 2776 ],
- "attributes": {
- }
- },
- "$abc$17798$n3556": {
- "hide_name": 1,
- "bits": [ 2228 ],
- "attributes": {
- }
- },
- "$abc$17798$n3557": {
- "hide_name": 1,
- "bits": [ 2229 ],
- "attributes": {
- }
- },
- "$abc$17798$n3559": {
- "hide_name": 1,
- "bits": [ 2806 ],
- "attributes": {
- }
- },
- "$abc$17798$n3560": {
- "hide_name": 1,
- "bits": [ 2230 ],
- "attributes": {
- }
- },
- "$abc$17798$n3561": {
- "hide_name": 1,
- "bits": [ 2231 ],
- "attributes": {
- }
- },
- "$abc$17798$n3562": {
- "hide_name": 1,
- "bits": [ 2232 ],
- "attributes": {
- }
- },
- "$abc$17798$n3563": {
- "hide_name": 1,
- "bits": [ 2233 ],
- "attributes": {
- }
- },
- "$abc$17798$n3564": {
- "hide_name": 1,
- "bits": [ 2234 ],
- "attributes": {
- }
- },
- "$abc$17798$n3565": {
- "hide_name": 1,
- "bits": [ 2235 ],
- "attributes": {
- }
- },
- "$abc$17798$n3566": {
- "hide_name": 1,
- "bits": [ 2236 ],
- "attributes": {
- }
- },
- "$abc$17798$n3567": {
- "hide_name": 1,
- "bits": [ 2237 ],
- "attributes": {
- }
- },
- "$abc$17798$n3619": {
- "hide_name": 1,
- "bits": [ 2805 ],
- "attributes": {
- }
- },
- "$abc$17798$n3620": {
- "hide_name": 1,
- "bits": [ 2804 ],
- "attributes": {
- }
- },
- "$abc$17798$n3622": {
- "hide_name": 1,
- "bits": [ 2803 ],
- "attributes": {
- }
- },
- "$abc$17798$n3628": {
- "hide_name": 1,
- "bits": [ 2807 ],
- "attributes": {
- }
- },
- "$abc$17798$n3629": {
- "hide_name": 1,
- "bits": [ 2784 ],
- "attributes": {
- }
- },
- "$abc$17798$n3631": {
- "hide_name": 1,
- "bits": [ 2801 ],
- "attributes": {
- }
- },
- "$abc$17798$n3632": {
- "hide_name": 1,
- "bits": [ 2800 ],
- "attributes": {
- }
- },
- "$abc$17798$n3633": {
- "hide_name": 1,
- "bits": [ 2799 ],
- "attributes": {
- }
- },
- "$abc$17798$n3634": {
- "hide_name": 1,
- "bits": [ 2798 ],
- "attributes": {
- }
- },
- "$abc$17798$n3635": {
- "hide_name": 1,
- "bits": [ 2797 ],
- "attributes": {
- }
- },
- "$abc$17798$n3636": {
- "hide_name": 1,
- "bits": [ 2796 ],
- "attributes": {
- }
- },
- "$abc$17798$n3637": {
- "hide_name": 1,
- "bits": [ 2795 ],
- "attributes": {
- }
- },
- "$abc$17798$n3638": {
- "hide_name": 1,
- "bits": [ 2794 ],
- "attributes": {
- }
- },
- "$abc$17798$n3639": {
- "hide_name": 1,
- "bits": [ 2793 ],
- "attributes": {
- }
- },
- "$abc$17798$n3640": {
- "hide_name": 1,
- "bits": [ 2792 ],
- "attributes": {
- }
- },
- "$abc$17798$n3641": {
- "hide_name": 1,
- "bits": [ 2791 ],
- "attributes": {
- }
- },
- "$abc$17798$n3642": {
- "hide_name": 1,
- "bits": [ 2790 ],
- "attributes": {
- }
- },
- "$abc$17798$n3643": {
- "hide_name": 1,
- "bits": [ 2789 ],
- "attributes": {
- }
- },
- "$abc$17798$n3644": {
- "hide_name": 1,
- "bits": [ 2788 ],
- "attributes": {
- }
- },
- "$abc$17798$n3645": {
- "hide_name": 1,
- "bits": [ 2787 ],
- "attributes": {
- }
- },
- "$abc$17798$n3646": {
- "hide_name": 1,
- "bits": [ 2786 ],
- "attributes": {
- }
- },
- "$abc$17798$n38": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$17798$n3821": {
- "hide_name": 1,
- "bits": [ 2709 ],
- "attributes": {
- }
- },
- "$abc$17798$n3869": {
- "hide_name": 1,
- "bits": [ 2712 ],
- "attributes": {
- }
- },
- "$abc$17798$n3879": {
- "hide_name": 1,
- "bits": [ 2717 ],
- "attributes": {
- }
- },
- "$abc$17798$n3897": {
- "hide_name": 1,
- "bits": [ 2301 ],
- "attributes": {
- }
- },
- "$abc$17798$n3898": {
- "hide_name": 1,
- "bits": [ 2348 ],
- "attributes": {
- }
- },
- "$abc$17798$n3899": {
- "hide_name": 1,
- "bits": [ 2353 ],
- "attributes": {
- }
- },
- "$abc$17798$n3900": {
- "hide_name": 1,
- "bits": [ 2360 ],
- "attributes": {
- }
- },
- "$abc$17798$n3901": {
- "hide_name": 1,
- "bits": [ 2901 ],
- "attributes": {
- }
- },
- "$abc$17798$n3902": {
- "hide_name": 1,
- "bits": [ 2372 ],
- "attributes": {
- }
- },
- "$abc$17798$n3903": {
- "hide_name": 1,
- "bits": [ 2384 ],
- "attributes": {
- }
- },
- "$abc$17798$n3904": {
- "hide_name": 1,
- "bits": [ 2398 ],
- "attributes": {
- }
- },
- "$abc$17798$n3905": {
- "hide_name": 1,
- "bits": [ 2957 ],
- "attributes": {
- }
- },
- "$abc$17798$n3906": {
- "hide_name": 1,
- "bits": [ 2411 ],
- "attributes": {
- }
- },
- "$abc$17798$n3907": {
- "hide_name": 1,
- "bits": [ 2418 ],
- "attributes": {
- }
- },
- "$abc$17798$n3908": {
- "hide_name": 1,
- "bits": [ 2429 ],
- "attributes": {
- }
- },
- "$abc$17798$n3909": {
- "hide_name": 1,
- "bits": [ 2432 ],
- "attributes": {
- }
- },
- "$abc$17798$n3910": {
- "hide_name": 1,
- "bits": [ 2441 ],
- "attributes": {
- }
- },
- "$abc$17798$n3911": {
- "hide_name": 1,
- "bits": [ 2446 ],
- "attributes": {
- }
- },
- "$abc$17798$n3912": {
- "hide_name": 1,
- "bits": [ 2936 ],
- "attributes": {
- }
- },
- "$abc$17798$n3938": {
- "hide_name": 1,
- "bits": [ 2721 ],
- "attributes": {
- }
- },
- "$abc$17798$n3939": {
- "hide_name": 1,
- "bits": [ 2722 ],
- "attributes": {
- }
- },
- "$abc$17798$n4": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$17798$n48": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$17798$n55": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$17798$n6": {
- "hide_name": 1,
- "bits": [ 163 ],
- "attributes": {
- }
- },
- "$abc$17798$n63": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$17798$n66": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$17798$n69": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$17798$n72": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$17798$n75": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$17798$n78": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$17798$n8": {
- "hide_name": 1,
- "bits": [ 161 ],
- "attributes": {
- }
- },
- "$abc$17798$n81": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$17798$n84": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$17798$n87": {
- "hide_name": 1,
- "bits": [ 114 ],
- "attributes": {
- }
- },
- "$abc$17798$n90": {
- "hide_name": 1,
- "bits": [ 116 ],
- "attributes": {
- }
- },
- "$abc$17798$n93": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$17798$n96": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$17798$n99": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$20048$n1963_1": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$20048$n1964": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$20048$n1967": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$20048$n1971_1": {
- "hide_name": 1,
- "bits": [ 81 ],
- "attributes": {
- }
- },
- "$abc$20048$n1972_1": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$20048$n1975_1": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$20048$n1976": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$20048$n2046_1": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$20048$n2047_1": {
- "hide_name": 1,
- "bits": [ 138 ],
- "attributes": {
- }
- },
- "$abc$20048$n2052_1": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$20048$n2054": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$20048$n2057": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$20048$n2058_1": {
- "hide_name": 1,
- "bits": [ 165 ],
- "attributes": {
- }
- },
- "$abc$20048$n2060": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$20048$n2061_1": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$20048$n2062_1": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$20048$n2063": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$20048$n2064_1": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$20048$n2072": {
- "hide_name": 1,
- "bits": [ 196 ],
- "attributes": {
- }
- },
- "$abc$20048$n2131_1": {
- "hide_name": 1,
- "bits": [ 313 ],
- "attributes": {
- }
- },
- "$abc$20048$n2133_1": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$20048$n2135": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$20048$n2137_1": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$20048$n2139_1": {
- "hide_name": 1,
- "bits": [ 325 ],
- "attributes": {
- }
- },
- "$abc$20048$n2141": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$20048$n2143_1": {
- "hide_name": 1,
- "bits": [ 331 ],
- "attributes": {
- }
- },
- "$abc$20048$n2145_1": {
- "hide_name": 1,
- "bits": [ 334 ],
- "attributes": {
- }
- },
- "$abc$20048$n2191_1": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$20048$n2192": {
- "hide_name": 1,
- "bits": [ 427 ],
- "attributes": {
- }
- },
- "$abc$20048$n2193_1": {
- "hide_name": 1,
- "bits": [ 425 ],
- "attributes": {
- }
- },
- "$abc$20048$n2196_1": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$20048$n2198": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$20048$n2200_1": {
- "hide_name": 1,
- "bits": [ 441 ],
- "attributes": {
- }
- },
- "$abc$20048$n2202_1": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$20048$n2204": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$20048$n2206_1": {
- "hide_name": 1,
- "bits": [ 456 ],
- "attributes": {
- }
- },
- "$abc$20048$n2208_1": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$20048$n2210": {
- "hide_name": 1,
- "bits": [ 466 ],
- "attributes": {
- }
- },
- "$abc$20048$n2212_1": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$20048$n2214_1": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$20048$n2216": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$20048$n2218_1": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$20048$n2220_1": {
- "hide_name": 1,
- "bits": [ 491 ],
- "attributes": {
- }
- },
- "$abc$20048$n2222": {
- "hide_name": 1,
- "bits": [ 496 ],
- "attributes": {
- }
- },
- "$abc$20048$n2224_1": {
- "hide_name": 1,
- "bits": [ 501 ],
- "attributes": {
- }
- },
- "$abc$20048$n2226_1": {
- "hide_name": 1,
- "bits": [ 506 ],
- "attributes": {
- }
- },
- "$abc$20048$n2228": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$20048$n2230_1": {
- "hide_name": 1,
- "bits": [ 513 ],
- "attributes": {
- }
- },
- "$abc$20048$n2232_1": {
- "hide_name": 1,
- "bits": [ 516 ],
- "attributes": {
- }
- },
- "$abc$20048$n2234": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$20048$n2236_1": {
- "hide_name": 1,
- "bits": [ 522 ],
- "attributes": {
- }
- },
- "$abc$20048$n2238_1": {
- "hide_name": 1,
- "bits": [ 525 ],
- "attributes": {
- }
- },
- "$abc$20048$n2240": {
- "hide_name": 1,
- "bits": [ 528 ],
- "attributes": {
- }
- },
- "$abc$20048$n2242_1": {
- "hide_name": 1,
- "bits": [ 531 ],
- "attributes": {
- }
- },
- "$abc$20048$n2244_1": {
- "hide_name": 1,
- "bits": [ 534 ],
- "attributes": {
- }
- },
- "$abc$20048$n2246": {
- "hide_name": 1,
- "bits": [ 537 ],
- "attributes": {
- }
- },
- "$abc$20048$n2248_1": {
- "hide_name": 1,
- "bits": [ 540 ],
- "attributes": {
- }
- },
- "$abc$20048$n2250_1": {
- "hide_name": 1,
- "bits": [ 543 ],
- "attributes": {
- }
- },
- "$abc$20048$n2252": {
- "hide_name": 1,
- "bits": [ 546 ],
- "attributes": {
- }
- },
- "$abc$20048$n2254_1": {
- "hide_name": 1,
- "bits": [ 549 ],
- "attributes": {
- }
- },
- "$abc$20048$n2256_1": {
- "hide_name": 1,
- "bits": [ 552 ],
- "attributes": {
- }
- },
- "$abc$20048$n2258": {
- "hide_name": 1,
- "bits": [ 555 ],
- "attributes": {
- }
- },
- "$abc$20048$n2260_1": {
- "hide_name": 1,
- "bits": [ 558 ],
- "attributes": {
- }
- },
- "$abc$20048$n2262_1": {
- "hide_name": 1,
- "bits": [ 561 ],
- "attributes": {
- }
- },
- "$abc$20048$n2264": {
- "hide_name": 1,
- "bits": [ 564 ],
- "attributes": {
- }
- },
- "$abc$20048$n2266_1": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$20048$n2268_1": {
- "hide_name": 1,
- "bits": [ 570 ],
- "attributes": {
- }
- },
- "$abc$20048$n2270": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$20048$n2272_1": {
- "hide_name": 1,
- "bits": [ 576 ],
- "attributes": {
- }
- },
- "$abc$20048$n2274_1": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$20048$n2276": {
- "hide_name": 1,
- "bits": [ 582 ],
- "attributes": {
- }
- },
- "$abc$20048$n2278_1": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$20048$n2280_1": {
- "hide_name": 1,
- "bits": [ 588 ],
- "attributes": {
- }
- },
- "$abc$20048$n2282": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$20048$n2284_1": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$20048$n2286_1": {
- "hide_name": 1,
- "bits": [ 597 ],
- "attributes": {
- }
- },
- "$abc$20048$n2288": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$20048$n2290_1": {
- "hide_name": 1,
- "bits": [ 603 ],
- "attributes": {
- }
- },
- "$abc$20048$n2292_1": {
- "hide_name": 1,
- "bits": [ 606 ],
- "attributes": {
- }
- },
- "$abc$20048$n2294": {
- "hide_name": 1,
- "bits": [ 609 ],
- "attributes": {
- }
- },
- "$abc$20048$n2296_1": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$20048$n2298_1": {
- "hide_name": 1,
- "bits": [ 615 ],
- "attributes": {
- }
- },
- "$abc$20048$n2300": {
- "hide_name": 1,
- "bits": [ 618 ],
- "attributes": {
- }
- },
- "$abc$20048$n2302_1": {
- "hide_name": 1,
- "bits": [ 621 ],
- "attributes": {
- }
- },
- "$abc$20048$n2304_1": {
- "hide_name": 1,
- "bits": [ 624 ],
- "attributes": {
- }
- },
- "$abc$20048$n2306": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$20048$n2308_1": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$20048$n2310_1": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$20048$n2312": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$20048$n2314_1": {
- "hide_name": 1,
- "bits": [ 639 ],
- "attributes": {
- }
- },
- "$abc$20048$n2316_1": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$20048$n2318": {
- "hide_name": 1,
- "bits": [ 645 ],
- "attributes": {
- }
- },
- "$abc$20048$n2320_1": {
- "hide_name": 1,
- "bits": [ 648 ],
- "attributes": {
- }
- },
- "$abc$20048$n2322_1": {
- "hide_name": 1,
- "bits": [ 651 ],
- "attributes": {
- }
- },
- "$abc$20048$n2324": {
- "hide_name": 1,
- "bits": [ 654 ],
- "attributes": {
- }
- },
- "$abc$20048$n2326_1": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$20048$n2328_1": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$20048$n2330": {
- "hide_name": 1,
- "bits": [ 663 ],
- "attributes": {
- }
- },
- "$abc$20048$n2332_1": {
- "hide_name": 1,
- "bits": [ 666 ],
- "attributes": {
- }
- },
- "$abc$20048$n2334_1": {
- "hide_name": 1,
- "bits": [ 669 ],
- "attributes": {
- }
- },
- "$abc$20048$n2336": {
- "hide_name": 1,
- "bits": [ 672 ],
- "attributes": {
- }
- },
- "$abc$20048$n2338": {
- "hide_name": 1,
- "bits": [ 675 ],
- "attributes": {
- }
- },
- "$abc$20048$n2340": {
- "hide_name": 1,
- "bits": [ 678 ],
- "attributes": {
- }
- },
- "$abc$20048$n2342": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$20048$n2344": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$20048$n2346": {
- "hide_name": 1,
- "bits": [ 687 ],
- "attributes": {
- }
- },
- "$abc$20048$n2348": {
- "hide_name": 1,
- "bits": [ 690 ],
- "attributes": {
- }
- },
- "$abc$20048$n2350": {
- "hide_name": 1,
- "bits": [ 693 ],
- "attributes": {
- }
- },
- "$abc$20048$n2352": {
- "hide_name": 1,
- "bits": [ 696 ],
- "attributes": {
- }
- },
- "$abc$20048$n2354": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$20048$n2356": {
- "hide_name": 1,
- "bits": [ 702 ],
- "attributes": {
- }
- },
- "$abc$20048$n2358": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$20048$n2360": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$20048$n2362": {
- "hide_name": 1,
- "bits": [ 711 ],
- "attributes": {
- }
- },
- "$abc$20048$n2364": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$20048$n2366": {
- "hide_name": 1,
- "bits": [ 717 ],
- "attributes": {
- }
- },
- "$abc$20048$n2368": {
- "hide_name": 1,
- "bits": [ 720 ],
- "attributes": {
- }
- },
- "$abc$20048$n2370": {
- "hide_name": 1,
- "bits": [ 723 ],
- "attributes": {
- }
- },
- "$abc$20048$n2372": {
- "hide_name": 1,
- "bits": [ 726 ],
- "attributes": {
- }
- },
- "$abc$20048$n2374": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$20048$n2376": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$20048$n2378": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$20048$n2380_1": {
- "hide_name": 1,
- "bits": [ 738 ],
- "attributes": {
- }
- },
- "$abc$20048$n2382": {
- "hide_name": 1,
- "bits": [ 741 ],
- "attributes": {
- }
- },
- "$abc$20048$n2384_1": {
- "hide_name": 1,
- "bits": [ 744 ],
- "attributes": {
- }
- },
- "$abc$20048$n2386": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$20048$n2388": {
- "hide_name": 1,
- "bits": [ 750 ],
- "attributes": {
- }
- },
- "$abc$20048$n2390_1": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$20048$n2392": {
- "hide_name": 1,
- "bits": [ 756 ],
- "attributes": {
- }
- },
- "$abc$20048$n2394_1": {
- "hide_name": 1,
- "bits": [ 759 ],
- "attributes": {
- }
- },
- "$abc$20048$n2396": {
- "hide_name": 1,
- "bits": [ 762 ],
- "attributes": {
- }
- },
- "$abc$20048$n2398_1": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$20048$n2400": {
- "hide_name": 1,
- "bits": [ 768 ],
- "attributes": {
- }
- },
- "$abc$20048$n2402_1": {
- "hide_name": 1,
- "bits": [ 771 ],
- "attributes": {
- }
- },
- "$abc$20048$n2404": {
- "hide_name": 1,
- "bits": [ 774 ],
- "attributes": {
- }
- },
- "$abc$20048$n2406_1": {
- "hide_name": 1,
- "bits": [ 777 ],
- "attributes": {
- }
- },
- "$abc$20048$n2408": {
- "hide_name": 1,
- "bits": [ 780 ],
- "attributes": {
- }
- },
- "$abc$20048$n2410_1": {
- "hide_name": 1,
- "bits": [ 783 ],
- "attributes": {
- }
- },
- "$abc$20048$n2412": {
- "hide_name": 1,
- "bits": [ 786 ],
- "attributes": {
- }
- },
- "$abc$20048$n2414_1": {
- "hide_name": 1,
- "bits": [ 789 ],
- "attributes": {
- }
- },
- "$abc$20048$n2416": {
- "hide_name": 1,
- "bits": [ 792 ],
- "attributes": {
- }
- },
- "$abc$20048$n2418_1": {
- "hide_name": 1,
- "bits": [ 795 ],
- "attributes": {
- }
- },
- "$abc$20048$n2420": {
- "hide_name": 1,
- "bits": [ 798 ],
- "attributes": {
- }
- },
- "$abc$20048$n2422_1": {
- "hide_name": 1,
- "bits": [ 801 ],
- "attributes": {
- }
- },
- "$abc$20048$n2424": {
- "hide_name": 1,
- "bits": [ 804 ],
- "attributes": {
- }
- },
- "$abc$20048$n2426_1": {
- "hide_name": 1,
- "bits": [ 807 ],
- "attributes": {
- }
- },
- "$abc$20048$n2428": {
- "hide_name": 1,
- "bits": [ 810 ],
- "attributes": {
- }
- },
- "$abc$20048$n2430_1": {
- "hide_name": 1,
- "bits": [ 813 ],
- "attributes": {
- }
- },
- "$abc$20048$n2432": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$20048$n2434": {
- "hide_name": 1,
- "bits": [ 819 ],
- "attributes": {
- }
- },
- "$abc$20048$n2436_1": {
- "hide_name": 1,
- "bits": [ 822 ],
- "attributes": {
- }
- },
- "$abc$20048$n2438": {
- "hide_name": 1,
- "bits": [ 825 ],
- "attributes": {
- }
- },
- "$abc$20048$n2440_1": {
- "hide_name": 1,
- "bits": [ 828 ],
- "attributes": {
- }
- },
- "$abc$20048$n2442_1": {
- "hide_name": 1,
- "bits": [ 831 ],
- "attributes": {
- }
- },
- "$abc$20048$n2444_1": {
- "hide_name": 1,
- "bits": [ 834 ],
- "attributes": {
- }
- },
- "$abc$20048$n2446_1": {
- "hide_name": 1,
- "bits": [ 837 ],
- "attributes": {
- }
- },
- "$abc$20048$n2448_1": {
- "hide_name": 1,
- "bits": [ 840 ],
- "attributes": {
- }
- },
- "$abc$20048$n2450": {
- "hide_name": 1,
- "bits": [ 843 ],
- "attributes": {
- }
- },
- "$abc$20048$n2452": {
- "hide_name": 1,
- "bits": [ 846 ],
- "attributes": {
- }
- },
- "$abc$20048$n2454": {
- "hide_name": 1,
- "bits": [ 849 ],
- "attributes": {
- }
- },
- "$abc$20048$n2456": {
- "hide_name": 1,
- "bits": [ 852 ],
- "attributes": {
- }
- },
- "$abc$20048$n2458": {
- "hide_name": 1,
- "bits": [ 855 ],
- "attributes": {
- }
- },
- "$abc$20048$n2460": {
- "hide_name": 1,
- "bits": [ 858 ],
- "attributes": {
- }
- },
- "$abc$20048$n2462": {
- "hide_name": 1,
- "bits": [ 861 ],
- "attributes": {
- }
- },
- "$abc$20048$n2464": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$20048$n2466": {
- "hide_name": 1,
- "bits": [ 867 ],
- "attributes": {
- }
- },
- "$abc$20048$n2468_1": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$20048$n2470": {
- "hide_name": 1,
- "bits": [ 873 ],
- "attributes": {
- }
- },
- "$abc$20048$n2472": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$20048$n2474_1": {
- "hide_name": 1,
- "bits": [ 879 ],
- "attributes": {
- }
- },
- "$abc$20048$n2476": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$20048$n2478": {
- "hide_name": 1,
- "bits": [ 885 ],
- "attributes": {
- }
- },
- "$abc$20048$n2480_1": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$20048$n2482": {
- "hide_name": 1,
- "bits": [ 891 ],
- "attributes": {
- }
- },
- "$abc$20048$n2484": {
- "hide_name": 1,
- "bits": [ 894 ],
- "attributes": {
- }
- },
- "$abc$20048$n2486_1": {
- "hide_name": 1,
- "bits": [ 897 ],
- "attributes": {
- }
- },
- "$abc$20048$n2488": {
- "hide_name": 1,
- "bits": [ 900 ],
- "attributes": {
- }
- },
- "$abc$20048$n2490": {
- "hide_name": 1,
- "bits": [ 903 ],
- "attributes": {
- }
- },
- "$abc$20048$n2492_1": {
- "hide_name": 1,
- "bits": [ 906 ],
- "attributes": {
- }
- },
- "$abc$20048$n2494": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$20048$n2496": {
- "hide_name": 1,
- "bits": [ 912 ],
- "attributes": {
- }
- },
- "$abc$20048$n2498": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$20048$n2500_1": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$20048$n2502": {
- "hide_name": 1,
- "bits": [ 921 ],
- "attributes": {
- }
- },
- "$abc$20048$n2504": {
- "hide_name": 1,
- "bits": [ 924 ],
- "attributes": {
- }
- },
- "$abc$20048$n2506": {
- "hide_name": 1,
- "bits": [ 927 ],
- "attributes": {
- }
- },
- "$abc$20048$n2508_1": {
- "hide_name": 1,
- "bits": [ 930 ],
- "attributes": {
- }
- },
- "$abc$20048$n2510": {
- "hide_name": 1,
- "bits": [ 933 ],
- "attributes": {
- }
- },
- "$abc$20048$n2512_1": {
- "hide_name": 1,
- "bits": [ 936 ],
- "attributes": {
- }
- },
- "$abc$20048$n2514": {
- "hide_name": 1,
- "bits": [ 939 ],
- "attributes": {
- }
- },
- "$abc$20048$n2516": {
- "hide_name": 1,
- "bits": [ 942 ],
- "attributes": {
- }
- },
- "$abc$20048$n2518": {
- "hide_name": 1,
- "bits": [ 945 ],
- "attributes": {
- }
- },
- "$abc$20048$n2520_1": {
- "hide_name": 1,
- "bits": [ 948 ],
- "attributes": {
- }
- },
- "$abc$20048$n2522": {
- "hide_name": 1,
- "bits": [ 951 ],
- "attributes": {
- }
- },
- "$abc$20048$n2524": {
- "hide_name": 1,
- "bits": [ 954 ],
- "attributes": {
- }
- },
- "$abc$20048$n2526": {
- "hide_name": 1,
- "bits": [ 957 ],
- "attributes": {
- }
- },
- "$abc$20048$n2528": {
- "hide_name": 1,
- "bits": [ 960 ],
- "attributes": {
- }
- },
- "$abc$20048$n2530": {
- "hide_name": 1,
- "bits": [ 963 ],
- "attributes": {
- }
- },
- "$abc$20048$n2532": {
- "hide_name": 1,
- "bits": [ 966 ],
- "attributes": {
- }
- },
- "$abc$20048$n2534": {
- "hide_name": 1,
- "bits": [ 969 ],
- "attributes": {
- }
- },
- "$abc$20048$n2536_1": {
- "hide_name": 1,
- "bits": [ 972 ],
- "attributes": {
- }
- },
- "$abc$20048$n2538_1": {
- "hide_name": 1,
- "bits": [ 975 ],
- "attributes": {
- }
- },
- "$abc$20048$n2540": {
- "hide_name": 1,
- "bits": [ 978 ],
- "attributes": {
- }
- },
- "$abc$20048$n2542_1": {
- "hide_name": 1,
- "bits": [ 981 ],
- "attributes": {
- }
- },
- "$abc$20048$n2544": {
- "hide_name": 1,
- "bits": [ 984 ],
- "attributes": {
- }
- },
- "$abc$20048$n2546": {
- "hide_name": 1,
- "bits": [ 987 ],
- "attributes": {
- }
- },
- "$abc$20048$n2548": {
- "hide_name": 1,
- "bits": [ 990 ],
- "attributes": {
- }
- },
- "$abc$20048$n2550": {
- "hide_name": 1,
- "bits": [ 993 ],
- "attributes": {
- }
- },
- "$abc$20048$n2552_1": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$20048$n2554_1": {
- "hide_name": 1,
- "bits": [ 999 ],
- "attributes": {
- }
- },
- "$abc$20048$n2556": {
- "hide_name": 1,
- "bits": [ 1002 ],
- "attributes": {
- }
- },
- "$abc$20048$n2558_1": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$20048$n2560": {
- "hide_name": 1,
- "bits": [ 1008 ],
- "attributes": {
- }
- },
- "$abc$20048$n2562_1": {
- "hide_name": 1,
- "bits": [ 1011 ],
- "attributes": {
- }
- },
- "$abc$20048$n2564": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$20048$n2566": {
- "hide_name": 1,
- "bits": [ 1017 ],
- "attributes": {
- }
- },
- "$abc$20048$n2568": {
- "hide_name": 1,
- "bits": [ 1020 ],
- "attributes": {
- }
- },
- "$abc$20048$n2570": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$20048$n2572": {
- "hide_name": 1,
- "bits": [ 1026 ],
- "attributes": {
- }
- },
- "$abc$20048$n2574": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$20048$n2576": {
- "hide_name": 1,
- "bits": [ 1032 ],
- "attributes": {
- }
- },
- "$abc$20048$n2578": {
- "hide_name": 1,
- "bits": [ 1035 ],
- "attributes": {
- }
- },
- "$abc$20048$n2580": {
- "hide_name": 1,
- "bits": [ 1038 ],
- "attributes": {
- }
- },
- "$abc$20048$n2582": {
- "hide_name": 1,
- "bits": [ 1041 ],
- "attributes": {
- }
- },
- "$abc$20048$n2584": {
- "hide_name": 1,
- "bits": [ 1044 ],
- "attributes": {
- }
- },
- "$abc$20048$n2586": {
- "hide_name": 1,
- "bits": [ 1047 ],
- "attributes": {
- }
- },
- "$abc$20048$n2588": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$20048$n2590": {
- "hide_name": 1,
- "bits": [ 1053 ],
- "attributes": {
- }
- },
- "$abc$20048$n2592": {
- "hide_name": 1,
- "bits": [ 1056 ],
- "attributes": {
- }
- },
- "$abc$20048$n2594_1": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$20048$n2596": {
- "hide_name": 1,
- "bits": [ 1062 ],
- "attributes": {
- }
- },
- "$abc$20048$n2598": {
- "hide_name": 1,
- "bits": [ 1065 ],
- "attributes": {
- }
- },
- "$abc$20048$n2600": {
- "hide_name": 1,
- "bits": [ 1068 ],
- "attributes": {
- }
- },
- "$abc$20048$n2602": {
- "hide_name": 1,
- "bits": [ 1071 ],
- "attributes": {
- }
- },
- "$abc$20048$n2604": {
- "hide_name": 1,
- "bits": [ 1074 ],
- "attributes": {
- }
- },
- "$abc$20048$n2606": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$20048$n2608": {
- "hide_name": 1,
- "bits": [ 1080 ],
- "attributes": {
- }
- },
- "$abc$20048$n2610": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$20048$n2612": {
- "hide_name": 1,
- "bits": [ 1086 ],
- "attributes": {
- }
- },
- "$abc$20048$n2614": {
- "hide_name": 1,
- "bits": [ 1089 ],
- "attributes": {
- }
- },
- "$abc$20048$n2616": {
- "hide_name": 1,
- "bits": [ 1092 ],
- "attributes": {
- }
- },
- "$abc$20048$n2618": {
- "hide_name": 1,
- "bits": [ 1095 ],
- "attributes": {
- }
- },
- "$abc$20048$n2620": {
- "hide_name": 1,
- "bits": [ 1098 ],
- "attributes": {
- }
- },
- "$abc$20048$n2622": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$20048$n2624": {
- "hide_name": 1,
- "bits": [ 1104 ],
- "attributes": {
- }
- },
- "$abc$20048$n2626": {
- "hide_name": 1,
- "bits": [ 1107 ],
- "attributes": {
- }
- },
- "$abc$20048$n2628": {
- "hide_name": 1,
- "bits": [ 1110 ],
- "attributes": {
- }
- },
- "$abc$20048$n2630_1": {
- "hide_name": 1,
- "bits": [ 1113 ],
- "attributes": {
- }
- },
- "$abc$20048$n2632": {
- "hide_name": 1,
- "bits": [ 1116 ],
- "attributes": {
- }
- },
- "$abc$20048$n2634": {
- "hide_name": 1,
- "bits": [ 1119 ],
- "attributes": {
- }
- },
- "$abc$20048$n2636_1": {
- "hide_name": 1,
- "bits": [ 1122 ],
- "attributes": {
- }
- },
- "$abc$20048$n2638": {
- "hide_name": 1,
- "bits": [ 1125 ],
- "attributes": {
- }
- },
- "$abc$20048$n2640": {
- "hide_name": 1,
- "bits": [ 1128 ],
- "attributes": {
- }
- },
- "$abc$20048$n2642": {
- "hide_name": 1,
- "bits": [ 1131 ],
- "attributes": {
- }
- },
- "$abc$20048$n2644": {
- "hide_name": 1,
- "bits": [ 1134 ],
- "attributes": {
- }
- },
- "$abc$20048$n2646": {
- "hide_name": 1,
- "bits": [ 1137 ],
- "attributes": {
- }
- },
- "$abc$20048$n2648": {
- "hide_name": 1,
- "bits": [ 1140 ],
- "attributes": {
- }
- },
- "$abc$20048$n2650": {
- "hide_name": 1,
- "bits": [ 1143 ],
- "attributes": {
- }
- },
- "$abc$20048$n2652": {
- "hide_name": 1,
- "bits": [ 1146 ],
- "attributes": {
- }
- },
- "$abc$20048$n2654": {
- "hide_name": 1,
- "bits": [ 1149 ],
- "attributes": {
- }
- },
- "$abc$20048$n2656": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$20048$n2658_1": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$20048$n2660": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$20048$n2662": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$20048$n2664": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$20048$n2666": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$20048$n2668": {
- "hide_name": 1,
- "bits": [ 1170 ],
- "attributes": {
- }
- },
- "$abc$20048$n2670": {
- "hide_name": 1,
- "bits": [ 1173 ],
- "attributes": {
- }
- },
- "$abc$20048$n2672": {
- "hide_name": 1,
- "bits": [ 1176 ],
- "attributes": {
- }
- },
- "$abc$20048$n2674_1": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$20048$n2692": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$20048$n2693_1": {
- "hide_name": 1,
- "bits": [ 1200 ],
- "attributes": {
- }
- },
- "$abc$20048$n2694": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$20048$n2696_1": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$20048$n2698": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$20048$n2700": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$20048$n2702_1": {
- "hide_name": 1,
- "bits": [ 1214 ],
- "attributes": {
- }
- },
- "$abc$20048$n2704": {
- "hide_name": 1,
- "bits": [ 1217 ],
- "attributes": {
- }
- },
- "$abc$20048$n2706": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$20048$n2708": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$20048$n2710": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$20048$n2712": {
- "hide_name": 1,
- "bits": [ 1229 ],
- "attributes": {
- }
- },
- "$abc$20048$n2714": {
- "hide_name": 1,
- "bits": [ 1232 ],
- "attributes": {
- }
- },
- "$abc$20048$n2716_1": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$20048$n2718_1": {
- "hide_name": 1,
- "bits": [ 1238 ],
- "attributes": {
- }
- },
- "$abc$20048$n2720": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$20048$n2722": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$20048$n2724": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$20048$n2726": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$20048$n2727": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$20048$n2728": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$20048$n2730": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$20048$n2732_1": {
- "hide_name": 1,
- "bits": [ 1263 ],
- "attributes": {
- }
- },
- "$abc$20048$n2734": {
- "hide_name": 1,
- "bits": [ 1268 ],
- "attributes": {
- }
- },
- "$abc$20048$n2736_1": {
- "hide_name": 1,
- "bits": [ 1273 ],
- "attributes": {
- }
- },
- "$abc$20048$n2738": {
- "hide_name": 1,
- "bits": [ 1278 ],
- "attributes": {
- }
- },
- "$abc$20048$n2740": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$20048$n2742": {
- "hide_name": 1,
- "bits": [ 1288 ],
- "attributes": {
- }
- },
- "$abc$20048$n2744": {
- "hide_name": 1,
- "bits": [ 1293 ],
- "attributes": {
- }
- },
- "$abc$20048$n2746": {
- "hide_name": 1,
- "bits": [ 1298 ],
- "attributes": {
- }
- },
- "$abc$20048$n2748": {
- "hide_name": 1,
- "bits": [ 1303 ],
- "attributes": {
- }
- },
- "$abc$20048$n2750": {
- "hide_name": 1,
- "bits": [ 1308 ],
- "attributes": {
- }
- },
- "$abc$20048$n2752": {
- "hide_name": 1,
- "bits": [ 1313 ],
- "attributes": {
- }
- },
- "$abc$20048$n2754": {
- "hide_name": 1,
- "bits": [ 1318 ],
- "attributes": {
- }
- },
- "$abc$20048$n2756": {
- "hide_name": 1,
- "bits": [ 1323 ],
- "attributes": {
- }
- },
- "$abc$20048$n2758": {
- "hide_name": 1,
- "bits": [ 1328 ],
- "attributes": {
- }
- },
- "$abc$20048$n2760": {
- "hide_name": 1,
- "bits": [ 1333 ],
- "attributes": {
- }
- },
- "$abc$20048$n2762": {
- "hide_name": 1,
- "bits": [ 1336 ],
- "attributes": {
- }
- },
- "$abc$20048$n2764": {
- "hide_name": 1,
- "bits": [ 1339 ],
- "attributes": {
- }
- },
- "$abc$20048$n2766": {
- "hide_name": 1,
- "bits": [ 1342 ],
- "attributes": {
- }
- },
- "$abc$20048$n2768": {
- "hide_name": 1,
- "bits": [ 1345 ],
- "attributes": {
- }
- },
- "$abc$20048$n2770": {
- "hide_name": 1,
- "bits": [ 1348 ],
- "attributes": {
- }
- },
- "$abc$20048$n2772": {
- "hide_name": 1,
- "bits": [ 1351 ],
- "attributes": {
- }
- },
- "$abc$20048$n2774": {
- "hide_name": 1,
- "bits": [ 1354 ],
- "attributes": {
- }
- },
- "$abc$20048$n2776": {
- "hide_name": 1,
- "bits": [ 1357 ],
- "attributes": {
- }
- },
- "$abc$20048$n2778": {
- "hide_name": 1,
- "bits": [ 1360 ],
- "attributes": {
- }
- },
- "$abc$20048$n2780": {
- "hide_name": 1,
- "bits": [ 1363 ],
- "attributes": {
- }
- },
- "$abc$20048$n2782": {
- "hide_name": 1,
- "bits": [ 1366 ],
- "attributes": {
- }
- },
- "$abc$20048$n2784": {
- "hide_name": 1,
- "bits": [ 1369 ],
- "attributes": {
- }
- },
- "$abc$20048$n2786": {
- "hide_name": 1,
- "bits": [ 1372 ],
- "attributes": {
- }
- },
- "$abc$20048$n2788": {
- "hide_name": 1,
- "bits": [ 1375 ],
- "attributes": {
- }
- },
- "$abc$20048$n2790": {
- "hide_name": 1,
- "bits": [ 1378 ],
- "attributes": {
- }
- },
- "$abc$20048$n2792": {
- "hide_name": 1,
- "bits": [ 1381 ],
- "attributes": {
- }
- },
- "$abc$20048$n2794": {
- "hide_name": 1,
- "bits": [ 1384 ],
- "attributes": {
- }
- },
- "$abc$20048$n2796": {
- "hide_name": 1,
- "bits": [ 1387 ],
- "attributes": {
- }
- },
- "$abc$20048$n2798": {
- "hide_name": 1,
- "bits": [ 1390 ],
- "attributes": {
- }
- },
- "$abc$20048$n2800_1": {
- "hide_name": 1,
- "bits": [ 1393 ],
- "attributes": {
- }
- },
- "$abc$20048$n2802": {
- "hide_name": 1,
- "bits": [ 1396 ],
- "attributes": {
- }
- },
- "$abc$20048$n2804": {
- "hide_name": 1,
- "bits": [ 1399 ],
- "attributes": {
- }
- },
- "$abc$20048$n2806": {
- "hide_name": 1,
- "bits": [ 1402 ],
- "attributes": {
- }
- },
- "$abc$20048$n2808": {
- "hide_name": 1,
- "bits": [ 1405 ],
- "attributes": {
- }
- },
- "$abc$20048$n2810": {
- "hide_name": 1,
- "bits": [ 1408 ],
- "attributes": {
- }
- },
- "$abc$20048$n2812_1": {
- "hide_name": 1,
- "bits": [ 1411 ],
- "attributes": {
- }
- },
- "$abc$20048$n2814": {
- "hide_name": 1,
- "bits": [ 1414 ],
- "attributes": {
- }
- },
- "$abc$20048$n2816": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$20048$n2818": {
- "hide_name": 1,
- "bits": [ 1420 ],
- "attributes": {
- }
- },
- "$abc$20048$n2820": {
- "hide_name": 1,
- "bits": [ 1423 ],
- "attributes": {
- }
- },
- "$abc$20048$n2822": {
- "hide_name": 1,
- "bits": [ 1426 ],
- "attributes": {
- }
- },
- "$abc$20048$n2824": {
- "hide_name": 1,
- "bits": [ 1429 ],
- "attributes": {
- }
- },
- "$abc$20048$n2826": {
- "hide_name": 1,
- "bits": [ 1432 ],
- "attributes": {
- }
- },
- "$abc$20048$n2828": {
- "hide_name": 1,
- "bits": [ 1435 ],
- "attributes": {
- }
- },
- "$abc$20048$n2830": {
- "hide_name": 1,
- "bits": [ 1438 ],
- "attributes": {
- }
- },
- "$abc$20048$n2832": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$abc$20048$n2834": {
- "hide_name": 1,
- "bits": [ 1444 ],
- "attributes": {
- }
- },
- "$abc$20048$n2836": {
- "hide_name": 1,
- "bits": [ 1447 ],
- "attributes": {
- }
- },
- "$abc$20048$n2838": {
- "hide_name": 1,
- "bits": [ 1450 ],
- "attributes": {
- }
- },
- "$abc$20048$n2840": {
- "hide_name": 1,
- "bits": [ 1453 ],
- "attributes": {
- }
- },
- "$abc$20048$n2842_1": {
- "hide_name": 1,
- "bits": [ 1456 ],
- "attributes": {
- }
- },
- "$abc$20048$n2844": {
- "hide_name": 1,
- "bits": [ 1459 ],
- "attributes": {
- }
- },
- "$abc$20048$n2846": {
- "hide_name": 1,
- "bits": [ 1462 ],
- "attributes": {
- }
- },
- "$abc$20048$n2848": {
- "hide_name": 1,
- "bits": [ 1465 ],
- "attributes": {
- }
- },
- "$abc$20048$n2850": {
- "hide_name": 1,
- "bits": [ 1468 ],
- "attributes": {
- }
- },
- "$abc$20048$n2852": {
- "hide_name": 1,
- "bits": [ 1471 ],
- "attributes": {
- }
- },
- "$abc$20048$n2854": {
- "hide_name": 1,
- "bits": [ 1474 ],
- "attributes": {
- }
- },
- "$abc$20048$n2856": {
- "hide_name": 1,
- "bits": [ 1477 ],
- "attributes": {
- }
- },
- "$abc$20048$n2858_1": {
- "hide_name": 1,
- "bits": [ 1480 ],
- "attributes": {
- }
- },
- "$abc$20048$n2860": {
- "hide_name": 1,
- "bits": [ 1483 ],
- "attributes": {
- }
- },
- "$abc$20048$n2862": {
- "hide_name": 1,
- "bits": [ 1486 ],
- "attributes": {
- }
- },
- "$abc$20048$n2864": {
- "hide_name": 1,
- "bits": [ 1489 ],
- "attributes": {
- }
- },
- "$abc$20048$n2866": {
- "hide_name": 1,
- "bits": [ 1492 ],
- "attributes": {
- }
- },
- "$abc$20048$n2868_1": {
- "hide_name": 1,
- "bits": [ 1495 ],
- "attributes": {
- }
- },
- "$abc$20048$n2870": {
- "hide_name": 1,
- "bits": [ 1498 ],
- "attributes": {
- }
- },
- "$abc$20048$n2872": {
- "hide_name": 1,
- "bits": [ 1501 ],
- "attributes": {
- }
- },
- "$abc$20048$n2874": {
- "hide_name": 1,
- "bits": [ 1504 ],
- "attributes": {
- }
- },
- "$abc$20048$n2876": {
- "hide_name": 1,
- "bits": [ 1507 ],
- "attributes": {
- }
- },
- "$abc$20048$n2878": {
- "hide_name": 1,
- "bits": [ 1510 ],
- "attributes": {
- }
- },
- "$abc$20048$n2880": {
- "hide_name": 1,
- "bits": [ 1513 ],
- "attributes": {
- }
- },
- "$abc$20048$n2882": {
- "hide_name": 1,
- "bits": [ 1516 ],
- "attributes": {
- }
- },
- "$abc$20048$n2884_1": {
- "hide_name": 1,
- "bits": [ 1519 ],
- "attributes": {
- }
- },
- "$abc$20048$n2886": {
- "hide_name": 1,
- "bits": [ 1522 ],
- "attributes": {
- }
- },
- "$abc$20048$n2888": {
- "hide_name": 1,
- "bits": [ 1525 ],
- "attributes": {
- }
- },
- "$abc$20048$n2890_1": {
- "hide_name": 1,
- "bits": [ 1528 ],
- "attributes": {
- }
- },
- "$abc$20048$n2892": {
- "hide_name": 1,
- "bits": [ 1531 ],
- "attributes": {
- }
- },
- "$abc$20048$n2894": {
- "hide_name": 1,
- "bits": [ 1534 ],
- "attributes": {
- }
- },
- "$abc$20048$n2896": {
- "hide_name": 1,
- "bits": [ 1537 ],
- "attributes": {
- }
- },
- "$abc$20048$n2898": {
- "hide_name": 1,
- "bits": [ 1540 ],
- "attributes": {
- }
- },
- "$abc$20048$n2900": {
- "hide_name": 1,
- "bits": [ 1543 ],
- "attributes": {
- }
- },
- "$abc$20048$n2902": {
- "hide_name": 1,
- "bits": [ 1546 ],
- "attributes": {
- }
- },
- "$abc$20048$n2904": {
- "hide_name": 1,
- "bits": [ 1549 ],
- "attributes": {
- }
- },
- "$abc$20048$n2906_1": {
- "hide_name": 1,
- "bits": [ 1552 ],
- "attributes": {
- }
- },
- "$abc$20048$n2908": {
- "hide_name": 1,
- "bits": [ 1555 ],
- "attributes": {
- }
- },
- "$abc$20048$n2910": {
- "hide_name": 1,
- "bits": [ 1558 ],
- "attributes": {
- }
- },
- "$abc$20048$n2912": {
- "hide_name": 1,
- "bits": [ 1561 ],
- "attributes": {
- }
- },
- "$abc$20048$n2914": {
- "hide_name": 1,
- "bits": [ 1564 ],
- "attributes": {
- }
- },
- "$abc$20048$n2916_1": {
- "hide_name": 1,
- "bits": [ 1567 ],
- "attributes": {
- }
- },
- "$abc$20048$n2918": {
- "hide_name": 1,
- "bits": [ 1570 ],
- "attributes": {
- }
- },
- "$abc$20048$n2920": {
- "hide_name": 1,
- "bits": [ 1573 ],
- "attributes": {
- }
- },
- "$abc$20048$n2922": {
- "hide_name": 1,
- "bits": [ 1576 ],
- "attributes": {
- }
- },
- "$abc$20048$n2924_1": {
- "hide_name": 1,
- "bits": [ 1579 ],
- "attributes": {
- }
- },
- "$abc$20048$n2926": {
- "hide_name": 1,
- "bits": [ 1582 ],
- "attributes": {
- }
- },
- "$abc$20048$n2928": {
- "hide_name": 1,
- "bits": [ 1585 ],
- "attributes": {
- }
- },
- "$abc$20048$n2930_1": {
- "hide_name": 1,
- "bits": [ 1588 ],
- "attributes": {
- }
- },
- "$abc$20048$n2932_1": {
- "hide_name": 1,
- "bits": [ 1591 ],
- "attributes": {
- }
- },
- "$abc$20048$n2934": {
- "hide_name": 1,
- "bits": [ 1594 ],
- "attributes": {
- }
- },
- "$abc$20048$n2936": {
- "hide_name": 1,
- "bits": [ 1597 ],
- "attributes": {
- }
- },
- "$abc$20048$n2938": {
- "hide_name": 1,
- "bits": [ 1600 ],
- "attributes": {
- }
- },
- "$abc$20048$n2940": {
- "hide_name": 1,
- "bits": [ 1603 ],
- "attributes": {
- }
- },
- "$abc$20048$n2942_1": {
- "hide_name": 1,
- "bits": [ 1606 ],
- "attributes": {
- }
- },
- "$abc$20048$n2944_1": {
- "hide_name": 1,
- "bits": [ 1609 ],
- "attributes": {
- }
- },
- "$abc$20048$n2946_1": {
- "hide_name": 1,
- "bits": [ 1612 ],
- "attributes": {
- }
- },
- "$abc$20048$n2948": {
- "hide_name": 1,
- "bits": [ 1615 ],
- "attributes": {
- }
- },
- "$abc$20048$n2950": {
- "hide_name": 1,
- "bits": [ 1618 ],
- "attributes": {
- }
- },
- "$abc$20048$n2952": {
- "hide_name": 1,
- "bits": [ 1621 ],
- "attributes": {
- }
- },
- "$abc$20048$n2954_1": {
- "hide_name": 1,
- "bits": [ 1624 ],
- "attributes": {
- }
- },
- "$abc$20048$n2956_1": {
- "hide_name": 1,
- "bits": [ 1627 ],
- "attributes": {
- }
- },
- "$abc$20048$n2958": {
- "hide_name": 1,
- "bits": [ 1630 ],
- "attributes": {
- }
- },
- "$abc$20048$n2960": {
- "hide_name": 1,
- "bits": [ 1633 ],
- "attributes": {
- }
- },
- "$abc$20048$n2962": {
- "hide_name": 1,
- "bits": [ 1636 ],
- "attributes": {
- }
- },
- "$abc$20048$n2964": {
- "hide_name": 1,
- "bits": [ 1639 ],
- "attributes": {
- }
- },
- "$abc$20048$n2966_1": {
- "hide_name": 1,
- "bits": [ 1642 ],
- "attributes": {
- }
- },
- "$abc$20048$n2968_1": {
- "hide_name": 1,
- "bits": [ 1645 ],
- "attributes": {
- }
- },
- "$abc$20048$n2970": {
- "hide_name": 1,
- "bits": [ 1648 ],
- "attributes": {
- }
- },
- "$abc$20048$n2972": {
- "hide_name": 1,
- "bits": [ 1651 ],
- "attributes": {
- }
- },
- "$abc$20048$n2974": {
- "hide_name": 1,
- "bits": [ 1654 ],
- "attributes": {
- }
- },
- "$abc$20048$n2976": {
- "hide_name": 1,
- "bits": [ 1657 ],
- "attributes": {
- }
- },
- "$abc$20048$n2978": {
- "hide_name": 1,
- "bits": [ 1660 ],
- "attributes": {
- }
- },
- "$abc$20048$n2980_1": {
- "hide_name": 1,
- "bits": [ 1663 ],
- "attributes": {
- }
- },
- "$abc$20048$n2982": {
- "hide_name": 1,
- "bits": [ 1666 ],
- "attributes": {
- }
- },
- "$abc$20048$n2984_1": {
- "hide_name": 1,
- "bits": [ 1669 ],
- "attributes": {
- }
- },
- "$abc$20048$n2986": {
- "hide_name": 1,
- "bits": [ 1672 ],
- "attributes": {
- }
- },
- "$abc$20048$n2988": {
- "hide_name": 1,
- "bits": [ 1675 ],
- "attributes": {
- }
- },
- "$abc$20048$n2990_1": {
- "hide_name": 1,
- "bits": [ 1678 ],
- "attributes": {
- }
- },
- "$abc$20048$n2992_1": {
- "hide_name": 1,
- "bits": [ 1681 ],
- "attributes": {
- }
- },
- "$abc$20048$n2994": {
- "hide_name": 1,
- "bits": [ 1684 ],
- "attributes": {
- }
- },
- "$abc$20048$n2996": {
- "hide_name": 1,
- "bits": [ 1687 ],
- "attributes": {
- }
- },
- "$abc$20048$n2998": {
- "hide_name": 1,
- "bits": [ 1690 ],
- "attributes": {
- }
- },
- "$abc$20048$n3000": {
- "hide_name": 1,
- "bits": [ 1693 ],
- "attributes": {
- }
- },
- "$abc$20048$n3002": {
- "hide_name": 1,
- "bits": [ 1696 ],
- "attributes": {
- }
- },
- "$abc$20048$n3004_1": {
- "hide_name": 1,
- "bits": [ 1699 ],
- "attributes": {
- }
- },
- "$abc$20048$n3006": {
- "hide_name": 1,
- "bits": [ 1702 ],
- "attributes": {
- }
- },
- "$abc$20048$n3008_1": {
- "hide_name": 1,
- "bits": [ 1705 ],
- "attributes": {
- }
- },
- "$abc$20048$n3010": {
- "hide_name": 1,
- "bits": [ 1708 ],
- "attributes": {
- }
- },
- "$abc$20048$n3012": {
- "hide_name": 1,
- "bits": [ 1711 ],
- "attributes": {
- }
- },
- "$abc$20048$n3014": {
- "hide_name": 1,
- "bits": [ 1714 ],
- "attributes": {
- }
- },
- "$abc$20048$n3016_1": {
- "hide_name": 1,
- "bits": [ 1717 ],
- "attributes": {
- }
- },
- "$abc$20048$n3018": {
- "hide_name": 1,
- "bits": [ 1720 ],
- "attributes": {
- }
- },
- "$abc$20048$n3020": {
- "hide_name": 1,
- "bits": [ 1723 ],
- "attributes": {
- }
- },
- "$abc$20048$n3022": {
- "hide_name": 1,
- "bits": [ 1726 ],
- "attributes": {
- }
- },
- "$abc$20048$n3024": {
- "hide_name": 1,
- "bits": [ 1729 ],
- "attributes": {
- }
- },
- "$abc$20048$n3026_1": {
- "hide_name": 1,
- "bits": [ 1732 ],
- "attributes": {
- }
- },
- "$abc$20048$n3028": {
- "hide_name": 1,
- "bits": [ 1735 ],
- "attributes": {
- }
- },
- "$abc$20048$n3030_1": {
- "hide_name": 1,
- "bits": [ 1738 ],
- "attributes": {
- }
- },
- "$abc$20048$n3032": {
- "hide_name": 1,
- "bits": [ 1741 ],
- "attributes": {
- }
- },
- "$abc$20048$n3034_1": {
- "hide_name": 1,
- "bits": [ 1744 ],
- "attributes": {
- }
- },
- "$abc$20048$n3036": {
- "hide_name": 1,
- "bits": [ 1747 ],
- "attributes": {
- }
- },
- "$abc$20048$n3038_1": {
- "hide_name": 1,
- "bits": [ 1750 ],
- "attributes": {
- }
- },
- "$abc$20048$n3040": {
- "hide_name": 1,
- "bits": [ 1753 ],
- "attributes": {
- }
- },
- "$abc$20048$n3042": {
- "hide_name": 1,
- "bits": [ 1756 ],
- "attributes": {
- }
- },
- "$abc$20048$n3044": {
- "hide_name": 1,
- "bits": [ 1759 ],
- "attributes": {
- }
- },
- "$abc$20048$n3046": {
- "hide_name": 1,
- "bits": [ 1762 ],
- "attributes": {
- }
- },
- "$abc$20048$n3048_1": {
- "hide_name": 1,
- "bits": [ 1765 ],
- "attributes": {
- }
- },
- "$abc$20048$n3050_1": {
- "hide_name": 1,
- "bits": [ 1768 ],
- "attributes": {
- }
- },
- "$abc$20048$n3052": {
- "hide_name": 1,
- "bits": [ 1771 ],
- "attributes": {
- }
- },
- "$abc$20048$n3054": {
- "hide_name": 1,
- "bits": [ 1774 ],
- "attributes": {
- }
- },
- "$abc$20048$n3056": {
- "hide_name": 1,
- "bits": [ 1777 ],
- "attributes": {
- }
- },
- "$abc$20048$n3058_1": {
- "hide_name": 1,
- "bits": [ 1780 ],
- "attributes": {
- }
- },
- "$abc$20048$n3060": {
- "hide_name": 1,
- "bits": [ 1783 ],
- "attributes": {
- }
- },
- "$abc$20048$n3062": {
- "hide_name": 1,
- "bits": [ 1786 ],
- "attributes": {
- }
- },
- "$abc$20048$n3064": {
- "hide_name": 1,
- "bits": [ 1789 ],
- "attributes": {
- }
- },
- "$abc$20048$n3066_1": {
- "hide_name": 1,
- "bits": [ 1792 ],
- "attributes": {
- }
- },
- "$abc$20048$n3068": {
- "hide_name": 1,
- "bits": [ 1795 ],
- "attributes": {
- }
- },
- "$abc$20048$n3070": {
- "hide_name": 1,
- "bits": [ 1798 ],
- "attributes": {
- }
- },
- "$abc$20048$n3072_1": {
- "hide_name": 1,
- "bits": [ 1801 ],
- "attributes": {
- }
- },
- "$abc$20048$n3074": {
- "hide_name": 1,
- "bits": [ 1804 ],
- "attributes": {
- }
- },
- "$abc$20048$n3076_1": {
- "hide_name": 1,
- "bits": [ 1807 ],
- "attributes": {
- }
- },
- "$abc$20048$n3078": {
- "hide_name": 1,
- "bits": [ 1810 ],
- "attributes": {
- }
- },
- "$abc$20048$n3080_1": {
- "hide_name": 1,
- "bits": [ 1813 ],
- "attributes": {
- }
- },
- "$abc$20048$n3082_1": {
- "hide_name": 1,
- "bits": [ 1816 ],
- "attributes": {
- }
- },
- "$abc$20048$n3084_1": {
- "hide_name": 1,
- "bits": [ 1819 ],
- "attributes": {
- }
- },
- "$abc$20048$n3086": {
- "hide_name": 1,
- "bits": [ 1822 ],
- "attributes": {
- }
- },
- "$abc$20048$n3088_1": {
- "hide_name": 1,
- "bits": [ 1825 ],
- "attributes": {
- }
- },
- "$abc$20048$n3090": {
- "hide_name": 1,
- "bits": [ 1828 ],
- "attributes": {
- }
- },
- "$abc$20048$n3092": {
- "hide_name": 1,
- "bits": [ 1831 ],
- "attributes": {
- }
- },
- "$abc$20048$n3094": {
- "hide_name": 1,
- "bits": [ 1834 ],
- "attributes": {
- }
- },
- "$abc$20048$n3096": {
- "hide_name": 1,
- "bits": [ 1837 ],
- "attributes": {
- }
- },
- "$abc$20048$n3098": {
- "hide_name": 1,
- "bits": [ 1840 ],
- "attributes": {
- }
- },
- "$abc$20048$n3100": {
- "hide_name": 1,
- "bits": [ 1843 ],
- "attributes": {
- }
- },
- "$abc$20048$n3102_1": {
- "hide_name": 1,
- "bits": [ 1846 ],
- "attributes": {
- }
- },
- "$abc$20048$n3104_1": {
- "hide_name": 1,
- "bits": [ 1849 ],
- "attributes": {
- }
- },
- "$abc$20048$n3106": {
- "hide_name": 1,
- "bits": [ 1852 ],
- "attributes": {
- }
- },
- "$abc$20048$n3108": {
- "hide_name": 1,
- "bits": [ 1855 ],
- "attributes": {
- }
- },
- "$abc$20048$n3110": {
- "hide_name": 1,
- "bits": [ 1858 ],
- "attributes": {
- }
- },
- "$abc$20048$n3112": {
- "hide_name": 1,
- "bits": [ 1861 ],
- "attributes": {
- }
- },
- "$abc$20048$n3114": {
- "hide_name": 1,
- "bits": [ 1864 ],
- "attributes": {
- }
- },
- "$abc$20048$n3116_1": {
- "hide_name": 1,
- "bits": [ 1867 ],
- "attributes": {
- }
- },
- "$abc$20048$n3118": {
- "hide_name": 1,
- "bits": [ 1870 ],
- "attributes": {
- }
- },
- "$abc$20048$n3120_1": {
- "hide_name": 1,
- "bits": [ 1873 ],
- "attributes": {
- }
- },
- "$abc$20048$n3122": {
- "hide_name": 1,
- "bits": [ 1876 ],
- "attributes": {
- }
- },
- "$abc$20048$n3124": {
- "hide_name": 1,
- "bits": [ 1879 ],
- "attributes": {
- }
- },
- "$abc$20048$n3126": {
- "hide_name": 1,
- "bits": [ 1882 ],
- "attributes": {
- }
- },
- "$abc$20048$n3128": {
- "hide_name": 1,
- "bits": [ 1885 ],
- "attributes": {
- }
- },
- "$abc$20048$n3130": {
- "hide_name": 1,
- "bits": [ 1888 ],
- "attributes": {
- }
- },
- "$abc$20048$n3132": {
- "hide_name": 1,
- "bits": [ 1891 ],
- "attributes": {
- }
- },
- "$abc$20048$n3134_1": {
- "hide_name": 1,
- "bits": [ 1894 ],
- "attributes": {
- }
- },
- "$abc$20048$n3136": {
- "hide_name": 1,
- "bits": [ 1897 ],
- "attributes": {
- }
- },
- "$abc$20048$n3138_1": {
- "hide_name": 1,
- "bits": [ 1900 ],
- "attributes": {
- }
- },
- "$abc$20048$n3140_1": {
- "hide_name": 1,
- "bits": [ 1903 ],
- "attributes": {
- }
- },
- "$abc$20048$n3142_1": {
- "hide_name": 1,
- "bits": [ 1906 ],
- "attributes": {
- }
- },
- "$abc$20048$n3144": {
- "hide_name": 1,
- "bits": [ 1909 ],
- "attributes": {
- }
- },
- "$abc$20048$n3146_1": {
- "hide_name": 1,
- "bits": [ 1912 ],
- "attributes": {
- }
- },
- "$abc$20048$n3148": {
- "hide_name": 1,
- "bits": [ 1915 ],
- "attributes": {
- }
- },
- "$abc$20048$n3150": {
- "hide_name": 1,
- "bits": [ 1918 ],
- "attributes": {
- }
- },
- "$abc$20048$n3152_1": {
- "hide_name": 1,
- "bits": [ 1921 ],
- "attributes": {
- }
- },
- "$abc$20048$n3154_1": {
- "hide_name": 1,
- "bits": [ 1924 ],
- "attributes": {
- }
- },
- "$abc$20048$n3156": {
- "hide_name": 1,
- "bits": [ 1927 ],
- "attributes": {
- }
- },
- "$abc$20048$n3158_1": {
- "hide_name": 1,
- "bits": [ 1930 ],
- "attributes": {
- }
- },
- "$abc$20048$n3160": {
- "hide_name": 1,
- "bits": [ 1933 ],
- "attributes": {
- }
- },
- "$abc$20048$n3162": {
- "hide_name": 1,
- "bits": [ 1936 ],
- "attributes": {
- }
- },
- "$abc$20048$n3164_1": {
- "hide_name": 1,
- "bits": [ 1939 ],
- "attributes": {
- }
- },
- "$abc$20048$n3166": {
- "hide_name": 1,
- "bits": [ 1942 ],
- "attributes": {
- }
- },
- "$abc$20048$n3168_1": {
- "hide_name": 1,
- "bits": [ 1945 ],
- "attributes": {
- }
- },
- "$abc$20048$n3170_1": {
- "hide_name": 1,
- "bits": [ 1948 ],
- "attributes": {
- }
- },
- "$abc$20048$n3172_1": {
- "hide_name": 1,
- "bits": [ 1951 ],
- "attributes": {
- }
- },
- "$abc$20048$n3174": {
- "hide_name": 1,
- "bits": [ 1954 ],
- "attributes": {
- }
- },
- "$abc$20048$n3176": {
- "hide_name": 1,
- "bits": [ 1957 ],
- "attributes": {
- }
- },
- "$abc$20048$n3178_1": {
- "hide_name": 1,
- "bits": [ 1960 ],
- "attributes": {
- }
- },
- "$abc$20048$n3180": {
- "hide_name": 1,
- "bits": [ 1963 ],
- "attributes": {
- }
- },
- "$abc$20048$n3182_1": {
- "hide_name": 1,
- "bits": [ 1966 ],
- "attributes": {
- }
- },
- "$abc$20048$n3184_1": {
- "hide_name": 1,
- "bits": [ 1969 ],
- "attributes": {
- }
- },
- "$abc$20048$n3186": {
- "hide_name": 1,
- "bits": [ 1972 ],
- "attributes": {
- }
- },
- "$abc$20048$n3188": {
- "hide_name": 1,
- "bits": [ 1975 ],
- "attributes": {
- }
- },
- "$abc$20048$n3190_1": {
- "hide_name": 1,
- "bits": [ 1978 ],
- "attributes": {
- }
- },
- "$abc$20048$n3192_1": {
- "hide_name": 1,
- "bits": [ 1981 ],
- "attributes": {
- }
- },
- "$abc$20048$n3194": {
- "hide_name": 1,
- "bits": [ 1984 ],
- "attributes": {
- }
- },
- "$abc$20048$n3196": {
- "hide_name": 1,
- "bits": [ 1987 ],
- "attributes": {
- }
- },
- "$abc$20048$n3198": {
- "hide_name": 1,
- "bits": [ 1990 ],
- "attributes": {
- }
- },
- "$abc$20048$n3200_1": {
- "hide_name": 1,
- "bits": [ 1993 ],
- "attributes": {
- }
- },
- "$abc$20048$n3202": {
- "hide_name": 1,
- "bits": [ 1996 ],
- "attributes": {
- }
- },
- "$abc$20048$n3204": {
- "hide_name": 1,
- "bits": [ 1999 ],
- "attributes": {
- }
- },
- "$abc$20048$n3206_1": {
- "hide_name": 1,
- "bits": [ 2002 ],
- "attributes": {
- }
- },
- "$abc$20048$n3208_1": {
- "hide_name": 1,
- "bits": [ 2005 ],
- "attributes": {
- }
- },
- "$abc$20048$n3210_1": {
- "hide_name": 1,
- "bits": [ 2008 ],
- "attributes": {
- }
- },
- "$abc$20048$n3212": {
- "hide_name": 1,
- "bits": [ 2011 ],
- "attributes": {
- }
- },
- "$abc$20048$n3214": {
- "hide_name": 1,
- "bits": [ 2014 ],
- "attributes": {
- }
- },
- "$abc$20048$n3216_1": {
- "hide_name": 1,
- "bits": [ 2017 ],
- "attributes": {
- }
- },
- "$abc$20048$n3218": {
- "hide_name": 1,
- "bits": [ 2020 ],
- "attributes": {
- }
- },
- "$abc$20048$n3220": {
- "hide_name": 1,
- "bits": [ 2023 ],
- "attributes": {
- }
- },
- "$abc$20048$n3222_1": {
- "hide_name": 1,
- "bits": [ 2026 ],
- "attributes": {
- }
- },
- "$abc$20048$n3224": {
- "hide_name": 1,
- "bits": [ 2029 ],
- "attributes": {
- }
- },
- "$abc$20048$n3226": {
- "hide_name": 1,
- "bits": [ 2032 ],
- "attributes": {
- }
- },
- "$abc$20048$n3228_1": {
- "hide_name": 1,
- "bits": [ 2035 ],
- "attributes": {
- }
- },
- "$abc$20048$n3230": {
- "hide_name": 1,
- "bits": [ 2038 ],
- "attributes": {
- }
- },
- "$abc$20048$n3232_1": {
- "hide_name": 1,
- "bits": [ 2041 ],
- "attributes": {
- }
- },
- "$abc$20048$n3234": {
- "hide_name": 1,
- "bits": [ 2044 ],
- "attributes": {
- }
- },
- "$abc$20048$n3236": {
- "hide_name": 1,
- "bits": [ 2047 ],
- "attributes": {
- }
- },
- "$abc$20048$n3238": {
- "hide_name": 1,
- "bits": [ 2050 ],
- "attributes": {
- }
- },
- "$abc$20048$n3240": {
- "hide_name": 1,
- "bits": [ 2053 ],
- "attributes": {
- }
- },
- "$abc$20048$n3242": {
- "hide_name": 1,
- "bits": [ 2056 ],
- "attributes": {
- }
- },
- "$abc$20048$n3244": {
- "hide_name": 1,
- "bits": [ 2059 ],
- "attributes": {
- }
- },
- "$abc$20048$n3246": {
- "hide_name": 1,
- "bits": [ 2062 ],
- "attributes": {
- }
- },
- "$abc$20048$n3248": {
- "hide_name": 1,
- "bits": [ 2065 ],
- "attributes": {
- }
- },
- "$abc$20048$n3250_1": {
- "hide_name": 1,
- "bits": [ 2068 ],
- "attributes": {
- }
- },
- "$abc$20048$n3252_1": {
- "hide_name": 1,
- "bits": [ 2071 ],
- "attributes": {
- }
- },
- "$abc$20048$n3254": {
- "hide_name": 1,
- "bits": [ 2074 ],
- "attributes": {
- }
- },
- "$abc$20048$n3256": {
- "hide_name": 1,
- "bits": [ 2077 ],
- "attributes": {
- }
- },
- "$abc$20048$n3258": {
- "hide_name": 1,
- "bits": [ 2080 ],
- "attributes": {
- }
- },
- "$abc$20048$n3260": {
- "hide_name": 1,
- "bits": [ 2083 ],
- "attributes": {
- }
- },
- "$abc$20048$n3262_1": {
- "hide_name": 1,
- "bits": [ 2086 ],
- "attributes": {
- }
- },
- "$abc$20048$n3264": {
- "hide_name": 1,
- "bits": [ 2089 ],
- "attributes": {
- }
- },
- "$abc$20048$n3266": {
- "hide_name": 1,
- "bits": [ 2092 ],
- "attributes": {
- }
- },
- "$abc$20048$n3268_1": {
- "hide_name": 1,
- "bits": [ 2095 ],
- "attributes": {
- }
- },
- "$abc$20048$n3270_1": {
- "hide_name": 1,
- "bits": [ 2098 ],
- "attributes": {
- }
- },
- "$abc$20048$n3272": {
- "hide_name": 1,
- "bits": [ 2101 ],
- "attributes": {
- }
- },
- "$abc$20048$n3274_1": {
- "hide_name": 1,
- "bits": [ 2104 ],
- "attributes": {
- }
- },
- "$abc$20048$n3276": {
- "hide_name": 1,
- "bits": [ 2107 ],
- "attributes": {
- }
- },
- "$abc$20048$n3278_1": {
- "hide_name": 1,
- "bits": [ 2110 ],
- "attributes": {
- }
- },
- "$abc$20048$n3280": {
- "hide_name": 1,
- "bits": [ 2113 ],
- "attributes": {
- }
- },
- "$abc$20048$n3282_1": {
- "hide_name": 1,
- "bits": [ 2116 ],
- "attributes": {
- }
- },
- "$abc$20048$n3284_1": {
- "hide_name": 1,
- "bits": [ 2119 ],
- "attributes": {
- }
- },
- "$abc$20048$n3286_1": {
- "hide_name": 1,
- "bits": [ 2122 ],
- "attributes": {
- }
- },
- "$abc$20048$n3288_1": {
- "hide_name": 1,
- "bits": [ 2125 ],
- "attributes": {
- }
- },
- "$abc$20048$n3290_1": {
- "hide_name": 1,
- "bits": [ 2128 ],
- "attributes": {
- }
- },
- "$abc$20048$n3292_1": {
- "hide_name": 1,
- "bits": [ 2131 ],
- "attributes": {
- }
- },
- "$abc$20048$n3294_1": {
- "hide_name": 1,
- "bits": [ 2134 ],
- "attributes": {
- }
- },
- "$abc$20048$n3296_1": {
- "hide_name": 1,
- "bits": [ 2137 ],
- "attributes": {
- }
- },
- "$abc$20048$n3298_1": {
- "hide_name": 1,
- "bits": [ 2140 ],
- "attributes": {
- }
- },
- "$abc$20048$n3300_1": {
- "hide_name": 1,
- "bits": [ 2143 ],
- "attributes": {
- }
- },
- "$abc$20048$n3302_1": {
- "hide_name": 1,
- "bits": [ 2146 ],
- "attributes": {
- }
- },
- "$abc$20048$n3304_1": {
- "hide_name": 1,
- "bits": [ 2149 ],
- "attributes": {
- }
- },
- "$abc$20048$n3321_1": {
- "hide_name": 1,
- "bits": [ 2167 ],
- "attributes": {
- }
- },
- "$abc$20048$n3322_1": {
- "hide_name": 1,
- "bits": [ 2169 ],
- "attributes": {
- }
- },
- "$abc$20048$n3323_1": {
- "hide_name": 1,
- "bits": [ 2170 ],
- "attributes": {
- }
- },
- "$abc$20048$n3324_1": {
- "hide_name": 1,
- "bits": [ 2166 ],
- "attributes": {
- }
- },
- "$abc$20048$n3326_1": {
- "hide_name": 1,
- "bits": [ 2172 ],
- "attributes": {
- }
- },
- "$abc$20048$n3327_1": {
- "hide_name": 1,
- "bits": [ 2171 ],
- "attributes": {
- }
- },
- "$abc$20048$n3329_1": {
- "hide_name": 1,
- "bits": [ 2176 ],
- "attributes": {
- }
- },
- "$abc$20048$n3330_1": {
- "hide_name": 1,
- "bits": [ 2175 ],
- "attributes": {
- }
- },
- "$abc$20048$n3332_1": {
- "hide_name": 1,
- "bits": [ 2181 ],
- "attributes": {
- }
- },
- "$abc$20048$n3333_1": {
- "hide_name": 1,
- "bits": [ 2180 ],
- "attributes": {
- }
- },
- "$abc$20048$n3335_1": {
- "hide_name": 1,
- "bits": [ 2185 ],
- "attributes": {
- }
- },
- "$abc$20048$n3336_1": {
- "hide_name": 1,
- "bits": [ 2184 ],
- "attributes": {
- }
- },
- "$abc$20048$n3338": {
- "hide_name": 1,
- "bits": [ 2189 ],
- "attributes": {
- }
- },
- "$abc$20048$n3339": {
- "hide_name": 1,
- "bits": [ 2188 ],
- "attributes": {
- }
- },
- "$abc$20048$n3341": {
- "hide_name": 1,
- "bits": [ 2193 ],
- "attributes": {
- }
- },
- "$abc$20048$n3342": {
- "hide_name": 1,
- "bits": [ 2192 ],
- "attributes": {
- }
- },
- "$abc$20048$n3344": {
- "hide_name": 1,
- "bits": [ 2197 ],
- "attributes": {
- }
- },
- "$abc$20048$n3345": {
- "hide_name": 1,
- "bits": [ 2196 ],
- "attributes": {
- }
- },
- "$abc$20048$n3347": {
- "hide_name": 1,
- "bits": [ 2201 ],
- "attributes": {
- }
- },
- "$abc$20048$n3348": {
- "hide_name": 1,
- "bits": [ 2200 ],
- "attributes": {
- }
- },
- "$abc$20048$n3350": {
- "hide_name": 1,
- "bits": [ 2205 ],
- "attributes": {
- }
- },
- "$abc$20048$n3351": {
- "hide_name": 1,
- "bits": [ 2204 ],
- "attributes": {
- }
- },
- "$abc$20048$n3353": {
- "hide_name": 1,
- "bits": [ 2209 ],
- "attributes": {
- }
- },
- "$abc$20048$n3354": {
- "hide_name": 1,
- "bits": [ 2208 ],
- "attributes": {
- }
- },
- "$abc$20048$n3356": {
- "hide_name": 1,
- "bits": [ 2213 ],
- "attributes": {
- }
- },
- "$abc$20048$n3357": {
- "hide_name": 1,
- "bits": [ 2212 ],
- "attributes": {
- }
- },
- "$abc$20048$n3359": {
- "hide_name": 1,
- "bits": [ 2217 ],
- "attributes": {
- }
- },
- "$abc$20048$n3360": {
- "hide_name": 1,
- "bits": [ 2216 ],
- "attributes": {
- }
- },
- "$abc$20048$n3362": {
- "hide_name": 1,
- "bits": [ 2221 ],
- "attributes": {
- }
- },
- "$abc$20048$n3363": {
- "hide_name": 1,
- "bits": [ 2220 ],
- "attributes": {
- }
- },
- "$abc$20048$n3365": {
- "hide_name": 1,
- "bits": [ 2224 ],
- "attributes": {
- }
- },
- "$abc$20048$n3367": {
- "hide_name": 1,
- "bits": [ 2226 ],
- "attributes": {
- }
- },
- "$abc$20048$n3374": {
- "hide_name": 1,
- "bits": [ 2239 ],
- "attributes": {
- }
- },
- "$abc$20048$n3375": {
- "hide_name": 1,
- "bits": [ 2242 ],
- "attributes": {
- }
- },
- "$abc$20048$n3376": {
- "hide_name": 1,
- "bits": [ 2244 ],
- "attributes": {
- }
- },
- "$abc$20048$n3377": {
- "hide_name": 1,
- "bits": [ 2246 ],
- "attributes": {
- }
- },
- "$abc$20048$n3378": {
- "hide_name": 1,
- "bits": [ 2247 ],
- "attributes": {
- }
- },
- "$abc$20048$n3379": {
- "hide_name": 1,
- "bits": [ 2243 ],
- "attributes": {
- }
- },
- "$abc$20048$n3380": {
- "hide_name": 1,
- "bits": [ 2248 ],
- "attributes": {
- }
- },
- "$abc$20048$n3381": {
- "hide_name": 1,
- "bits": [ 2249 ],
- "attributes": {
- }
- },
- "$abc$20048$n3382": {
- "hide_name": 1,
- "bits": [ 2250 ],
- "attributes": {
- }
- },
- "$abc$20048$n3383": {
- "hide_name": 1,
- "bits": [ 2245 ],
- "attributes": {
- }
- },
- "$abc$20048$n3384": {
- "hide_name": 1,
- "bits": [ 2241 ],
- "attributes": {
- }
- },
- "$abc$20048$n3385": {
- "hide_name": 1,
- "bits": [ 2238 ],
- "attributes": {
- }
- },
- "$abc$20048$n3387": {
- "hide_name": 1,
- "bits": [ 2252 ],
- "attributes": {
- }
- },
- "$abc$20048$n3389": {
- "hide_name": 1,
- "bits": [ 2254 ],
- "attributes": {
- }
- },
- "$abc$20048$n3391": {
- "hide_name": 1,
- "bits": [ 2256 ],
- "attributes": {
- }
- },
- "$abc$20048$n3393": {
- "hide_name": 1,
- "bits": [ 2258 ],
- "attributes": {
- }
- },
- "$abc$20048$n3395": {
- "hide_name": 1,
- "bits": [ 2260 ],
- "attributes": {
- }
- },
- "$abc$20048$n3397": {
- "hide_name": 1,
- "bits": [ 2262 ],
- "attributes": {
- }
- },
- "$abc$20048$n3399": {
- "hide_name": 1,
- "bits": [ 2264 ],
- "attributes": {
- }
- },
- "$abc$20048$n3401": {
- "hide_name": 1,
- "bits": [ 2267 ],
- "attributes": {
- }
- },
- "$abc$20048$n3403": {
- "hide_name": 1,
- "bits": [ 2270 ],
- "attributes": {
- }
- },
- "$abc$20048$n3405": {
- "hide_name": 1,
- "bits": [ 2273 ],
- "attributes": {
- }
- },
- "$abc$20048$n3407": {
- "hide_name": 1,
- "bits": [ 2276 ],
- "attributes": {
- }
- },
- "$abc$20048$n3409": {
- "hide_name": 1,
- "bits": [ 2279 ],
- "attributes": {
- }
- },
- "$abc$20048$n3413": {
- "hide_name": 1,
- "bits": [ 2283 ],
- "attributes": {
- }
- },
- "$abc$20048$n3414": {
- "hide_name": 1,
- "bits": [ 2282 ],
- "attributes": {
- }
- },
- "$abc$20048$n3415": {
- "hide_name": 1,
- "bits": [ 2281 ],
- "attributes": {
- }
- },
- "$abc$20048$n3417": {
- "hide_name": 1,
- "bits": [ 2285 ],
- "attributes": {
- }
- },
- "$abc$20048$n3418": {
- "hide_name": 1,
- "bits": [ 2284 ],
- "attributes": {
- }
- },
- "$abc$20048$n3420": {
- "hide_name": 1,
- "bits": [ 2287 ],
- "attributes": {
- }
- },
- "$abc$20048$n3421": {
- "hide_name": 1,
- "bits": [ 2286 ],
- "attributes": {
- }
- },
- "$abc$20048$n3422": {
- "hide_name": 1,
- "bits": [ 2288 ],
- "attributes": {
- }
- },
- "$abc$20048$n3424": {
- "hide_name": 1,
- "bits": [ 2290 ],
- "attributes": {
- }
- },
- "$abc$20048$n3425": {
- "hide_name": 1,
- "bits": [ 2289 ],
- "attributes": {
- }
- },
- "$abc$20048$n3426": {
- "hide_name": 1,
- "bits": [ 2291 ],
- "attributes": {
- }
- },
- "$abc$20048$n3427": {
- "hide_name": 1,
- "bits": [ 2295 ],
- "attributes": {
- }
- },
- "$abc$20048$n3428": {
- "hide_name": 1,
- "bits": [ 2293 ],
- "attributes": {
- }
- },
- "$abc$20048$n3429": {
- "hide_name": 1,
- "bits": [ 2296 ],
- "attributes": {
- }
- },
- "$abc$20048$n3430": {
- "hide_name": 1,
- "bits": [ 2292 ],
- "attributes": {
- }
- },
- "$abc$20048$n3432": {
- "hide_name": 1,
- "bits": [ 2297 ],
- "attributes": {
- }
- },
- "$abc$20048$n3433": {
- "hide_name": 1,
- "bits": [ 2300 ],
- "attributes": {
- }
- },
- "$abc$20048$n3434": {
- "hide_name": 1,
- "bits": [ 2298 ],
- "attributes": {
- }
- },
- "$abc$20048$n3435": {
- "hide_name": 1,
- "bits": [ 2299 ],
- "attributes": {
- }
- },
- "$abc$20048$n3436": {
- "hide_name": 1,
- "bits": [ 2305 ],
- "attributes": {
- }
- },
- "$abc$20048$n3437": {
- "hide_name": 1,
- "bits": [ 2303 ],
- "attributes": {
- }
- },
- "$abc$20048$n3438": {
- "hide_name": 1,
- "bits": [ 2302 ],
- "attributes": {
- }
- },
- "$abc$20048$n3439": {
- "hide_name": 1,
- "bits": [ 2308 ],
- "attributes": {
- }
- },
- "$abc$20048$n3440": {
- "hide_name": 1,
- "bits": [ 2307 ],
- "attributes": {
- }
- },
- "$abc$20048$n3441": {
- "hide_name": 1,
- "bits": [ 2306 ],
- "attributes": {
- }
- },
- "$abc$20048$n3442": {
- "hide_name": 1,
- "bits": [ 2313 ],
- "attributes": {
- }
- },
- "$abc$20048$n3443": {
- "hide_name": 1,
- "bits": [ 2311 ],
- "attributes": {
- }
- },
- "$abc$20048$n3444": {
- "hide_name": 1,
- "bits": [ 2314 ],
- "attributes": {
- }
- },
- "$abc$20048$n3445": {
- "hide_name": 1,
- "bits": [ 2312 ],
- "attributes": {
- }
- },
- "$abc$20048$n3446": {
- "hide_name": 1,
- "bits": [ 2310 ],
- "attributes": {
- }
- },
- "$abc$20048$n3447": {
- "hide_name": 1,
- "bits": [ 2316 ],
- "attributes": {
- }
- },
- "$abc$20048$n3448": {
- "hide_name": 1,
- "bits": [ 2317 ],
- "attributes": {
- }
- },
- "$abc$20048$n3449": {
- "hide_name": 1,
- "bits": [ 2318 ],
- "attributes": {
- }
- },
- "$abc$20048$n3450": {
- "hide_name": 1,
- "bits": [ 2309 ],
- "attributes": {
- }
- },
- "$abc$20048$n3451": {
- "hide_name": 1,
- "bits": [ 2328 ],
- "attributes": {
- }
- },
- "$abc$20048$n3452": {
- "hide_name": 1,
- "bits": [ 2329 ],
- "attributes": {
- }
- },
- "$abc$20048$n3454": {
- "hide_name": 1,
- "bits": [ 2337 ],
- "attributes": {
- }
- },
- "$abc$20048$n3455": {
- "hide_name": 1,
- "bits": [ 2341 ],
- "attributes": {
- }
- },
- "$abc$20048$n3456": {
- "hide_name": 1,
- "bits": [ 2342 ],
- "attributes": {
- }
- },
- "$abc$20048$n3457": {
- "hide_name": 1,
- "bits": [ 2343 ],
- "attributes": {
- }
- },
- "$abc$20048$n3458": {
- "hide_name": 1,
- "bits": [ 2338 ],
- "attributes": {
- }
- },
- "$abc$20048$n3459": {
- "hide_name": 1,
- "bits": [ 2345 ],
- "attributes": {
- }
- },
- "$abc$20048$n3460": {
- "hide_name": 1,
- "bits": [ 2344 ],
- "attributes": {
- }
- },
- "$abc$20048$n3461": {
- "hide_name": 1,
- "bits": [ 2346 ],
- "attributes": {
- }
- },
- "$abc$20048$n3462": {
- "hide_name": 1,
- "bits": [ 2347 ],
- "attributes": {
- }
- },
- "$abc$20048$n3463": {
- "hide_name": 1,
- "bits": [ 2339 ],
- "attributes": {
- }
- },
- "$abc$20048$n3467": {
- "hide_name": 1,
- "bits": [ 2351 ],
- "attributes": {
- }
- },
- "$abc$20048$n3472": {
- "hide_name": 1,
- "bits": [ 2352 ],
- "attributes": {
- }
- },
- "$abc$20048$n3473": {
- "hide_name": 1,
- "bits": [ 2355 ],
- "attributes": {
- }
- },
- "$abc$20048$n3474": {
- "hide_name": 1,
- "bits": [ 2356 ],
- "attributes": {
- }
- },
- "$abc$20048$n3480": {
- "hide_name": 1,
- "bits": [ 2357 ],
- "attributes": {
- }
- },
- "$abc$20048$n3482": {
- "hide_name": 1,
- "bits": [ 2359 ],
- "attributes": {
- }
- },
- "$abc$20048$n3483": {
- "hide_name": 1,
- "bits": [ 2361 ],
- "attributes": {
- }
- },
- "$abc$20048$n3485": {
- "hide_name": 1,
- "bits": [ 2362 ],
- "attributes": {
- }
- },
- "$abc$20048$n3486": {
- "hide_name": 1,
- "bits": [ 2363 ],
- "attributes": {
- }
- },
- "$abc$20048$n3488": {
- "hide_name": 1,
- "bits": [ 2367 ],
- "attributes": {
- }
- },
- "$abc$20048$n3489": {
- "hide_name": 1,
- "bits": [ 2364 ],
- "attributes": {
- }
- },
- "$abc$20048$n3490": {
- "hide_name": 1,
- "bits": [ 2365 ],
- "attributes": {
- }
- },
- "$abc$20048$n3491": {
- "hide_name": 1,
- "bits": [ 2366 ],
- "attributes": {
- }
- },
- "$abc$20048$n3495": {
- "hide_name": 1,
- "bits": [ 2370 ],
- "attributes": {
- }
- },
- "$abc$20048$n3497": {
- "hide_name": 1,
- "bits": [ 2371 ],
- "attributes": {
- }
- },
- "$abc$20048$n3505": {
- "hide_name": 1,
- "bits": [ 2374 ],
- "attributes": {
- }
- },
- "$abc$20048$n3506": {
- "hide_name": 1,
- "bits": [ 2377 ],
- "attributes": {
- }
- },
- "$abc$20048$n3507": {
- "hide_name": 1,
- "bits": [ 2375 ],
- "attributes": {
- }
- },
- "$abc$20048$n3508": {
- "hide_name": 1,
- "bits": [ 2376 ],
- "attributes": {
- }
- },
- "$abc$20048$n3510": {
- "hide_name": 1,
- "bits": [ 2378 ],
- "attributes": {
- }
- },
- "$abc$20048$n3511": {
- "hide_name": 1,
- "bits": [ 2382 ],
- "attributes": {
- }
- },
- "$abc$20048$n3512": {
- "hide_name": 1,
- "bits": [ 2383 ],
- "attributes": {
- }
- },
- "$abc$20048$n3513": {
- "hide_name": 1,
- "bits": [ 2379 ],
- "attributes": {
- }
- },
- "$abc$20048$n3514": {
- "hide_name": 1,
- "bits": [ 2385 ],
- "attributes": {
- }
- },
- "$abc$20048$n3515": {
- "hide_name": 1,
- "bits": [ 2386 ],
- "attributes": {
- }
- },
- "$abc$20048$n3516": {
- "hide_name": 1,
- "bits": [ 2380 ],
- "attributes": {
- }
- },
- "$abc$20048$n3517": {
- "hide_name": 1,
- "bits": [ 2388 ],
- "attributes": {
- }
- },
- "$abc$20048$n3519": {
- "hide_name": 1,
- "bits": [ 2389 ],
- "attributes": {
- }
- },
- "$abc$20048$n3520": {
- "hide_name": 1,
- "bits": [ 2394 ],
- "attributes": {
- }
- },
- "$abc$20048$n3521": {
- "hide_name": 1,
- "bits": [ 2393 ],
- "attributes": {
- }
- },
- "$abc$20048$n3522": {
- "hide_name": 1,
- "bits": [ 2390 ],
- "attributes": {
- }
- },
- "$abc$20048$n3523": {
- "hide_name": 1,
- "bits": [ 2396 ],
- "attributes": {
- }
- },
- "$abc$20048$n3524": {
- "hide_name": 1,
- "bits": [ 2397 ],
- "attributes": {
- }
- },
- "$abc$20048$n3525": {
- "hide_name": 1,
- "bits": [ 2391 ],
- "attributes": {
- }
- },
- "$abc$20048$n3526": {
- "hide_name": 1,
- "bits": [ 2399 ],
- "attributes": {
- }
- },
- "$abc$20048$n3527": {
- "hide_name": 1,
- "bits": [ 2400 ],
- "attributes": {
- }
- },
- "$abc$20048$n3533": {
- "hide_name": 1,
- "bits": [ 2401 ],
- "attributes": {
- }
- },
- "$abc$20048$n3534": {
- "hide_name": 1,
- "bits": [ 2403 ],
- "attributes": {
- }
- },
- "$abc$20048$n3537": {
- "hide_name": 1,
- "bits": [ 2404 ],
- "attributes": {
- }
- },
- "$abc$20048$n3538": {
- "hide_name": 1,
- "bits": [ 2405 ],
- "attributes": {
- }
- },
- "$abc$20048$n3543": {
- "hide_name": 1,
- "bits": [ 2410 ],
- "attributes": {
- }
- },
- "$abc$20048$n3544": {
- "hide_name": 1,
- "bits": [ 2406 ],
- "attributes": {
- }
- },
- "$abc$20048$n3545": {
- "hide_name": 1,
- "bits": [ 2407 ],
- "attributes": {
- }
- },
- "$abc$20048$n3546": {
- "hide_name": 1,
- "bits": [ 2408 ],
- "attributes": {
- }
- },
- "$abc$20048$n3547": {
- "hide_name": 1,
- "bits": [ 2409 ],
- "attributes": {
- }
- },
- "$abc$20048$n3550": {
- "hide_name": 1,
- "bits": [ 2412 ],
- "attributes": {
- }
- },
- "$abc$20048$n3551": {
- "hide_name": 1,
- "bits": [ 2416 ],
- "attributes": {
- }
- },
- "$abc$20048$n3552": {
- "hide_name": 1,
- "bits": [ 2417 ],
- "attributes": {
- }
- },
- "$abc$20048$n3553": {
- "hide_name": 1,
- "bits": [ 2413 ],
- "attributes": {
- }
- },
- "$abc$20048$n3554": {
- "hide_name": 1,
- "bits": [ 2420 ],
- "attributes": {
- }
- },
- "$abc$20048$n3555": {
- "hide_name": 1,
- "bits": [ 2421 ],
- "attributes": {
- }
- },
- "$abc$20048$n3556": {
- "hide_name": 1,
- "bits": [ 2414 ],
- "attributes": {
- }
- },
- "$abc$20048$n3557": {
- "hide_name": 1,
- "bits": [ 2422 ],
- "attributes": {
- }
- },
- "$abc$20048$n3560": {
- "hide_name": 1,
- "bits": [ 2424 ],
- "attributes": {
- }
- },
- "$abc$20048$n3565": {
- "hide_name": 1,
- "bits": [ 2428 ],
- "attributes": {
- }
- },
- "$abc$20048$n3566": {
- "hide_name": 1,
- "bits": [ 2425 ],
- "attributes": {
- }
- },
- "$abc$20048$n3567": {
- "hide_name": 1,
- "bits": [ 2426 ],
- "attributes": {
- }
- },
- "$abc$20048$n3568": {
- "hide_name": 1,
- "bits": [ 2427 ],
- "attributes": {
- }
- },
- "$abc$20048$n3573": {
- "hide_name": 1,
- "bits": [ 2431 ],
- "attributes": {
- }
- },
- "$abc$20048$n3575": {
- "hide_name": 1,
- "bits": [ 2435 ],
- "attributes": {
- }
- },
- "$abc$20048$n3576": {
- "hide_name": 1,
- "bits": [ 2433 ],
- "attributes": {
- }
- },
- "$abc$20048$n3577": {
- "hide_name": 1,
- "bits": [ 2434 ],
- "attributes": {
- }
- },
- "$abc$20048$n3578": {
- "hide_name": 1,
- "bits": [ 2436 ],
- "attributes": {
- }
- },
- "$abc$20048$n3585": {
- "hide_name": 1,
- "bits": [ 2439 ],
- "attributes": {
- }
- },
- "$abc$20048$n3586": {
- "hide_name": 1,
- "bits": [ 2437 ],
- "attributes": {
- }
- },
- "$abc$20048$n3587": {
- "hide_name": 1,
- "bits": [ 2438 ],
- "attributes": {
- }
- },
- "$abc$20048$n3588": {
- "hide_name": 1,
- "bits": [ 2442 ],
- "attributes": {
- }
- },
- "$abc$20048$n3591": {
- "hide_name": 1,
- "bits": [ 2443 ],
- "attributes": {
- }
- },
- "$abc$20048$n3593": {
- "hide_name": 1,
- "bits": [ 2444 ],
- "attributes": {
- }
- },
- "$abc$20048$n3596": {
- "hide_name": 1,
- "bits": [ 2445 ],
- "attributes": {
- }
- },
- "$abc$20048$n3598": {
- "hide_name": 1,
- "bits": [ 2447 ],
- "attributes": {
- }
- },
- "$abc$20048$n3599": {
- "hide_name": 1,
- "bits": [ 2448 ],
- "attributes": {
- }
- },
- "$abc$20048$n3602": {
- "hide_name": 1,
- "bits": [ 2449 ],
- "attributes": {
- }
- },
- "$abc$20048$n3603": {
- "hide_name": 1,
- "bits": [ 2450 ],
- "attributes": {
- }
- },
- "$abc$20048$n3606": {
- "hide_name": 1,
- "bits": [ 2451 ],
- "attributes": {
- }
- },
- "$abc$20048$n3611": {
- "hide_name": 1,
- "bits": [ 2452 ],
- "attributes": {
- }
- },
- "$abc$20048$n3612": {
- "hide_name": 1,
- "bits": [ 2457 ],
- "attributes": {
- }
- },
- "$abc$20048$n3613": {
- "hide_name": 1,
- "bits": [ 2459 ],
- "attributes": {
- }
- },
- "$abc$20048$n3614": {
- "hide_name": 1,
- "bits": [ 2453 ],
- "attributes": {
- }
- },
- "$abc$20048$n3615": {
- "hide_name": 1,
- "bits": [ 2462 ],
- "attributes": {
- }
- },
- "$abc$20048$n3616": {
- "hide_name": 1,
- "bits": [ 2463 ],
- "attributes": {
- }
- },
- "$abc$20048$n3617": {
- "hide_name": 1,
- "bits": [ 2461 ],
- "attributes": {
- }
- },
- "$abc$20048$n3618": {
- "hide_name": 1,
- "bits": [ 2454 ],
- "attributes": {
- }
- },
- "$abc$20048$n3619": {
- "hide_name": 1,
- "bits": [ 2467 ],
- "attributes": {
- }
- },
- "$abc$20048$n3620": {
- "hide_name": 1,
- "bits": [ 2468 ],
- "attributes": {
- }
- },
- "$abc$20048$n3621": {
- "hide_name": 1,
- "bits": [ 2466 ],
- "attributes": {
- }
- },
- "$abc$20048$n3623": {
- "hide_name": 1,
- "bits": [ 2474 ],
- "attributes": {
- }
- },
- "$abc$20048$n3624": {
- "hide_name": 1,
- "bits": [ 2477 ],
- "attributes": {
- }
- },
- "$abc$20048$n3625": {
- "hide_name": 1,
- "bits": [ 2479 ],
- "attributes": {
- }
- },
- "$abc$20048$n3626": {
- "hide_name": 1,
- "bits": [ 2482 ],
- "attributes": {
- }
- },
- "$abc$20048$n3627": {
- "hide_name": 1,
- "bits": [ 2483 ],
- "attributes": {
- }
- },
- "$abc$20048$n3628": {
- "hide_name": 1,
- "bits": [ 2480 ],
- "attributes": {
- }
- },
- "$abc$20048$n3629": {
- "hide_name": 1,
- "bits": [ 2476 ],
- "attributes": {
- }
- },
- "$abc$20048$n3630": {
- "hide_name": 1,
- "bits": [ 2488 ],
- "attributes": {
- }
- },
- "$abc$20048$n3631": {
- "hide_name": 1,
- "bits": [ 2489 ],
- "attributes": {
- }
- },
- "$abc$20048$n3632": {
- "hide_name": 1,
- "bits": [ 2473 ],
- "attributes": {
- }
- },
- "$abc$20048$n3633": {
- "hide_name": 1,
- "bits": [ 2492 ],
- "attributes": {
- }
- },
- "$abc$20048$n3635": {
- "hide_name": 1,
- "bits": [ 2493 ],
- "attributes": {
- }
- },
- "$abc$20048$n3636": {
- "hide_name": 1,
- "bits": [ 2498 ],
- "attributes": {
- }
- },
- "$abc$20048$n3637": {
- "hide_name": 1,
- "bits": [ 2501 ],
- "attributes": {
- }
- },
- "$abc$20048$n3638": {
- "hide_name": 1,
- "bits": [ 2499 ],
- "attributes": {
- }
- },
- "$abc$20048$n3639": {
- "hide_name": 1,
- "bits": [ 2496 ],
- "attributes": {
- }
- },
- "$abc$20048$n3640": {
- "hide_name": 1,
- "bits": [ 2497 ],
- "attributes": {
- }
- },
- "$abc$20048$n3641": {
- "hide_name": 1,
- "bits": [ 2503 ],
- "attributes": {
- }
- },
- "$abc$20048$n3642": {
- "hide_name": 1,
- "bits": [ 2504 ],
- "attributes": {
- }
- },
- "$abc$20048$n3643": {
- "hide_name": 1,
- "bits": [ 2505 ],
- "attributes": {
- }
- },
- "$abc$20048$n3644": {
- "hide_name": 1,
- "bits": [ 2506 ],
- "attributes": {
- }
- },
- "$abc$20048$n3646": {
- "hide_name": 1,
- "bits": [ 2512 ],
- "attributes": {
- }
- },
- "$abc$20048$n3647": {
- "hide_name": 1,
- "bits": [ 2513 ],
- "attributes": {
- }
- },
- "$abc$20048$n3648": {
- "hide_name": 1,
- "bits": [ 2514 ],
- "attributes": {
- }
- },
- "$abc$20048$n3649": {
- "hide_name": 1,
- "bits": [ 2519 ],
- "attributes": {
- }
- },
- "$abc$20048$n3650": {
- "hide_name": 1,
- "bits": [ 2520 ],
- "attributes": {
- }
- },
- "$abc$20048$n3651": {
- "hide_name": 1,
- "bits": [ 2521 ],
- "attributes": {
- }
- },
- "$abc$20048$n3652": {
- "hide_name": 1,
- "bits": [ 2522 ],
- "attributes": {
- }
- },
- "$abc$20048$n3654": {
- "hide_name": 1,
- "bits": [ 2530 ],
- "attributes": {
- }
- },
- "$abc$20048$n3655": {
- "hide_name": 1,
- "bits": [ 2533 ],
- "attributes": {
- }
- },
- "$abc$20048$n3656": {
- "hide_name": 1,
- "bits": [ 2534 ],
- "attributes": {
- }
- },
- "$abc$20048$n3657": {
- "hide_name": 1,
- "bits": [ 2535 ],
- "attributes": {
- }
- },
- "$abc$20048$n3658": {
- "hide_name": 1,
- "bits": [ 2536 ],
- "attributes": {
- }
- },
- "$abc$20048$n3659": {
- "hide_name": 1,
- "bits": [ 2537 ],
- "attributes": {
- }
- },
- "$abc$20048$n3660": {
- "hide_name": 1,
- "bits": [ 2529 ],
- "attributes": {
- }
- },
- "$abc$20048$n3662": {
- "hide_name": 1,
- "bits": [ 2547 ],
- "attributes": {
- }
- },
- "$abc$20048$n3663": {
- "hide_name": 1,
- "bits": [ 2552 ],
- "attributes": {
- }
- },
- "$abc$20048$n3664": {
- "hide_name": 1,
- "bits": [ 2554 ],
- "attributes": {
- }
- },
- "$abc$20048$n3665": {
- "hide_name": 1,
- "bits": [ 2551 ],
- "attributes": {
- }
- },
- "$abc$20048$n3666": {
- "hide_name": 1,
- "bits": [ 2548 ],
- "attributes": {
- }
- },
- "$abc$20048$n3667": {
- "hide_name": 1,
- "bits": [ 2558 ],
- "attributes": {
- }
- },
- "$abc$20048$n3668": {
- "hide_name": 1,
- "bits": [ 2560 ],
- "attributes": {
- }
- },
- "$abc$20048$n3669": {
- "hide_name": 1,
- "bits": [ 2559 ],
- "attributes": {
- }
- },
- "$abc$20048$n3670": {
- "hide_name": 1,
- "bits": [ 2546 ],
- "attributes": {
- }
- },
- "$abc$20048$n3671": {
- "hide_name": 1,
- "bits": [ 2565 ],
- "attributes": {
- }
- },
- "$abc$20048$n3673": {
- "hide_name": 1,
- "bits": [ 2567 ],
- "attributes": {
- }
- },
- "$abc$20048$n3674": {
- "hide_name": 1,
- "bits": [ 2570 ],
- "attributes": {
- }
- },
- "$abc$20048$n3675": {
- "hide_name": 1,
- "bits": [ 2571 ],
- "attributes": {
- }
- },
- "$abc$20048$n3676": {
- "hide_name": 1,
- "bits": [ 2572 ],
- "attributes": {
- }
- },
- "$abc$20048$n3677": {
- "hide_name": 1,
- "bits": [ 2573 ],
- "attributes": {
- }
- },
- "$abc$20048$n3678": {
- "hide_name": 1,
- "bits": [ 2574 ],
- "attributes": {
- }
- },
- "$abc$20048$n3679": {
- "hide_name": 1,
- "bits": [ 2566 ],
- "attributes": {
- }
- },
- "$abc$20048$n3681": {
- "hide_name": 1,
- "bits": [ 2582 ],
- "attributes": {
- }
- },
- "$abc$20048$n3682": {
- "hide_name": 1,
- "bits": [ 2585 ],
- "attributes": {
- }
- },
- "$abc$20048$n3683": {
- "hide_name": 1,
- "bits": [ 2588 ],
- "attributes": {
- }
- },
- "$abc$20048$n3684": {
- "hide_name": 1,
- "bits": [ 2590 ],
- "attributes": {
- }
- },
- "$abc$20048$n3685": {
- "hide_name": 1,
- "bits": [ 2591 ],
- "attributes": {
- }
- },
- "$abc$20048$n3686": {
- "hide_name": 1,
- "bits": [ 2589 ],
- "attributes": {
- }
- },
- "$abc$20048$n3687": {
- "hide_name": 1,
- "bits": [ 2586 ],
- "attributes": {
- }
- },
- "$abc$20048$n3689": {
- "hide_name": 1,
- "bits": [ 2599 ],
- "attributes": {
- }
- },
- "$abc$20048$n3690": {
- "hide_name": 1,
- "bits": [ 2603 ],
- "attributes": {
- }
- },
- "$abc$20048$n3691": {
- "hide_name": 1,
- "bits": [ 2604 ],
- "attributes": {
- }
- },
- "$abc$20048$n3692": {
- "hide_name": 1,
- "bits": [ 2605 ],
- "attributes": {
- }
- },
- "$abc$20048$n3693": {
- "hide_name": 1,
- "bits": [ 2606 ],
- "attributes": {
- }
- },
- "$abc$20048$n3694": {
- "hide_name": 1,
- "bits": [ 2602 ],
- "attributes": {
- }
- },
- "$abc$20048$n3696": {
- "hide_name": 1,
- "bits": [ 2614 ],
- "attributes": {
- }
- },
- "$abc$20048$n3697": {
- "hide_name": 1,
- "bits": [ 2616 ],
- "attributes": {
- }
- },
- "$abc$20048$n3698": {
- "hide_name": 1,
- "bits": [ 2619 ],
- "attributes": {
- }
- },
- "$abc$20048$n3699": {
- "hide_name": 1,
- "bits": [ 2620 ],
- "attributes": {
- }
- },
- "$abc$20048$n3700": {
- "hide_name": 1,
- "bits": [ 2617 ],
- "attributes": {
- }
- },
- "$abc$20048$n3701": {
- "hide_name": 1,
- "bits": [ 2612 ],
- "attributes": {
- }
- },
- "$abc$20048$n3702": {
- "hide_name": 1,
- "bits": [ 2613 ],
- "attributes": {
- }
- },
- "$abc$20048$n3704": {
- "hide_name": 1,
- "bits": [ 2627 ],
- "attributes": {
- }
- },
- "$abc$20048$n3705": {
- "hide_name": 1,
- "bits": [ 2630 ],
- "attributes": {
- }
- },
- "$abc$20048$n3706": {
- "hide_name": 1,
- "bits": [ 2631 ],
- "attributes": {
- }
- },
- "$abc$20048$n3707": {
- "hide_name": 1,
- "bits": [ 2634 ],
- "attributes": {
- }
- },
- "$abc$20048$n3708": {
- "hide_name": 1,
- "bits": [ 2635 ],
- "attributes": {
- }
- },
- "$abc$20048$n3709": {
- "hide_name": 1,
- "bits": [ 2636 ],
- "attributes": {
- }
- },
- "$abc$20048$n3711": {
- "hide_name": 1,
- "bits": [ 2641 ],
- "attributes": {
- }
- },
- "$abc$20048$n3712": {
- "hide_name": 1,
- "bits": [ 2645 ],
- "attributes": {
- }
- },
- "$abc$20048$n3713": {
- "hide_name": 1,
- "bits": [ 2644 ],
- "attributes": {
- }
- },
- "$abc$20048$n3714": {
- "hide_name": 1,
- "bits": [ 2648 ],
- "attributes": {
- }
- },
- "$abc$20048$n3715": {
- "hide_name": 1,
- "bits": [ 2649 ],
- "attributes": {
- }
- },
- "$abc$20048$n3716": {
- "hide_name": 1,
- "bits": [ 2650 ],
- "attributes": {
- }
- },
- "$abc$20048$n3718": {
- "hide_name": 1,
- "bits": [ 2655 ],
- "attributes": {
- }
- },
- "$abc$20048$n3719": {
- "hide_name": 1,
- "bits": [ 2659 ],
- "attributes": {
- }
- },
- "$abc$20048$n3720": {
- "hide_name": 1,
- "bits": [ 2658 ],
- "attributes": {
- }
- },
- "$abc$20048$n3721": {
- "hide_name": 1,
- "bits": [ 2662 ],
- "attributes": {
- }
- },
- "$abc$20048$n3722": {
- "hide_name": 1,
- "bits": [ 2663 ],
- "attributes": {
- }
- },
- "$abc$20048$n3723": {
- "hide_name": 1,
- "bits": [ 2664 ],
- "attributes": {
- }
- },
- "$abc$20048$n3725": {
- "hide_name": 1,
- "bits": [ 2669 ],
- "attributes": {
- }
- },
- "$abc$20048$n3726": {
- "hide_name": 1,
- "bits": [ 2673 ],
- "attributes": {
- }
- },
- "$abc$20048$n3727": {
- "hide_name": 1,
- "bits": [ 2672 ],
- "attributes": {
- }
- },
- "$abc$20048$n3728": {
- "hide_name": 1,
- "bits": [ 2676 ],
- "attributes": {
- }
- },
- "$abc$20048$n3729": {
- "hide_name": 1,
- "bits": [ 2677 ],
- "attributes": {
- }
- },
- "$abc$20048$n3730": {
- "hide_name": 1,
- "bits": [ 2678 ],
- "attributes": {
- }
- },
- "$abc$20048$n3732": {
- "hide_name": 1,
- "bits": [ 2685 ],
- "attributes": {
- }
- },
- "$abc$20048$n3735": {
- "hide_name": 1,
- "bits": [ 2689 ],
- "attributes": {
- }
- },
- "$abc$20048$n3736": {
- "hide_name": 1,
- "bits": [ 2687 ],
- "attributes": {
- }
- },
- "$abc$20048$n3737": {
- "hide_name": 1,
- "bits": [ 2688 ],
- "attributes": {
- }
- },
- "$abc$20048$n3739": {
- "hide_name": 1,
- "bits": [ 2693 ],
- "attributes": {
- }
- },
- "$abc$20048$n3741": {
- "hide_name": 1,
- "bits": [ 2694 ],
- "attributes": {
- }
- },
- "$abc$20048$n3742": {
- "hide_name": 1,
- "bits": [ 2695 ],
- "attributes": {
- }
- },
- "$abc$20048$n3743": {
- "hide_name": 1,
- "bits": [ 2696 ],
- "attributes": {
- }
- },
- "$abc$20048$n3744": {
- "hide_name": 1,
- "bits": [ 2701 ],
- "attributes": {
- }
- },
- "$abc$20048$n3745": {
- "hide_name": 1,
- "bits": [ 2702 ],
- "attributes": {
- }
- },
- "$abc$20048$n3746": {
- "hide_name": 1,
- "bits": [ 2703 ],
- "attributes": {
- }
- },
- "$abc$20048$n3753": {
- "hide_name": 1,
- "bits": [ 2715 ],
- "attributes": {
- }
- },
- "$abc$20048$n3756": {
- "hide_name": 1,
- "bits": [ 2718 ],
- "attributes": {
- }
- },
- "$abc$20048$n3812": {
- "hide_name": 1,
- "bits": [ 2810 ],
- "attributes": {
- }
- },
- "$abc$20048$n3813": {
- "hide_name": 1,
- "bits": [ 2812 ],
- "attributes": {
- }
- },
- "$abc$20048$n3814": {
- "hide_name": 1,
- "bits": [ 2815 ],
- "attributes": {
- }
- },
- "$abc$20048$n3816": {
- "hide_name": 1,
- "bits": [ 2819 ],
- "attributes": {
- }
- },
- "$abc$20048$n3817": {
- "hide_name": 1,
- "bits": [ 96 ],
- "attributes": {
- }
- },
- "$abc$20048$n3818": {
- "hide_name": 1,
- "bits": [ 2824 ],
- "attributes": {
- }
- },
- "$abc$20048$n3819": {
- "hide_name": 1,
- "bits": [ 99 ],
- "attributes": {
- }
- },
- "$abc$20048$n3820": {
- "hide_name": 1,
- "bits": [ 2829 ],
- "attributes": {
- }
- },
- "$abc$20048$n3821": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$20048$n3822": {
- "hide_name": 1,
- "bits": [ 2834 ],
- "attributes": {
- }
- },
- "$abc$20048$n3823": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$20048$n3824": {
- "hide_name": 1,
- "bits": [ 2839 ],
- "attributes": {
- }
- },
- "$abc$20048$n3825": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$20048$n3826": {
- "hide_name": 1,
- "bits": [ 2844 ],
- "attributes": {
- }
- },
- "$abc$20048$n3827": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$20048$n3828": {
- "hide_name": 1,
- "bits": [ 2849 ],
- "attributes": {
- }
- },
- "$abc$20048$n3829": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$20048$n3830": {
- "hide_name": 1,
- "bits": [ 2854 ],
- "attributes": {
- }
- },
- "$abc$20048$n3831": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$20048$n3832": {
- "hide_name": 1,
- "bits": [ 2859 ],
- "attributes": {
- }
- },
- "$abc$20048$n3833": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$20048$n3834": {
- "hide_name": 1,
- "bits": [ 2864 ],
- "attributes": {
- }
- },
- "$abc$20048$n3835": {
- "hide_name": 1,
- "bits": [ 115 ],
- "attributes": {
- }
- },
- "$abc$20048$n3836": {
- "hide_name": 1,
- "bits": [ 2869 ],
- "attributes": {
- }
- },
- "$abc$20048$n3837": {
- "hide_name": 1,
- "bits": [ 117 ],
- "attributes": {
- }
- },
- "$abc$20048$n3838": {
- "hide_name": 1,
- "bits": [ 2874 ],
- "attributes": {
- }
- },
- "$abc$20048$n3839": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$20048$n3840": {
- "hide_name": 1,
- "bits": [ 2879 ],
- "attributes": {
- }
- },
- "$abc$20048$n3841": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$20048$n3842": {
- "hide_name": 1,
- "bits": [ 2884 ],
- "attributes": {
- }
- },
- "$abc$20048$n3843": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$20048$n3844": {
- "hide_name": 1,
- "bits": [ 2889 ],
- "attributes": {
- }
- },
- "$abc$20048$n3845": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$20048$n3846": {
- "hide_name": 1,
- "bits": [ 2894 ],
- "attributes": {
- }
- },
- "$abc$20048$n3847": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$20048$n3850": {
- "hide_name": 1,
- "bits": [ 2897 ],
- "attributes": {
- }
- },
- "$abc$20048$n3852": {
- "hide_name": 1,
- "bits": [ 2898 ],
- "attributes": {
- }
- },
- "$abc$20048$n3859": {
- "hide_name": 1,
- "bits": [ 2899 ],
- "attributes": {
- }
- },
- "$abc$20048$n3861": {
- "hide_name": 1,
- "bits": [ 2900 ],
- "attributes": {
- }
- },
- "$abc$20048$n3862": {
- "hide_name": 1,
- "bits": [ 2902 ],
- "attributes": {
- }
- },
- "$abc$20048$n3863": {
- "hide_name": 1,
- "bits": [ 2903 ],
- "attributes": {
- }
- },
- "$abc$20048$n3865": {
- "hide_name": 1,
- "bits": [ 2905 ],
- "attributes": {
- }
- },
- "$abc$20048$n3866": {
- "hide_name": 1,
- "bits": [ 2906 ],
- "attributes": {
- }
- },
- "$abc$20048$n3867": {
- "hide_name": 1,
- "bits": [ 2907 ],
- "attributes": {
- }
- },
- "$abc$20048$n3868": {
- "hide_name": 1,
- "bits": [ 2908 ],
- "attributes": {
- }
- },
- "$abc$20048$n3870": {
- "hide_name": 1,
- "bits": [ 2910 ],
- "attributes": {
- }
- },
- "$abc$20048$n3873": {
- "hide_name": 1,
- "bits": [ 2911 ],
- "attributes": {
- }
- },
- "$abc$20048$n3875": {
- "hide_name": 1,
- "bits": [ 2912 ],
- "attributes": {
- }
- },
- "$abc$20048$n3876": {
- "hide_name": 1,
- "bits": [ 2914 ],
- "attributes": {
- }
- },
- "$abc$20048$n3877": {
- "hide_name": 1,
- "bits": [ 2915 ],
- "attributes": {
- }
- },
- "$abc$20048$n3879": {
- "hide_name": 1,
- "bits": [ 2917 ],
- "attributes": {
- }
- },
- "$abc$20048$n3880": {
- "hide_name": 1,
- "bits": [ 2918 ],
- "attributes": {
- }
- },
- "$abc$20048$n3881": {
- "hide_name": 1,
- "bits": [ 2919 ],
- "attributes": {
- }
- },
- "$abc$20048$n3883": {
- "hide_name": 1,
- "bits": [ 2921 ],
- "attributes": {
- }
- },
- "$abc$20048$n3884": {
- "hide_name": 1,
- "bits": [ 2922 ],
- "attributes": {
- }
- },
- "$abc$20048$n3885": {
- "hide_name": 1,
- "bits": [ 2923 ],
- "attributes": {
- }
- },
- "$abc$20048$n3887": {
- "hide_name": 1,
- "bits": [ 2925 ],
- "attributes": {
- }
- },
- "$abc$20048$n3888": {
- "hide_name": 1,
- "bits": [ 2926 ],
- "attributes": {
- }
- },
- "$abc$20048$n3889": {
- "hide_name": 1,
- "bits": [ 2927 ],
- "attributes": {
- }
- },
- "$abc$20048$n3890": {
- "hide_name": 1,
- "bits": [ 2928 ],
- "attributes": {
- }
- },
- "$abc$20048$n3892": {
- "hide_name": 1,
- "bits": [ 2930 ],
- "attributes": {
- }
- },
- "$abc$20048$n3893": {
- "hide_name": 1,
- "bits": [ 2932 ],
- "attributes": {
- }
- },
- "$abc$20048$n3894": {
- "hide_name": 1,
- "bits": [ 2933 ],
- "attributes": {
- }
- },
- "$abc$20048$n3896": {
- "hide_name": 1,
- "bits": [ 2935 ],
- "attributes": {
- }
- },
- "$abc$20048$n3898": {
- "hide_name": 1,
- "bits": [ 2937 ],
- "attributes": {
- }
- },
- "$abc$20048$n3900": {
- "hide_name": 1,
- "bits": [ 2939 ],
- "attributes": {
- }
- },
- "$abc$20048$n3901": {
- "hide_name": 1,
- "bits": [ 2940 ],
- "attributes": {
- }
- },
- "$abc$20048$n3903": {
- "hide_name": 1,
- "bits": [ 2942 ],
- "attributes": {
- }
- },
- "$abc$20048$n3904": {
- "hide_name": 1,
- "bits": [ 2943 ],
- "attributes": {
- }
- },
- "$abc$20048$n3905": {
- "hide_name": 1,
- "bits": [ 2944 ],
- "attributes": {
- }
- },
- "$abc$20048$n3907": {
- "hide_name": 1,
- "bits": [ 2946 ],
- "attributes": {
- }
- },
- "$abc$20048$n3908": {
- "hide_name": 1,
- "bits": [ 2947 ],
- "attributes": {
- }
- },
- "$abc$20048$n3909": {
- "hide_name": 1,
- "bits": [ 2949 ],
- "attributes": {
- }
- },
- "$abc$20048$n3911": {
- "hide_name": 1,
- "bits": [ 2951 ],
- "attributes": {
- }
- },
- "$abc$20048$n3912": {
- "hide_name": 1,
- "bits": [ 2952 ],
- "attributes": {
- }
- },
- "$abc$20048$n3913": {
- "hide_name": 1,
- "bits": [ 2954 ],
- "attributes": {
- }
- },
- "$abc$20048$n3915": {
- "hide_name": 1,
- "bits": [ 2956 ],
- "attributes": {
- }
- },
- "$abc$20048$n3916": {
- "hide_name": 1,
- "bits": [ 2958 ],
- "attributes": {
- }
- },
- "$abc$20048$n3918": {
- "hide_name": 1,
- "bits": [ 2960 ],
- "attributes": {
- }
- },
- "$abc$20048$n3919": {
- "hide_name": 1,
- "bits": [ 2962 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.C": {
- "hide_name": 1,
- "bits": [ 5283, 2965, 2967, 2969, 2971 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.C": {
- "hide_name": 1,
- "bits": [ 5284, 5285, 2978, 2980, 2982, 2984, 2986, 2988, 2990, 2992, 2974, 2975, 2977 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.C": {
- "hide_name": 1,
- "bits": [ 5286, 5287, 2995, 2997, 2999, 3001, 3003 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.C": {
- "hide_name": 1,
- "bits": [ 5288, 3005, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3006, 3007, 3008, 3009, 3010, 3011, 3012 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.C": {
- "hide_name": 1,
- "bits": [ 5289, 3021, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3022, 3023, 3024, 3025, 3026, 3027 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.C": {
- "hide_name": 1,
- "bits": [ 5290, 5291, 3037, 3039 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.C": {
- "hide_name": 1,
- "bits": [ 5292, 5293, 3041, 3042, 3043 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.C": {
- "hide_name": 1,
- "bits": [ 5294, 5295, 3044, 3045, 3046, 3047 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.C": {
- "hide_name": 1,
- "bits": [ 5296, 5297, 3049, 3051 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$wreduce.cc:347:run$712": {
- "hide_name": 1,
- "bits": [ 2994, 5298, 2996, 2998, 3000, 3002, 3004, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20"
- }
- },
- "$techmap\\_spi3.$add$../verilog/spislaverx.v:33$405_Y": {
- "hide_name": 1,
- "bits": [ 3036, 5324, 3038, 3040 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:33"
- }
- },
- "$techmap\\_uart0._tx.$procmux$554_Y": {
- "hide_name": 1,
- "bits": [ 3048, 5325, 3050, 3052 ],
- "attributes": {
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ],
- "attributes": {
- "src": "j4a.v:123"
- }
- },
- "LEDS": {
- "hide_name": 0,
- "bits": [ 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398 ],
- "attributes": {
- "src": "j4a.v:352"
- }
- },
- "MISO": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "j4a.v:135"
- }
- },
- "MISO2": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "j4a.v:139"
- }
- },
- "MOSI": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "j4a.v:136"
- }
- },
- "MOSI2": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "j4a.v:140"
- }
- },
- "PA": {
- "hide_name": 0,
- "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ],
- "attributes": {
- "src": "j4a.v:133"
- }
- },
- "PIOS": {
- "hide_name": 0,
- "bits": [ 2525, 2543, 2561 ],
- "attributes": {
- "src": "j4a.v:367"
- }
- },
- "PIOS_00": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "j4a.v:128"
- }
- },
- "PIOS_01": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "j4a.v:129"
- }
- },
- "PIOS_02": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "j4a.v:130"
- }
- },
- "PIOS_03": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "j4a.v:131"
- }
- },
- "RXD": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "j4a.v:126"
- }
- },
- "SCL": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "j4a.v:137"
- }
- },
- "SCL2": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "j4a.v:141"
- }
- },
- "TXD": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "j4a.v:125"
- }
- },
- "_bn00.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:9"
- }
- },
- "_bn00.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:8"
- }
- },
- "_bn00.RDATA": {
- "hide_name": 0,
- "bits": [ 2724, 2727 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:7"
- }
- },
- "_bn00.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:11"
- }
- },
- "_bn00.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:10"
- }
- },
- "_bn00.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:10"
- }
- },
- "_bn00.WDATA": {
- "hide_name": 0,
- "bits": [ 433, 438 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:12"
- }
- },
- "_bn00.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:10"
- }
- },
- "_bn00.rd": {
- "hide_name": 0,
- "bits": [ 5056, 5057, 5058, 2724, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 2727, 5066, 5067, 5068, 5069 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:31"
- }
- },
- "_bn01.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:9"
- }
- },
- "_bn01.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:8"
- }
- },
- "_bn01.RDATA": {
- "hide_name": 0,
- "bits": [ 2730, 2733 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:7"
- }
- },
- "_bn01.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:11"
- }
- },
- "_bn01.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:10"
- }
- },
- "_bn01.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:10"
- }
- },
- "_bn01.WDATA": {
- "hide_name": 0,
- "bits": [ 443, 448 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:12"
- }
- },
- "_bn01.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:10"
- }
- },
- "_bn01.rd": {
- "hide_name": 0,
- "bits": [ 5070, 5071, 5072, 2730, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 2733, 5080, 5081, 5082, 5083 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:31"
- }
- },
- "_bn02.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:9"
- }
- },
- "_bn02.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:8"
- }
- },
- "_bn02.RDATA": {
- "hide_name": 0,
- "bits": [ 2736, 2739 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:7"
- }
- },
- "_bn02.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:11"
- }
- },
- "_bn02.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:10"
- }
- },
- "_bn02.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:10"
- }
- },
- "_bn02.WDATA": {
- "hide_name": 0,
- "bits": [ 453, 458 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:12"
- }
- },
- "_bn02.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:10"
- }
- },
- "_bn02.rd": {
- "hide_name": 0,
- "bits": [ 5084, 5085, 5086, 2736, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 2739, 5094, 5095, 5096, 5097 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:31"
- }
- },
- "_bn03.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:9"
- }
- },
- "_bn03.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:8"
- }
- },
- "_bn03.RDATA": {
- "hide_name": 0,
- "bits": [ 2742, 2745 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:7"
- }
- },
- "_bn03.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:11"
- }
- },
- "_bn03.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:10"
- }
- },
- "_bn03.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:10"
- }
- },
- "_bn03.WDATA": {
- "hide_name": 0,
- "bits": [ 463, 468 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:12"
- }
- },
- "_bn03.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:10"
- }
- },
- "_bn03.rd": {
- "hide_name": 0,
- "bits": [ 5098, 5099, 5100, 2742, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 2745, 5108, 5109, 5110, 5111 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:31"
- }
- },
- "_bn04.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:9"
- }
- },
- "_bn04.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:8"
- }
- },
- "_bn04.RDATA": {
- "hide_name": 0,
- "bits": [ 2748, 2751 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:7"
- }
- },
- "_bn04.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:11"
- }
- },
- "_bn04.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:10"
- }
- },
- "_bn04.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:10"
- }
- },
- "_bn04.WDATA": {
- "hide_name": 0,
- "bits": [ 473, 478 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:12"
- }
- },
- "_bn04.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:10"
- }
- },
- "_bn04.rd": {
- "hide_name": 0,
- "bits": [ 5112, 5113, 5114, 2748, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 2751, 5122, 5123, 5124, 5125 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:31"
- }
- },
- "_bn05.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:9"
- }
- },
- "_bn05.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:8"
- }
- },
- "_bn05.RDATA": {
- "hide_name": 0,
- "bits": [ 2754, 2757 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:7"
- }
- },
- "_bn05.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:11"
- }
- },
- "_bn05.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:10"
- }
- },
- "_bn05.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:10"
- }
- },
- "_bn05.WDATA": {
- "hide_name": 0,
- "bits": [ 483, 488 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:12"
- }
- },
- "_bn05.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:10"
- }
- },
- "_bn05.rd": {
- "hide_name": 0,
- "bits": [ 5126, 5127, 5128, 2754, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 2757, 5136, 5137, 5138, 5139 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:31"
- }
- },
- "_bn06.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:9"
- }
- },
- "_bn06.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:8"
- }
- },
- "_bn06.RDATA": {
- "hide_name": 0,
- "bits": [ 2760, 2763 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:7"
- }
- },
- "_bn06.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:11"
- }
- },
- "_bn06.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:10"
- }
- },
- "_bn06.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:10"
- }
- },
- "_bn06.WDATA": {
- "hide_name": 0,
- "bits": [ 493, 498 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:12"
- }
- },
- "_bn06.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:10"
- }
- },
- "_bn06.rd": {
- "hide_name": 0,
- "bits": [ 5140, 5141, 5142, 2760, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 2763, 5150, 5151, 5152, 5153 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:31"
- }
- },
- "_bn07.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:9"
- }
- },
- "_bn07.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:8"
- }
- },
- "_bn07.RDATA": {
- "hide_name": 0,
- "bits": [ 2766, 2769 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:7"
- }
- },
- "_bn07.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:11"
- }
- },
- "_bn07.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:10"
- }
- },
- "_bn07.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:10"
- }
- },
- "_bn07.WDATA": {
- "hide_name": 0,
- "bits": [ 503, 508 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:12"
- }
- },
- "_bn07.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:10"
- }
- },
- "_bn07.rd": {
- "hide_name": 0,
- "bits": [ 5154, 5155, 5156, 2766, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 2769, 5164, 5165, 5166, 5167 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:31"
- }
- },
- "_bn10.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:9"
- }
- },
- "_bn10.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:8"
- }
- },
- "_bn10.RDATA": {
- "hide_name": 0,
- "bits": [ 2723, 2728 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:7"
- }
- },
- "_bn10.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:11"
- }
- },
- "_bn10.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:10"
- }
- },
- "_bn10.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:10"
- }
- },
- "_bn10.WDATA": {
- "hide_name": 0,
- "bits": [ 433, 438 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:12"
- }
- },
- "_bn10.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:10"
- }
- },
- "_bn10.rd": {
- "hide_name": 0,
- "bits": [ 5168, 5169, 5170, 2723, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 2728, 5178, 5179, 5180, 5181 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:31"
- }
- },
- "_bn11.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:9"
- }
- },
- "_bn11.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:8"
- }
- },
- "_bn11.RDATA": {
- "hide_name": 0,
- "bits": [ 2731, 2734 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:7"
- }
- },
- "_bn11.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:11"
- }
- },
- "_bn11.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:10"
- }
- },
- "_bn11.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:10"
- }
- },
- "_bn11.WDATA": {
- "hide_name": 0,
- "bits": [ 443, 448 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:12"
- }
- },
- "_bn11.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:10"
- }
- },
- "_bn11.rd": {
- "hide_name": 0,
- "bits": [ 5182, 5183, 5184, 2731, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 2734, 5192, 5193, 5194, 5195 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:31"
- }
- },
- "_bn12.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:9"
- }
- },
- "_bn12.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:8"
- }
- },
- "_bn12.RDATA": {
- "hide_name": 0,
- "bits": [ 2737, 2740 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:7"
- }
- },
- "_bn12.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:11"
- }
- },
- "_bn12.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:10"
- }
- },
- "_bn12.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:10"
- }
- },
- "_bn12.WDATA": {
- "hide_name": 0,
- "bits": [ 453, 458 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:12"
- }
- },
- "_bn12.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:10"
- }
- },
- "_bn12.rd": {
- "hide_name": 0,
- "bits": [ 5196, 5197, 5198, 2737, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 2740, 5206, 5207, 5208, 5209 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:31"
- }
- },
- "_bn13.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:9"
- }
- },
- "_bn13.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:8"
- }
- },
- "_bn13.RDATA": {
- "hide_name": 0,
- "bits": [ 2743, 2746 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:7"
- }
- },
- "_bn13.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:11"
- }
- },
- "_bn13.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:10"
- }
- },
- "_bn13.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:10"
- }
- },
- "_bn13.WDATA": {
- "hide_name": 0,
- "bits": [ 463, 468 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:12"
- }
- },
- "_bn13.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:10"
- }
- },
- "_bn13.rd": {
- "hide_name": 0,
- "bits": [ 5210, 5211, 5212, 2743, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 2746, 5220, 5221, 5222, 5223 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:31"
- }
- },
- "_bn14.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:9"
- }
- },
- "_bn14.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:8"
- }
- },
- "_bn14.RDATA": {
- "hide_name": 0,
- "bits": [ 2749, 2752 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:7"
- }
- },
- "_bn14.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:11"
- }
- },
- "_bn14.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:10"
- }
- },
- "_bn14.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:10"
- }
- },
- "_bn14.WDATA": {
- "hide_name": 0,
- "bits": [ 473, 478 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:12"
- }
- },
- "_bn14.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:10"
- }
- },
- "_bn14.rd": {
- "hide_name": 0,
- "bits": [ 5224, 5225, 5226, 2749, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 2752, 5234, 5235, 5236, 5237 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:31"
- }
- },
- "_bn15.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:9"
- }
- },
- "_bn15.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:8"
- }
- },
- "_bn15.RDATA": {
- "hide_name": 0,
- "bits": [ 2755, 2758 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:7"
- }
- },
- "_bn15.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:11"
- }
- },
- "_bn15.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:10"
- }
- },
- "_bn15.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:10"
- }
- },
- "_bn15.WDATA": {
- "hide_name": 0,
- "bits": [ 483, 488 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:12"
- }
- },
- "_bn15.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:10"
- }
- },
- "_bn15.rd": {
- "hide_name": 0,
- "bits": [ 5238, 5239, 5240, 2755, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 2758, 5248, 5249, 5250, 5251 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:31"
- }
- },
- "_bn16.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:9"
- }
- },
- "_bn16.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:8"
- }
- },
- "_bn16.RDATA": {
- "hide_name": 0,
- "bits": [ 2761, 2764 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:7"
- }
- },
- "_bn16.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:11"
- }
- },
- "_bn16.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:10"
- }
- },
- "_bn16.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:10"
- }
- },
- "_bn16.WDATA": {
- "hide_name": 0,
- "bits": [ 493, 498 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:12"
- }
- },
- "_bn16.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:10"
- }
- },
- "_bn16.rd": {
- "hide_name": 0,
- "bits": [ 5252, 5253, 5254, 2761, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 2764, 5262, 5263, 5264, 5265 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:31"
- }
- },
- "_bn17.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:9"
- }
- },
- "_bn17.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:8"
- }
- },
- "_bn17.RDATA": {
- "hide_name": 0,
- "bits": [ 2767, 2770 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:7"
- }
- },
- "_bn17.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:11"
- }
- },
- "_bn17.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:10"
- }
- },
- "_bn17.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:10"
- }
- },
- "_bn17.WDATA": {
- "hide_name": 0,
- "bits": [ 503, 508 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:12"
- }
- },
- "_bn17.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:10"
- }
- },
- "_bn17.rd": {
- "hide_name": 0,
- "bits": [ 5266, 5267, 5268, 2767, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 2770, 5276, 5277, 5278, 5279 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:31"
- }
- },
- "_j4.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:6"
- }
- },
- "_j4.code_addr": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140, 3142, 3143 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:17"
- }
- },
- "_j4.dout": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:13"
- }
- },
- "_j4.dsp": {
- "hide_name": 0,
- "bits": [ 2304, 2349, 2354, 2358, 2369 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:27"
- }
- },
- "_j4.dspD": {
- "hide_name": 0,
- "bits": [ 3058, 3060, 3062, 3064, 3066, 3057, 3059, 3061, 3063, 3065, 3067, 3068, 3069, 3070, 3071 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:28"
- }
- },
- "_j4.dspI": {
- "hide_name": 0,
- "bits": [ 426, 429 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:66"
- }
- },
- "_j4.dspN": {
- "hide_name": 0,
- "bits": [ 2964, 2966, 2968, 2970, 2972 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:27"
- }
- },
- "_j4.dstack_.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:5"
- }
- },
- "_j4.dstack_.delay": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508, 430, 435, 440, 445, 450, 455, 460, 465, 470, 475, 480, 485, 490, 495, 500, 505, 434, 439, 444, 449, 454, 459, 464, 469, 474, 479, 484, 489, 494, 499, 504, 509, 512, 515, 518, 521, 524, 527, 530, 533, 536, 539, 542, 545, 548, 551, 554, 557, 560, 563, 566, 569, 572, 575, 578, 581, 584, 587, 590, 593, 596, 599, 602, 605, 608, 611, 614, 617, 620, 623, 626, 629, 632, 635, 638, 641, 644, 647, 650, 653, 656, 659, 662, 665, 668, 671, 674, 677, 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728, 731, 734, 737, 740, 743, 746, 749, 752, 755, 758, 761, 764, 767, 770, 773, 776, 779, 782, 785, 788, 791, 794, 797, 800, 803, 806, 809, 812, 815, 818, 821, 824, 827, 830, 833, 836, 839, 842, 845, 848, 851, 854, 857, 860, 863, 866, 869, 872, 875, 878, 881, 884, 887, 890, 893, 896, 899, 902, 905, 908, 911, 914, 917, 920, 923, 926, 929, 932, 935, 938, 941, 944, 947, 950, 953, 956, 959, 962, 965, 968, 971, 974, 977, 980, 983, 986, 989, 992, 995, 998, 1001, 1004, 1007, 1010, 1013, 1016, 1019, 1022, 1025, 1028, 1031, 1034, 1037, 1040, 1043, 1046, 1049, 1052, 1055, 1058, 1061, 1064, 1067, 1070, 1073, 1076, 1079, 1082, 1085, 1088, 1091, 1094, 1097, 1100, 1103, 1106, 1109, 1112, 1115, 1118, 1121, 1124, 1127, 1130, 1133, 1136, 1139, 1142, 1145, 1148, 1151, 1154, 1157, 1160, 1163, 1166, 1169, 1172, 1175, 1178, 1181, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:29"
- }
- },
- "_j4.dstack_.delayN": {
- "hide_name": 0,
- "bits": [ 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:34"
- }
- },
- "_j4.dstack_.delta": {
- "hide_name": 0,
- "bits": [ 426, 429 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:8"
- }
- },
- "_j4.dstack_.head": {
- "hide_name": 0,
- "bits": [ 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:26"
- }
- },
- "_j4.dstack_.headN": {
- "hide_name": 0,
- "bits": [ 1201, 1206, 1209, 1212, 1215, 1218, 1221, 1224, 1227, 1230, 1233, 1236, 1239, 1242, 1245, 1248 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.dstack_.move": {
- "hide_name": 0,
- "bits": [ 426 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:22"
- }
- },
- "_j4.dstack_.oldhead": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.dstack_.oldtail": {
- "hide_name": 0,
- "bits": [ 430, 435, 440, 445, 450, 455, 460, 465, 470, 475, 480, 485, 490, 495, 500, 505, 434, 439, 444, 449, 454, 459, 464, 469, 474, 479, 484, 489, 494, 499, 504, 509, 512, 515, 518, 521, 524, 527, 530, 533, 536, 539, 542, 545, 548, 551, 554, 557, 560, 563, 566, 569, 572, 575, 578, 581, 584, 587, 590, 593, 596, 599, 602, 605, 608, 611, 614, 617, 620, 623, 626, 629, 632, 635, 638, 641, 644, 647, 650, 653, 656, 659, 662, 665, 668, 671, 674, 677, 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728, 731, 734, 737, 740, 743, 746, 749, 752, 755, 758, 761, 764, 767, 770, 773, 776, 779, 782, 785, 788, 791, 794, 797, 800, 803, 806, 809, 812, 815, 818, 821, 824, 827, 830, 833, 836, 839, 842, 845, 848, 851, 854, 857, 860, 863, 866, 869, 872, 875, 878, 881, 884, 887, 890, 893, 896, 899, 902, 905, 908, 911, 914, 917, 920, 923, 926, 929, 932, 935, 938, 941, 944, 947, 950, 953, 956, 959, 962, 965, 968, 971, 974, 977, 980, 983, 986, 989, 992, 995, 998, 1001, 1004, 1007, 1010, 1013, 1016, 1019, 1022, 1025, 1028, 1031, 1034, 1037, 1040, 1043, 1046, 1049, 1052, 1055, 1058, 1061, 1064, 1067, 1070, 1073, 1076, 1079, 1082, 1085, 1088, 1091, 1094, 1097, 1100, 1103, 1106, 1109, 1112, 1115, 1118, 1121, 1124, 1127, 1130, 1133, 1136, 1139, 1142, 1145, 1148, 1151, 1154, 1157, 1160, 1163, 1166, 1169, 1172, 1175, 1178, 1181 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.dstack_.pop": {
- "hide_name": 0,
- "bits": [ 429 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:23"
- }
- },
- "_j4.dstack_.rd": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:6"
- }
- },
- "_j4.dstack_.tail": {
- "hide_name": 0,
- "bits": [ 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:27"
- }
- },
- "_j4.dstack_.tailN": {
- "hide_name": 0,
- "bits": [ 432, 437, 442, 447, 452, 457, 462, 467, 472, 477, 482, 487, 492, 497, 502, 507, 511, 514, 517, 520, 523, 526, 529, 532, 535, 538, 541, 544, 547, 550, 553, 556, 559, 562, 565, 568, 571, 574, 577, 580, 583, 586, 589, 592, 595, 598, 601, 604, 607, 610, 613, 616, 619, 622, 625, 628, 631, 634, 637, 640, 643, 646, 649, 652, 655, 658, 661, 664, 667, 670, 673, 676, 679, 682, 685, 688, 691, 694, 697, 700, 703, 706, 709, 712, 715, 718, 721, 724, 727, 730, 733, 736, 739, 742, 745, 748, 751, 754, 757, 760, 763, 766, 769, 772, 775, 778, 781, 784, 787, 790, 793, 796, 799, 802, 805, 808, 811, 814, 817, 820, 823, 826, 829, 832, 835, 838, 841, 844, 847, 850, 853, 856, 859, 862, 865, 868, 871, 874, 877, 880, 883, 886, 889, 892, 895, 898, 901, 904, 907, 910, 913, 916, 919, 922, 925, 928, 931, 934, 937, 940, 943, 946, 949, 952, 955, 958, 961, 964, 967, 970, 973, 976, 979, 982, 985, 988, 991, 994, 997, 1000, 1003, 1006, 1009, 1012, 1015, 1018, 1021, 1024, 1027, 1030, 1033, 1036, 1039, 1042, 1045, 1048, 1051, 1054, 1057, 1060, 1063, 1066, 1069, 1072, 1075, 1078, 1081, 1084, 1087, 1090, 1093, 1096, 1099, 1102, 1105, 1108, 1111, 1114, 1117, 1120, 1123, 1126, 1129, 1132, 1135, 1138, 1141, 1144, 1147, 1150, 1153, 1156, 1159, 1162, 1165, 1168, 1171, 1174, 1177, 1180, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.dstack_.wd": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:9"
- }
- },
- "_j4.insn": {
- "hide_name": 0,
- "bits": [ 2726, 2729, 2732, 2735, 2738, 2741, 2744, 2747, 2750, 2753, 2756, 2759, 2762, 2765, 2768, 2771 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:18"
- }
- },
- "_j4.insn_now": {
- "hide_name": 0,
- "bits": [ 423, 428, 1253, 1257, 1203, 54, 55, 2251, 2266, 2269, 2272, 2275, 2278, 62, 63, 60 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:48"
- }
- },
- "_j4.io_din": {
- "hide_name": 0,
- "bits": [ 2455, 2475, 2494, 2515, 2531, 2549, 2568, 2583, 2600, 2615, 2628, 2642, 2656, 2670, 2941, 2697 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:15"
- }
- },
- "_j4.io_din_delay": {
- "hide_name": 0,
- "bits": [ 2294, 2350, 2948, 2953, 2368, 2373, 2387, 2395, 2402, 2913, 2419, 2423, 2430, 2440, 2931, 2961, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:57"
- }
- },
- "_j4.io_din_now": {
- "hide_name": 0,
- "bits": [ 2294, 2350, 2948, 2953, 2368, 2373, 2387, 2395, 2402, 2913, 2419, 2423, 2430, 2440, 2931, 2961 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:59"
- }
- },
- "_j4.io_rd": {
- "hide_name": 0,
- "bits": [ 2714 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:9"
- }
- },
- "_j4.io_slot": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:19"
- }
- },
- "_j4.io_wr": {
- "hide_name": 0,
- "bits": [ 2713 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:10"
- }
- },
- "_j4.kill_slot": {
- "hide_name": 0,
- "bits": [ 2813, 2814, 2811, 2809 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:40"
- }
- },
- "_j4.kill_slot_rq": {
- "hide_name": 0,
- "bits": [ 187, 189, 191, 193 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:21"
- }
- },
- "_j4.mem_addr": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:11"
- }
- },
- "_j4.minus": {
- "hide_name": 0,
- "bits": [ 2326, 2333, 2327, 2323, 2324, 2325, 2322, 2330, 2331, 2332, 2336, 2319, 2320, 2321, 2334, 2335, 2315 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:79"
- }
- },
- "_j4.pc": {
- "hide_name": 0,
- "bits": [ 2179, 2178, 2979, 2981, 2983, 2985, 2987, 2989, 2991, 2993, 2973, 2976, 61 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:34"
- }
- },
- "_j4.pcD": {
- "hide_name": 0,
- "bits": [ 3121, 3123, 3125, 3127, 3129, 3131, 3133, 3135, 3137, 3139, 3141, 2725, 3144, 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140, 3142, 3143, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:35"
- }
- },
- "_j4.pcN": {
- "hide_name": 0,
- "bits": [ 2240, 2253, 2255, 2257, 2259, 2261, 2263, 2265, 2268, 2271, 2274, 2277, 2280 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:34"
- }
- },
- "_j4.pc_plus_1": {
- "hide_name": 0,
- "bits": [ 2174, "x", 2183, 2187, 2191, 2195, 2199, 2203, 2207, 2211, 2215, 2219, 2223 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:37"
- }
- },
- "_j4.resetq": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:7"
- }
- },
- "_j4.return_top": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322 ],
- "attributes": {
- }
- },
- "_j4.rst0": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:63"
- }
- },
- "_j4.rstack_.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:5"
- }
- },
- "_j4.rstack_.delay": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332, 1250, 1259, 1264, 1269, 1274, 1279, 1284, 1289, 1294, 1299, 1304, 1309, 1314, 1319, 1324, 1329, 1254, 1261, 1266, 1271, 1276, 1281, 1286, 1291, 1296, 1301, 1306, 1311, 1316, 1321, 1326, 1331, 1335, 1338, 1341, 1344, 1347, 1350, 1353, 1356, 1359, 1362, 1365, 1368, 1371, 1374, 1377, 1380, 1383, 1386, 1389, 1392, 1395, 1398, 1401, 1404, 1407, 1410, 1413, 1416, 1419, 1422, 1425, 1428, 1431, 1434, 1437, 1440, 1443, 1446, 1449, 1452, 1455, 1458, 1461, 1464, 1467, 1470, 1473, 1476, 1479, 1482, 1485, 1488, 1491, 1494, 1497, 1500, 1503, 1506, 1509, 1512, 1515, 1518, 1521, 1524, 1527, 1530, 1533, 1536, 1539, 1542, 1545, 1548, 1551, 1554, 1557, 1560, 1563, 1566, 1569, 1572, 1575, 1578, 1581, 1584, 1587, 1590, 1593, 1596, 1599, 1602, 1605, 1608, 1611, 1614, 1617, 1620, 1623, 1626, 1629, 1632, 1635, 1638, 1641, 1644, 1647, 1650, 1653, 1656, 1659, 1662, 1665, 1668, 1671, 1674, 1677, 1680, 1683, 1686, 1689, 1692, 1695, 1698, 1701, 1704, 1707, 1710, 1713, 1716, 1719, 1722, 1725, 1728, 1731, 1734, 1737, 1740, 1743, 1746, 1749, 1752, 1755, 1758, 1761, 1764, 1767, 1770, 1773, 1776, 1779, 1782, 1785, 1788, 1791, 1794, 1797, 1800, 1803, 1806, 1809, 1812, 1815, 1818, 1821, 1824, 1827, 1830, 1833, 1836, 1839, 1842, 1845, 1848, 1851, 1854, 1857, 1860, 1863, 1866, 1869, 1872, 1875, 1878, 1881, 1884, 1887, 1890, 1893, 1896, 1899, 1902, 1905, 1908, 1911, 1914, 1917, 1920, 1923, 1926, 1929, 1932, 1935, 1938, 1941, 1944, 1947, 1950, 1953, 1956, 1959, 1962, 1965, 1968, 1971, 1974, 1977, 1980, 1983, 1986, 1989, 1992, 1995, 1998, 2001, 2004, 2007, 2010, 2013, 2016, 2019, 2022, 2025, 2028, 2031, 2034, 2037, 2040, 2043, 2046, 2049, 2052, 2055, 2058, 2061, 2064, 2067, 2070, 2073, 2076, 2079, 2082, 2085, 2088, 2091, 2094, 2097, 2100, 2103, 2106, 2109, 2112, 2115, 2118, 2121, 2124, 2127, 2130, 2133, 2136, 2139, 2142, 2145, 2148, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:29"
- }
- },
- "_j4.rstack_.delayN": {
- "hide_name": 0,
- "bits": [ 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:34"
- }
- },
- "_j4.rstack_.head": {
- "hide_name": 0,
- "bits": [ 5040, 5041, 5042, 5043, 5044, 5045 ],
- "attributes": {
- }
- },
- "_j4.rstack_.headN": {
- "hide_name": 0,
- "bits": [ 2168, 2173, 2177, 2182, 2186, 2190, 2194, 2198, 2202, 2206, 2210, 2214, 2218, 2222, 2225, 2227 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.rstack_.oldhead": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.rstack_.oldtail": {
- "hide_name": 0,
- "bits": [ 1250, 1259, 1264, 1269, 1274, 1279, 1284, 1289, 1294, 1299, 1304, 1309, 1314, 1319, 1324, 1329, 1254, 1261, 1266, 1271, 1276, 1281, 1286, 1291, 1296, 1301, 1306, 1311, 1316, 1321, 1326, 1331, 1335, 1338, 1341, 1344, 1347, 1350, 1353, 1356, 1359, 1362, 1365, 1368, 1371, 1374, 1377, 1380, 1383, 1386, 1389, 1392, 1395, 1398, 1401, 1404, 1407, 1410, 1413, 1416, 1419, 1422, 1425, 1428, 1431, 1434, 1437, 1440, 1443, 1446, 1449, 1452, 1455, 1458, 1461, 1464, 1467, 1470, 1473, 1476, 1479, 1482, 1485, 1488, 1491, 1494, 1497, 1500, 1503, 1506, 1509, 1512, 1515, 1518, 1521, 1524, 1527, 1530, 1533, 1536, 1539, 1542, 1545, 1548, 1551, 1554, 1557, 1560, 1563, 1566, 1569, 1572, 1575, 1578, 1581, 1584, 1587, 1590, 1593, 1596, 1599, 1602, 1605, 1608, 1611, 1614, 1617, 1620, 1623, 1626, 1629, 1632, 1635, 1638, 1641, 1644, 1647, 1650, 1653, 1656, 1659, 1662, 1665, 1668, 1671, 1674, 1677, 1680, 1683, 1686, 1689, 1692, 1695, 1698, 1701, 1704, 1707, 1710, 1713, 1716, 1719, 1722, 1725, 1728, 1731, 1734, 1737, 1740, 1743, 1746, 1749, 1752, 1755, 1758, 1761, 1764, 1767, 1770, 1773, 1776, 1779, 1782, 1785, 1788, 1791, 1794, 1797, 1800, 1803, 1806, 1809, 1812, 1815, 1818, 1821, 1824, 1827, 1830, 1833, 1836, 1839, 1842, 1845, 1848, 1851, 1854, 1857, 1860, 1863, 1866, 1869, 1872, 1875, 1878, 1881, 1884, 1887, 1890, 1893, 1896, 1899, 1902, 1905, 1908, 1911, 1914, 1917, 1920, 1923, 1926, 1929, 1932, 1935, 1938, 1941, 1944, 1947, 1950, 1953, 1956, 1959, 1962, 1965, 1968, 1971, 1974, 1977, 1980, 1983, 1986, 1989, 1992, 1995, 1998, 2001, 2004, 2007, 2010, 2013, 2016, 2019, 2022, 2025, 2028, 2031, 2034, 2037, 2040, 2043, 2046, 2049, 2052, 2055, 2058, 2061, 2064, 2067, 2070, 2073, 2076, 2079, 2082, 2085, 2088, 2091, 2094, 2097, 2100, 2103, 2106, 2109, 2112, 2115, 2118, 2121, 2124, 2127, 2130, 2133, 2136, 2139, 2142, 2145, 2148 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.rstack_.rd": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:6"
- }
- },
- "_j4.rstack_.tail": {
- "hide_name": 0,
- "bits": [ 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397 ],
- "attributes": {
- }
- },
- "_j4.rstack_.tailN": {
- "hide_name": 0,
- "bits": [ 1252, 1260, 1265, 1270, 1275, 1280, 1285, 1290, 1295, 1300, 1305, 1310, 1315, 1320, 1325, 1330, 1334, 1337, 1340, 1343, 1346, 1349, 1352, 1355, 1358, 1361, 1364, 1367, 1370, 1373, 1376, 1379, 1382, 1385, 1388, 1391, 1394, 1397, 1400, 1403, 1406, 1409, 1412, 1415, 1418, 1421, 1424, 1427, 1430, 1433, 1436, 1439, 1442, 1445, 1448, 1451, 1454, 1457, 1460, 1463, 1466, 1469, 1472, 1475, 1478, 1481, 1484, 1487, 1490, 1493, 1496, 1499, 1502, 1505, 1508, 1511, 1514, 1517, 1520, 1523, 1526, 1529, 1532, 1535, 1538, 1541, 1544, 1547, 1550, 1553, 1556, 1559, 1562, 1565, 1568, 1571, 1574, 1577, 1580, 1583, 1586, 1589, 1592, 1595, 1598, 1601, 1604, 1607, 1610, 1613, 1616, 1619, 1622, 1625, 1628, 1631, 1634, 1637, 1640, 1643, 1646, 1649, 1652, 1655, 1658, 1661, 1664, 1667, 1670, 1673, 1676, 1679, 1682, 1685, 1688, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1718, 1721, 1724, 1727, 1730, 1733, 1736, 1739, 1742, 1745, 1748, 1751, 1754, 1757, 1760, 1763, 1766, 1769, 1772, 1775, 1778, 1781, 1784, 1787, 1790, 1793, 1796, 1799, 1802, 1805, 1808, 1811, 1814, 1817, 1820, 1823, 1826, 1829, 1832, 1835, 1838, 1841, 1844, 1847, 1850, 1853, 1856, 1859, 1862, 1865, 1868, 1871, 1874, 1877, 1880, 1883, 1886, 1889, 1892, 1895, 1898, 1901, 1904, 1907, 1910, 1913, 1916, 1919, 1922, 1925, 1928, 1931, 1934, 1937, 1940, 1943, 1946, 1949, 1952, 1955, 1958, 1961, 1964, 1967, 1970, 1973, 1976, 1979, 1982, 1985, 1988, 1991, 1994, 1997, 2000, 2003, 2006, 2009, 2012, 2015, 2018, 2021, 2024, 2027, 2030, 2033, 2036, 2039, 2042, 2045, 2048, 2051, 2054, 2057, 2060, 2063, 2066, 2069, 2072, 2075, 2078, 2081, 2084, 2087, 2090, 2093, 2096, 2099, 2102, 2105, 2108, 2111, 2114, 2117, 2120, 2123, 2126, 2129, 2132, 2135, 2138, 2141, 2144, 2147, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.slot": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:23"
- }
- },
- "_j4.slotN": {
- "hide_name": 0,
- "bits": [ 2802, 185 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:23"
- }
- },
- "_j4.st0": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:30"
- }
- },
- "_j4.st0D": {
- "hide_name": 0,
- "bits": [ 3073, 3075, 3077, 3079, 3081, 3083, 3085, 3087, 3089, 3091, 3093, 3095, 3097, 3099, 3101, 3103, 3072, 3074, 3076, 3078, 3080, 3082, 3084, 3086, 3088, 3090, 3092, 3094, 3096, 3098, 3100, 3102, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:31"
- }
- },
- "_j4.st0N": {
- "hide_name": 0,
- "bits": [ 2945, 2340, 2950, 2955, 2904, 2909, 2381, 2392, 2959, 2916, 2415, 2920, 2924, 2929, 2934, 2963 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:30"
- }
- },
- "_j4.st1": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:63"
- }
- },
- "_j4.tc.last": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:25|../verilog/greycount.v:2"
- }
- },
- "_j4.tc.next": {
- "hide_name": 0,
- "bits": [ 2802, 185 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:25|../verilog/greycount.v:2"
- }
- },
- "_leds.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:66"
- }
- },
- "_leds.din": {
- "hide_name": 0,
- "bits": [ 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:75"
- }
- },
- "_leds.dout": {
- "hide_name": 0,
- "bits": [ 369, 371, 373, 375, 377, 379, 381, 383, 385, 387, 389, 391, 393, 395, 397, 399 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:77"
- }
- },
- "_leds.pins": {
- "hide_name": 0,
- "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:67"
- }
- },
- "_leds.rd": {
- "hide_name": 0,
- "bits": [ 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:70"
- }
- },
- "_leds.wd": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:69"
- }
- },
- "_leds.we": {
- "hide_name": 0,
- "bits": [ 2773 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:68"
- }
- },
- "_mod.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:66"
- }
- },
- "_mod.din": {
- "hide_name": 0,
- "bits": [ 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:75"
- }
- },
- "_mod.dir": {
- "hide_name": 0,
- "bits": [ 2470, 2481, 2510, 2526, 2541, 2564, 2577, 2592, 2609, 2621, 2638, 2653, 2668, 2679, 2692, 2705 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:71"
- }
- },
- "_mod.dout": {
- "hide_name": 0,
- "bits": [ 337, 339, 341, 343, 345, 347, 349, 351, 353, 355, 357, 359, 361, 363, 365, 367 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:77"
- }
- },
- "_mod.pins": {
- "hide_name": 0,
- "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:67",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "_mod.rd": {
- "hide_name": 0,
- "bits": [ 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:70"
- }
- },
- "_mod.wd": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:69"
- }
- },
- "_mod.we": {
- "hide_name": 0,
- "bits": [ 2772 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:68"
- }
- },
- "_rcxd.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:339|j4a.v:111"
- }
- },
- "_rcxd.pin": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "j4a.v:339|j4a.v:112",
- "unused_bits": "0"
- }
- },
- "_rcxd.rd": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:339|j4a.v:113"
- }
- },
- "_spi.MISO": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:10",
- "unused_bits": "0"
- }
- },
- "_spi.MISO_": {
- "hide_name": 0,
- "bits": [ 3277 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:19"
- }
- },
- "_spi.MOSI": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:8"
- }
- },
- "_spi.SCL": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:9"
- }
- },
- "_spi.SCL_": {
- "hide_name": 0,
- "bits": [ 149 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:18"
- }
- },
- "_spi.both": {
- "hide_name": 0,
- "bits": [ 280 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:4"
- }
- },
- "_spi.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:2"
- }
- },
- "_spi.datain": {
- "hide_name": 0,
- "bits": [ 2465, 2478, 2509, 2523, 2538, 2553, 2578, 2595, 2610, 2622, 2637, 2654, 2666, 2681, 2691, 2706 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:28"
- }
- },
- "_spi.dataout": {
- "hide_name": 0,
- "bits": [ 298, 300, 302, 304, 306, 308, 310, 312, 315, 318, 321, 324, 327, 330, 333, 3269 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:15"
- }
- },
- "_spi.ince": {
- "hide_name": 0,
- "bits": [ 2785 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:20"
- }
- },
- "_spi.running": {
- "hide_name": 0,
- "bits": [ 148 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:7"
- }
- },
- "_spi.rx": {
- "hide_name": 0,
- "bits": [ 2465, 2478, 2509, 2523, 2538, 2553, 2578, 2595, 2610, 2622, 2637, 2654, 2666, 2681, 2691, 2706 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:6"
- }
- },
- "_spi.sdelay": {
- "hide_name": 0,
- "bits": [ 282, 284, 286, 288, 290, 292, 294, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 296, 148 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:12"
- }
- },
- "_spi.tx": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:5"
- }
- },
- "_spi2.MISO": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:10",
- "unused_bits": "0"
- }
- },
- "_spi2.MISO_": {
- "hide_name": 0,
- "bits": [ 3268 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:24"
- }
- },
- "_spi2.MOSI": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:8"
- }
- },
- "_spi2.SCL": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:9"
- }
- },
- "_spi2.SCL_": {
- "hide_name": 0,
- "bits": [ 144 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:21"
- }
- },
- "_spi2.both": {
- "hide_name": 0,
- "bits": [ 232 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:4"
- }
- },
- "_spi2.capturing": {
- "hide_name": 0,
- "bits": [ 146 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:14"
- }
- },
- "_spi2.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:2"
- }
- },
- "_spi2.datain": {
- "hide_name": 0,
- "bits": [ 2607, 2623, 2640, 2652, 2667, 2682, 2690, 2704, 2469, 2484, 2511, 2524, 2540, 2563, 2575, 2593 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:32"
- }
- },
- "_spi2.dataout": {
- "hide_name": 0,
- "bits": [ 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 3260 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:18"
- }
- },
- "_spi2.go": {
- "hide_name": 0,
- "bits": [ 140 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:13"
- }
- },
- "_spi2.rx": {
- "hide_name": 0,
- "bits": [ 2469, 2484, 2511, 2524, 2540, 2563, 2575, 2593, 2607, 2623, 2640, 2652, 2667, 2682, 2690, 2704 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:6"
- }
- },
- "_spi2.sdelay": {
- "hide_name": 0,
- "bits": [ 234, 236, 238, 240, 242, 244, 246, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 248, 140 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:12"
- }
- },
- "_spi2.slower": {
- "hide_name": 0,
- "bits": [ 143 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:22"
- }
- },
- "_spi2.tx": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:5"
- }
- },
- "_spi3.CS": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:4",
- "unused_bits": "0"
- }
- },
- "_spi3.CS_": {
- "hide_name": 0,
- "bits": [ 130 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:9"
- }
- },
- "_spi3.MOSI": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:6",
- "unused_bits": "0"
- }
- },
- "_spi3.MOSI_": {
- "hide_name": 0,
- "bits": [ 3243 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:19"
- }
- },
- "_spi3.SCL": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:5",
- "unused_bits": "0"
- }
- },
- "_spi3.SCL_": {
- "hide_name": 0,
- "bits": [ 3242 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:15"
- }
- },
- "_spi3.bitcount": {
- "hide_name": 0,
- "bits": [ 129, 132, 133, 134 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:25"
- }
- },
- "_spi3.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:2"
- }
- },
- "_spi3.dCS_": {
- "hide_name": 0,
- "bits": [ 136 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:28"
- }
- },
- "_spi3.datain": {
- "hide_name": 0,
- "bits": [ 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:27"
- }
- },
- "_spi3.dcap": {
- "hide_name": 0,
- "bits": [ 3210, 3212, 3214, 3216, 3218, 3220, 3222, 3224, 3226, 3228, 3230, 3232, 3234, 3236, 3238, 3240 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:26"
- }
- },
- "_spi3.gotd": {
- "hide_name": 0,
- "bits": [ 3191 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:52"
- }
- },
- "_spi3.rx": {
- "hide_name": 0,
- "bits": [ 2472, 2490, 2507, 2527, 2539, 2555, 2576, 2587, 2608, 2618, 2639, 2651, 2665, 2680, 2686, 2707 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:3"
- }
- },
- "_spi3.sync": {
- "hide_name": 0,
- "bits": [ 3211, 3213, 3215, 3217, 3219, 3221, 3223, 3225, 3227, 3229, 3231, 3233, 3235, 3237, 3239, 3241 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:53"
- }
- },
- "_spi3.syncd": {
- "hide_name": 0,
- "bits": [ 3190, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:53"
- }
- },
- "_spi3.syncw": {
- "hide_name": 0,
- "bits": [ 3208, 3209 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:51"
- }
- },
- "_spi3.write": {
- "hide_name": 0,
- "bits": [ 3207 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:28"
- }
- },
- "_uart0._rx._baudgen.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:30"
- }
- },
- "_uart0._rx._baudgen.counter": {
- "hide_name": 0,
- "bits": [ 178, 177, 179, 180, 181, 182 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:37"
- }
- },
- "_uart0._rx._baudgen.restart": {
- "hide_name": 0,
- "bits": [ 164 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:31"
- }
- },
- "_uart0._rx.bitcount": {
- "hide_name": 0,
- "bits": [ 166, 169, 167, 168, 170 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:106"
- }
- },
- "_uart0._rx.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:100"
- }
- },
- "_uart0._rx.data": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:105"
- }
- },
- "_uart0._rx.hh": {
- "hide_name": 0,
- "bits": [ "x", 2777, "x" ],
- "attributes": {
- "init": 4,
- "src": "j4a.v:340|uart.v:172|uart.v:119"
- }
- },
- "_uart0._rx.hhN": {
- "hide_name": 0,
- "bits": [ 2775, "x", 2777 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:120"
- }
- },
- "_uart0._rx.sample": {
- "hide_name": 0,
- "bits": [ 2716 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:118"
- }
- },
- "_uart0._rx.shifter": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:107"
- }
- },
- "_uart0._rx.shifterN": {
- "hide_name": 0,
- "bits": [ 2487, 2508, 2528, 2542, 2557, 2579, 2594, 2777 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:122"
- }
- },
- "_uart0._rx.startbit": {
- "hide_name": 0,
- "bits": [ 164 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:121"
- }
- },
- "_uart0._rx.uart_rx": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:102"
- }
- },
- "_uart0._tx._baudgen.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:10"
- }
- },
- "_uart0._tx._baudgen.counter": {
- "hide_name": 0,
- "bits": [ 70, 72, 73, 67, 68, 74, 75 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:16"
- }
- },
- "_uart0._tx._baudgen.ser_clk": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:11"
- }
- },
- "_uart0._tx.bitcount": {
- "hide_name": 0,
- "bits": [ 159, 156, 158, 157 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:68"
- }
- },
- "_uart0._tx.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:59"
- }
- },
- "_uart0._tx.ser_clk": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:74"
- }
- },
- "_uart0._tx.shifter": {
- "hide_name": 0,
- "bits": [ 3279, 3278, 400, 402, 404, 406, 408, 410, 412 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:69"
- }
- },
- "_uart0._tx.uart_dat_i": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:66"
- }
- },
- "_uart0._tx.uart_tx": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:63"
- }
- },
- "_uart0._tx.uart_wr_i": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:65"
- }
- },
- "_uart0.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:161"
- }
- },
- "_uart0.rx": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:163"
- }
- },
- "_uart0.rx_data": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:170"
- }
- },
- "_uart0.tx": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:164"
- }
- },
- "_uart0.tx_data": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:169"
- }
- },
- "_uart0.wr": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:166"
- }
- },
- "boot": {
- "hide_name": 0,
- "bits": [ 3056 ],
- "attributes": {
- "src": "j4a.v:467"
- }
- },
- "c11": {
- "hide_name": 0,
- "bits": [ 2725 ],
- "attributes": {
- "src": "../build/ram.v:405"
- }
- },
- "clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:181"
- }
- },
- "cm": {
- "hide_name": 0,
- "bits": [ 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725 ],
- "attributes": {
- "src": "../build/ram.v:407"
- }
- },
- "code_addr": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140, 3142, 3143 ],
- "attributes": {
- "src": "j4a.v:206"
- }
- },
- "dout": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:204"
- }
- },
- "dout_": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:248"
- }
- },
- "insn": {
- "hide_name": 0,
- "bits": [ 2726, 2729, 2732, 2735, 2738, 2741, 2744, 2747, 2750, 2753, 2756, 2759, 2762, 2765, 2768, 2771 ],
- "attributes": {
- "src": "../build/ram.v:3"
- }
- },
- "insn0": {
- "hide_name": 0,
- "bits": [ 2724, 2727, 2730, 2733, 2736, 2739, 2742, 2745, 2748, 2751, 2754, 2757, 2760, 2763, 2766, 2769 ],
- "attributes": {
- "src": "../build/ram.v:2"
- }
- },
- "insn1": {
- "hide_name": 0,
- "bits": [ 2723, 2728, 2731, 2734, 2737, 2740, 2743, 2746, 2749, 2752, 2755, 2758, 2761, 2764, 2767, 2770 ],
- "attributes": {
- "src": "../build/ram.v:2"
- }
- },
- "io_addr_": {
- "hide_name": 0,
- "bits": [ 2464, 97, 2460, 2471, 142, 232, 153, 280, 195, 80, 90, 2708, 65, 2456, 84, 76 ],
- "attributes": {
- "src": "j4a.v:249"
- }
- },
- "io_din": {
- "hide_name": 0,
- "bits": [ 2455, 2475, 2494, 2515, 2531, 2549, 2568, 2583, 2600, 2615, 2628, 2642, 2656, 2670, 2941, 2697 ],
- "attributes": {
- "src": "j4a.v:205"
- }
- },
- "io_rd": {
- "hide_name": 0,
- "bits": [ 2714 ],
- "attributes": {
- "src": "j4a.v:201"
- }
- },
- "io_rd_": {
- "hide_name": 0,
- "bits": [ 85 ],
- "attributes": {
- "src": "j4a.v:247"
- }
- },
- "io_slot": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:209"
- }
- },
- "io_slot_": {
- "hide_name": 0,
- "bits": [ 86, 87 ],
- "attributes": {
- "src": "j4a.v:250"
- }
- },
- "io_wr": {
- "hide_name": 0,
- "bits": [ 2713 ],
- "attributes": {
- "src": "j4a.v:201"
- }
- },
- "io_wr_": {
- "hide_name": 0,
- "bits": [ 64 ],
- "attributes": {
- "src": "j4a.v:247"
- }
- },
- "iomask_preset[0]": {
- "hide_name": 0,
- "bits": [ 2820, 2825, 2830, 2835, 2840, 2845, 2850, 2855, 2860, 2865, 2870, 2875, 2880, 2885, 2890, 2895 ],
- "attributes": {
- }
- },
- "iomask_preset[1]": {
- "hide_name": 0,
- "bits": [ 2821, 2826, 2831, 2836, 2841, 2846, 2851, 2856, 2861, 2866, 2871, 2876, 2881, 2886, 2891, 2896 ],
- "attributes": {
- }
- },
- "iomask_preset[2]": {
- "hide_name": 0,
- "bits": [ 2817, 2822, 2827, 2832, 2837, 2842, 2847, 2852, 2857, 2862, 2867, 2872, 2877, 2882, 2887, 2892 ],
- "attributes": {
- }
- },
- "iomask_preset[3]": {
- "hide_name": 0,
- "bits": [ 2818, 2823, 2828, 2833, 2838, 2843, 2848, 2853, 2858, 2863, 2868, 2873, 2878, 2883, 2888, 2893 ],
- "attributes": {
- }
- },
- "kill_slot_rq": {
- "hide_name": 0,
- "bits": [ 187, 189, 191, 193 ],
- "attributes": {
- "src": "j4a.v:211"
- }
- },
- "masked_pmod_dir": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:275"
- }
- },
- "mem_addr": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:202"
- }
- },
- "pclk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "j4a.v:121"
- }
- },
- "pio0.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:96"
- }
- },
- "pio0.pin": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:97"
- }
- },
- "pio0.rd": {
- "hide_name": 0,
- "bits": [ 2525 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:100"
- }
- },
- "pio0.wd": {
- "hide_name": 0,
- "bits": [ 200 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:99"
- }
- },
- "pio0.we": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:98"
- }
- },
- "pio1.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:96"
- }
- },
- "pio1.pin": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:97"
- }
- },
- "pio1.rd": {
- "hide_name": 0,
- "bits": [ 2543 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:100"
- }
- },
- "pio1.wd": {
- "hide_name": 0,
- "bits": [ 202 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:99"
- }
- },
- "pio1.we": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:98"
- }
- },
- "pio2.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:96"
- }
- },
- "pio2.pin": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:97"
- }
- },
- "pio2.rd": {
- "hide_name": 0,
- "bits": [ 2561 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:100"
- }
- },
- "pio2.wd": {
- "hide_name": 0,
- "bits": [ 204 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:99"
- }
- },
- "pio2.we": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:98"
- }
- },
- "pmod_dir": {
- "hide_name": 0,
- "bits": [ 2470, 2481, 2510, 2526, 2541, 2564, 2577, 2592, 2609, 2621, 2638, 2653, 2668, 2679, 2692, 2705 ],
- "attributes": {
- "src": "j4a.v:263"
- }
- },
- "pmod_in": {
- "hide_name": 0,
- "bits": [ 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366 ],
- "attributes": {
- "src": "j4a.v:264"
- }
- },
- "reset": {
- "hide_name": 0,
- "bits": [ 53 ],
- "attributes": {
- "src": "j4a.v:147"
- }
- },
- "resetq": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "j4a.v:182"
- }
- },
- "return_top": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322 ],
- "attributes": {
- }
- },
- "s0": {
- "hide_name": 0,
- "bits": [ 3055 ],
- "attributes": {
- "src": "j4a.v:467"
- }
- },
- "s1": {
- "hide_name": 0,
- "bits": [ 3054 ],
- "attributes": {
- "src": "j4a.v:467"
- }
- },
- "sCS": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "j4a.v:142"
- }
- },
- "sMOSI": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "j4a.v:144"
- }
- },
- "sSCL": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "j4a.v:143"
- }
- },
- "spirunning": {
- "hide_name": 0,
- "bits": [ 148 ],
- "attributes": {
- "src": "j4a.v:287"
- }
- },
- "spirx": {
- "hide_name": 0,
- "bits": [ 2465, 2478, 2509, 2523, 2538, 2553, 2578, 2595, 2610, 2622, 2637, 2654, 2666, 2681, 2691, 2706 ],
- "attributes": {
- "src": "j4a.v:286"
- }
- },
- "spirx2": {
- "hide_name": 0,
- "bits": [ 2469, 2484, 2511, 2524, 2540, 2563, 2575, 2593, 2607, 2623, 2640, 2652, 2667, 2682, 2690, 2704 ],
- "attributes": {
- "src": "j4a.v:298"
- }
- },
- "spislaverxd": {
- "hide_name": 0,
- "bits": [ 2472, 2490, 2507, 2527, 2539, 2555, 2576, 2587, 2608, 2618, 2639, 2651, 2665, 2680, 2686, 2707 ],
- "attributes": {
- "src": "j4a.v:310"
- }
- },
- "spower": {
- "hide_name": 0,
- "bits": [ 50, 51, 52 ],
- "attributes": {
- "src": "j4a.v:145"
- }
- },
- "spowerpin0.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:313|j4a.v:96"
- }
- },
- "spowerpin0.pin": {
- "hide_name": 0,
- "bits": [ 50 ],
- "attributes": {
- "src": "j4a.v:313|j4a.v:97"
- }
- },
- "spowerpin0.rd": {
- "hide_name": 0,
- "bits": [ 5280 ],
- "attributes": {
- "src": "j4a.v:313|j4a.v:100",
- "unused_bits": "0"
- }
- },
- "spowerpin1.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:314|j4a.v:96"
- }
- },
- "spowerpin1.pin": {
- "hide_name": 0,
- "bits": [ 51 ],
- "attributes": {
- "src": "j4a.v:314|j4a.v:97"
- }
- },
- "spowerpin1.rd": {
- "hide_name": 0,
- "bits": [ 5281 ],
- "attributes": {
- "src": "j4a.v:314|j4a.v:100",
- "unused_bits": "0"
- }
- },
- "spowerpin2.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:315|j4a.v:96"
- }
- },
- "spowerpin2.pin": {
- "hide_name": 0,
- "bits": [ 52 ],
- "attributes": {
- "src": "j4a.v:315|j4a.v:97"
- }
- },
- "spowerpin2.rd": {
- "hide_name": 0,
- "bits": [ 5282 ],
- "attributes": {
- "src": "j4a.v:315|j4a.v:100",
- "unused_bits": "0"
- }
- },
- "taskexecn": {
- "hide_name": 0,
- "bits": [ 198, 2491, 2500, 2517, 2544, 2562, 2580, 2584, 2611, 2625, 2629, 2646, 2660, 2674, 2683, 2699, 88, 2485, 2495, 2516, 2545, 2556, 2569, 2596, 2598, 2626, 2632, 2647, 2657, 2675, 2684, 2700, 94, 2486, 2502, 2518, 2532, 2550, 2581, 2597, 2601, 2624, 2633, 2643, 2661, 2671, 2938, 2698 ],
- "attributes": {
- "src": "j4a.v:438"
- }
- },
- "uart0_data": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:335"
- }
- },
- "uart0_wr": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "j4a.v:336"
- }
- },
- "uart_RXD": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:338"
- }
- },
- "unlocked": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "j4a.v:207"
- }
- },
- "w8": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:368"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0098/j4a.json.gz b/ice40/regressions/issue0098/j4a.json.gz
new file mode 100644
index 0000000..a5d8fb7
--- /dev/null
+++ b/ice40/regressions/issue0098/j4a.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0099/top.json b/ice40/regressions/issue0099/top.json
deleted file mode 100644
index cda62fd..0000000
--- a/ice40/regressions/issue0099/top.json
+++ /dev/null
@@ -1,5582 +0,0 @@
-{
- "creator": "Yosys 0.8+148 (git sha1 e112d2fb, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK_16MHZ": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "DATA_OUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "$abc$172$auto$blifparse.cc:492:parse_blif$173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 5 ],
- "I2": [ 6 ],
- "I3": [ 7 ],
- "O": [ 3 ]
- }
- },
- "$abc$172$auto$blifparse.cc:492:parse_blif$174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 9 ]
- }
- },
- "$abc$172$auto$blifparse.cc:492:parse_blif$175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 10 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 6 ],
- "I3": [ "0" ],
- "O": [ 11 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 6 ],
- "CO": [ 12 ],
- "I0": [ "0" ],
- "I1": [ 4 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 5 ],
- "I3": [ 12 ],
- "O": [ 13 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$103": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:14|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 11 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$104": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:14|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 10 ],
- "E": [ 6 ],
- "Q": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$105": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:14|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 13 ],
- "Q": [ 5 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$98": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:14|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 9 ],
- "Q": [ 7 ]
- }
- },
- "pll_inst": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 63,
- "DIVQ": 4,
- "DIVR": 0,
- "FEEDBACK_PATH": "SIMPLE"
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:7"
- },
- "port_directions": {
- "DYNAMICDELAY": "input",
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input"
- },
- "connections": {
- "DYNAMICDELAY": [ "0", "0", "0", "0", "0", "0", "0", "0" ],
- "PLLOUTCORE": [ 8 ],
- "REFERENCECLK": [ 2 ]
- }
- }
- },
- "netnames": {
- "$0\\crash_counter[2:0]": {
- "hide_name": 1,
- "bits": [ 11, 10, 13 ],
- "attributes": {
- "src": "top.v:14"
- }
- },
- "$0\\crash_data[4:0]": {
- "hide_name": 1,
- "bits": [ 9 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.C": {
- "hide_name": 1,
- "bits": [ 14, 15, 12 ],
- "attributes": {
- "src": "top.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "CLK_16MHZ": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:1"
- }
- },
- "DATA_OUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:1"
- }
- },
- "clk": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "crash_counter": {
- "hide_name": 0,
- "bits": [ 6, 4, 5 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "crash_data": {
- "hide_name": 0,
- "bits": [ 7, "0", "0", "0", "0" ],
- "attributes": {
- "src": "top.v:3"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0099/top.json.gz b/ice40/regressions/issue0099/top.json.gz
new file mode 100644
index 0000000..1aebd99
--- /dev/null
+++ b/ice40/regressions/issue0099/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0106/top.json b/ice40/regressions/issue0106/top.json
deleted file mode 100644
index 670a580..0000000
--- a/ice40/regressions/issue0106/top.json
+++ /dev/null
@@ -1,6876 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:3"
- },
- "ports": {
- "clock": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "led": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "$abc$219$auto$blifparse.cc:492:parse_blif$220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 6 ],
- "I3": [ "0" ],
- "O": [ 7 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 8 ],
- "I3": [ 9 ],
- "O": [ 10 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 9 ],
- "CO": [ 11 ],
- "I0": [ "0" ],
- "I1": [ 8 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 12 ],
- "I3": [ 11 ],
- "O": [ 13 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 11 ],
- "CO": [ 14 ],
- "I0": [ "0" ],
- "I1": [ 12 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 15 ],
- "I3": [ 14 ],
- "O": [ 16 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 14 ],
- "CO": [ 17 ],
- "I0": [ "0" ],
- "I1": [ 15 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 18 ],
- "I3": [ 17 ],
- "O": [ 19 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 17 ],
- "CO": [ 20 ],
- "I0": [ "0" ],
- "I1": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 21 ],
- "I3": [ 20 ],
- "O": [ 22 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 20 ],
- "CO": [ 23 ],
- "I0": [ "0" ],
- "I1": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 24 ],
- "I3": [ 23 ],
- "O": [ 25 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 23 ],
- "CO": [ 26 ],
- "I0": [ "0" ],
- "I1": [ 24 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 27 ],
- "I3": [ 26 ],
- "O": [ 28 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 26 ],
- "CO": [ 29 ],
- "I0": [ "0" ],
- "I1": [ 27 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 30 ],
- "I3": [ 29 ],
- "O": [ 31 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 29 ],
- "CO": [ 32 ],
- "I0": [ "0" ],
- "I1": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 33 ],
- "I3": [ 32 ],
- "O": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 32 ],
- "CO": [ 35 ],
- "I0": [ "0" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 36 ],
- "I3": [ 35 ],
- "O": [ 37 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 35 ],
- "CO": [ 38 ],
- "I0": [ "0" ],
- "I1": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 6 ],
- "CO": [ 39 ],
- "I0": [ "0" ],
- "I1": [ 4 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 40 ],
- "I3": [ 38 ],
- "O": [ 41 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 38 ],
- "CO": [ 42 ],
- "I0": [ "0" ],
- "I1": [ 40 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 43 ],
- "I3": [ 42 ],
- "O": [ 44 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 42 ],
- "CO": [ 45 ],
- "I0": [ "0" ],
- "I1": [ 43 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 46 ],
- "I3": [ 45 ],
- "O": [ 47 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 45 ],
- "CO": [ 48 ],
- "I0": [ "0" ],
- "I1": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3 ],
- "I3": [ 48 ],
- "O": [ 49 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 50 ],
- "I3": [ 39 ],
- "O": [ 51 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 39 ],
- "CO": [ 52 ],
- "I0": [ "0" ],
- "I1": [ 50 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 53 ],
- "I3": [ 52 ],
- "O": [ 54 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 52 ],
- "CO": [ 55 ],
- "I0": [ "0" ],
- "I1": [ 53 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 56 ],
- "I3": [ 55 ],
- "O": [ 57 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 55 ],
- "CO": [ 58 ],
- "I0": [ "0" ],
- "I1": [ 56 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 59 ],
- "I3": [ 58 ],
- "O": [ 60 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 58 ],
- "CO": [ 61 ],
- "I0": [ "0" ],
- "I1": [ 59 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 62 ],
- "I3": [ 61 ],
- "O": [ 63 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 61 ],
- "CO": [ 64 ],
- "I0": [ "0" ],
- "I1": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 65 ],
- "I3": [ 64 ],
- "O": [ 66 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 64 ],
- "CO": [ 67 ],
- "I0": [ "0" ],
- "I1": [ 65 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 68 ],
- "I3": [ 67 ],
- "O": [ 69 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 67 ],
- "CO": [ 70 ],
- "I0": [ "0" ],
- "I1": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 71 ],
- "I3": [ 70 ],
- "O": [ 72 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 70 ],
- "CO": [ 9 ],
- "I0": [ "0" ],
- "I1": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$61": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 7 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$62": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 5 ],
- "E": [ 6 ],
- "Q": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$63": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 51 ],
- "Q": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$64": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 54 ],
- "Q": [ 53 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$65": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 57 ],
- "Q": [ 56 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$66": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 60 ],
- "Q": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$67": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 63 ],
- "Q": [ 62 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$68": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 66 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$69": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 69 ],
- "Q": [ 68 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$70": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 72 ],
- "Q": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$71": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 10 ],
- "Q": [ 8 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$72": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 13 ],
- "Q": [ 12 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$73": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 16 ],
- "Q": [ 15 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$74": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 19 ],
- "Q": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$75": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 22 ],
- "Q": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$76": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 25 ],
- "Q": [ 24 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$77": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 28 ],
- "Q": [ 27 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$78": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 31 ],
- "Q": [ 30 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$79": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "Q": [ 33 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$80": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 37 ],
- "Q": [ 36 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$81": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 41 ],
- "Q": [ 40 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$82": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 44 ],
- "Q": [ 43 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$83": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 47 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$84": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 49 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\count[23:0]": {
- "hide_name": 1,
- "bits": [ 7, 5, 51, 54, 57, 60, 63, 66, 69, 72, 10, 13, 16, 19, 22, 25, 28, 31, 34, 37, 41, 44, 47, 49 ],
- "attributes": {
- "src": "top.v:8"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$5.C": {
- "hide_name": 1,
- "bits": [ 73, 74, 39, 52, 55, 58, 61, 64, 67, 70, 9, 11, 14, 17, 20, 23, 26, 29, 32, 35, 38, 42, 45, 48 ],
- "attributes": {
- "src": "top.v:10|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "clock": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "count": {
- "hide_name": 0,
- "bits": [ 6, 4, 50, 53, 56, 59, 62, 65, 68, 71, 8, 12, 15, 18, 21, 24, 27, 30, 33, 36, 40, 43, 46, 3 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "led": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0106/top.json.gz b/ice40/regressions/issue0106/top.json.gz
new file mode 100644
index 0000000..67530c3
--- /dev/null
+++ b/ice40/regressions/issue0106/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0116/phase_addon.json b/ice40/regressions/issue0116/phase_addon.json
deleted file mode 100644
index 56db535..0000000
--- a/ice40/regressions/issue0116/phase_addon.json
+++ /dev/null
@@ -1,19504 +0,0 @@
-{
- "creator": "Yosys 0.8+52 (git sha1 48248340, clang 6.0.1 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "phase_addon": {
- "attributes": {
- "top": 1,
- "src": "phase_addon.v:9"
- },
- "ports": {
- "c_chg": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "c_dis": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "c_val": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "c_hyst": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "pdm_h_n": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "pdm_l_n": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "pdm_h_p": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "pdm_l_p": {
- "direction": "output",
- "bits": [ 9 ]
- },
- "gpio": {
- "direction": "inout",
- "bits": [ 10, 11 ]
- },
- "clk_48m": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "clk_10m": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "pps": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "sda": {
- "direction": "inout",
- "bits": [ 15 ]
- },
- "scl": {
- "direction": "inout",
- "bits": [ 16 ]
- }
- },
- "cells": {
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 18 ],
- "I2": [ 19 ],
- "I3": [ "0" ],
- "O": [ 20 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ 22 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 19 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 18 ],
- "I2": [ 23 ],
- "I3": [ 19 ],
- "O": [ 24 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 26 ],
- "I2": [ 27 ],
- "I3": [ "0" ],
- "O": [ 23 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ 29 ],
- "I2": [ 30 ],
- "I3": [ 31 ],
- "O": [ 32 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 19 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 30 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 19 ],
- "I1": [ 25 ],
- "I2": [ 33 ],
- "I3": [ "0" ],
- "O": [ 28 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ 25 ],
- "I2": [ 35 ],
- "I3": [ "0" ],
- "O": [ 36 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 27 ],
- "I2": [ 37 ],
- "I3": [ "0" ],
- "O": [ 34 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65504
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 38 ],
- "I2": [ 19 ],
- "I3": [ 39 ],
- "O": [ 40 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 41 ],
- "I1": [ 25 ],
- "I2": [ 31 ],
- "I3": [ 19 ],
- "O": [ 39 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 25 ],
- "I2": [ 29 ],
- "I3": [ "0" ],
- "O": [ 38 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ 42 ],
- "I2": [ 30 ],
- "I3": [ 43 ],
- "O": [ 44 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 25 ],
- "I2": [ 19 ],
- "I3": [ 42 ],
- "O": [ 45 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 47 ],
- "I2": [ 48 ],
- "I3": [ 49 ],
- "O": [ 50 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 52 ],
- "I2": [ 53 ],
- "I3": [ 54 ],
- "O": [ 55 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 25 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 56 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 25 ],
- "I2": [ 17 ],
- "I3": [ "0" ],
- "O": [ 57 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 59 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 60 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 49150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ 61 ],
- "I2": [ 62 ],
- "I3": [ 63 ],
- "O": [ 64 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 62 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 66 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65521
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 21 ],
- "O": [ 70 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 67 ],
- "O": [ 69 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ 71 ],
- "I3": [ "0" ],
- "O": [ 68 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 74 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 75 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 71 ],
- "O": [ 76 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65521
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 77 ],
- "I1": [ 78 ],
- "I2": [ 79 ],
- "I3": [ 21 ],
- "O": [ 80 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 83 ],
- "I3": [ 77 ],
- "O": [ 79 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 83 ],
- "I2": [ 81 ],
- "I3": [ "0" ],
- "O": [ 78 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 83 ],
- "I2": [ 81 ],
- "I3": [ 82 ],
- "O": [ 85 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 84 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 86 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ 88 ],
- "I2": [ 89 ],
- "I3": [ 90 ],
- "O": [ 91 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 92 ],
- "I1": [ 93 ],
- "I2": [ 21 ],
- "I3": [ "0" ],
- "O": [ 94 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 41 ],
- "I2": [ 43 ],
- "I3": [ "0" ],
- "O": [ 93 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 92 ],
- "I2": [ 21 ],
- "I3": [ "0" ],
- "O": [ 95 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 101 ],
- "I3": [ "0" ],
- "O": [ 102 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 104 ],
- "I2": [ 105 ],
- "I3": [ 106 ],
- "O": [ 107 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 109 ],
- "I2": [ 110 ],
- "I3": [ 111 ],
- "O": [ 106 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ 114 ],
- "O": [ 115 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 116 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 117 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 119 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 120 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 122 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 124 ],
- "I1": [ 35 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 126 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 128 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 129 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 130 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 132 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 133 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 134 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 135 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 136 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 110 ],
- "I1": [ 138 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 141 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 142 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 144 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 146 ],
- "I1": [ 147 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 148 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 150 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 151 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 152 ],
- "I1": [ 153 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 154 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 155 ],
- "I1": [ 156 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 157 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 158 ],
- "I1": [ 159 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 160 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ 162 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 163 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 166 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 168 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 169 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 171 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 172 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 174 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 175 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 177 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 178 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 180 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 181 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 182 ],
- "I1": [ 183 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 184 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 186 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 187 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 233
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 62 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 188 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ 190 ],
- "I2": [ 69 ],
- "I3": [ "0" ],
- "O": [ 191 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 193 ],
- "I2": [ 69 ],
- "I3": [ "0" ],
- "O": [ 194 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 196 ],
- "I2": [ 79 ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 199 ],
- "I2": [ 79 ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48944
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 97 ],
- "I2": [ 202 ],
- "I3": [ 203 ],
- "O": [ 204 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 304
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 17 ],
- "I2": [ 113 ],
- "I3": [ 205 ],
- "O": [ 206 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 112 ],
- "I1": [ 26 ],
- "I2": [ 25 ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 207 ],
- "I2": [ 208 ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 25 ],
- "I2": [ 26 ],
- "I3": [ "0" ],
- "O": [ 207 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 62 ],
- "I2": [ 65 ],
- "I3": [ 21 ],
- "O": [ 211 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ 72 ],
- "I2": [ 212 ],
- "I3": [ "0" ],
- "O": [ 213 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28686
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 71 ],
- "I2": [ 73 ],
- "I3": [ 67 ],
- "O": [ 212 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 74 ],
- "I2": [ 214 ],
- "I3": [ "0" ],
- "O": [ 215 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 21 ],
- "O": [ 214 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ 82 ],
- "I2": [ 216 ],
- "I3": [ "0" ],
- "O": [ 217 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28686
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 81 ],
- "I2": [ 83 ],
- "I3": [ 77 ],
- "O": [ 216 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 78 ],
- "I2": [ 21 ],
- "I3": [ 85 ],
- "O": [ 218 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36848
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 89 ],
- "I1": [ 90 ],
- "I2": [ 87 ],
- "I3": [ 88 ],
- "O": [ 219 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 220 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 221 ],
- "I1": [ 222 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 223 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 225 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 226 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 228 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 231 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 232 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 233 ],
- "I1": [ 234 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 237 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 238 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 239 ],
- "I1": [ 240 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 242 ],
- "I1": [ 243 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 244 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 245 ],
- "I1": [ 246 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 248 ],
- "I1": [ 249 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 250 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 253 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 255 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 256 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 257 ],
- "I1": [ 258 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 259 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 261 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 262 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 264 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 268 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 269 ],
- "I2": [ 270 ],
- "I3": [ 96 ],
- "O": [ 271 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20292
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 272 ],
- "I2": [ 59 ],
- "I3": [ 186 ],
- "O": [ 273 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 29 ],
- "I1": [ 274 ],
- "I2": [ 275 ],
- "I3": [ 26 ],
- "O": [ 276 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 27 ],
- "I2": [ 25 ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 277 ],
- "I2": [ 278 ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 280 ],
- "I2": [ 281 ],
- "I3": [ "0" ],
- "O": [ 282 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 284 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 289 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20292
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ 34 ],
- "I2": [ 41 ],
- "I3": [ 43 ],
- "O": [ 294 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 19 ],
- "I2": [ 25 ],
- "I3": [ 45 ],
- "O": [ 295 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 92 ],
- "I1": [ 29 ],
- "I2": [ 43 ],
- "I3": [ 34 ],
- "O": [ 296 ]
- }
- },
- "$abc$4116$auto$blifparse.cc:492:parse_blif$4237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14848
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 296 ],
- "I2": [ 274 ],
- "I3": [ 19 ],
- "O": [ 298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$477.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 87 ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$477.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 87 ],
- "CO": [ 300 ],
- "I0": [ "0" ],
- "I1": [ 88 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$477.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 89 ],
- "I3": [ 300 ],
- "O": [ 301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$477.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 300 ],
- "CO": [ 302 ],
- "I0": [ "0" ],
- "I1": [ 89 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$477.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 90 ],
- "I3": [ 302 ],
- "O": [ 303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$480.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 112 ],
- "I3": [ "0" ],
- "O": [ 116 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$480.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 112 ],
- "CO": [ 304 ],
- "I0": [ "0" ],
- "I1": [ 113 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$480.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 114 ],
- "I3": [ 304 ],
- "O": [ 118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$480.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 304 ],
- "CO": [ 305 ],
- "I0": [ "0" ],
- "I1": [ 114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$480.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 26 ],
- "I3": [ 305 ],
- "O": [ 120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$483.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 306 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$483.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 210 ],
- "CO": [ 307 ],
- "I0": [ 208 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$483.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 308 ],
- "I2": [ "1" ],
- "I3": [ 307 ],
- "O": [ 309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$483.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 307 ],
- "CO": [ 310 ],
- "I0": [ 308 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$483.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 41 ],
- "I2": [ "1" ],
- "I3": [ 310 ],
- "O": [ 311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$486.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$486.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 73 ],
- "CO": [ 312 ],
- "I0": [ "0" ],
- "I1": [ 72 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$486.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 71 ],
- "I3": [ 312 ],
- "O": [ 193 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$489.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 73 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$489.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 73 ],
- "CO": [ 313 ],
- "I0": [ 72 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$489.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 71 ],
- "I2": [ "1" ],
- "I3": [ 313 ],
- "O": [ 192 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$492.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 83 ],
- "I3": [ "0" ],
- "O": [ 196 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$492.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 83 ],
- "CO": [ 314 ],
- "I0": [ "0" ],
- "I1": [ 82 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$492.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 81 ],
- "I3": [ 314 ],
- "O": [ 199 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$495.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 83 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 195 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$495.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 83 ],
- "CO": [ 315 ],
- "I0": [ 82 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$495.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 81 ],
- "I2": [ "1" ],
- "I3": [ 315 ],
- "O": [ 198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 316 ],
- "I2": [ 317 ],
- "I3": [ 318 ],
- "O": [ 319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 318 ],
- "CO": [ 320 ],
- "I0": [ 316 ],
- "I1": [ 317 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 321 ],
- "I2": [ 322 ],
- "I3": [ 323 ],
- "O": [ 324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 323 ],
- "CO": [ 325 ],
- "I0": [ 321 ],
- "I1": [ 322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 326 ],
- "I2": [ 327 ],
- "I3": [ 325 ],
- "O": [ 328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 325 ],
- "CO": [ 329 ],
- "I0": [ 326 ],
- "I1": [ 327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 330 ],
- "I2": [ 330 ],
- "I3": [ 329 ],
- "O": [ 331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 332 ],
- "I2": [ 333 ],
- "I3": [ 320 ],
- "O": [ 334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 320 ],
- "CO": [ 335 ],
- "I0": [ 332 ],
- "I1": [ 333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 336 ],
- "I2": [ 337 ],
- "I3": [ 335 ],
- "O": [ 338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 335 ],
- "CO": [ 339 ],
- "I0": [ 336 ],
- "I1": [ 337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 340 ],
- "I2": [ 341 ],
- "I3": [ 339 ],
- "O": [ 342 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 339 ],
- "CO": [ 343 ],
- "I0": [ 340 ],
- "I1": [ 341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 344 ],
- "I2": [ 345 ],
- "I3": [ 343 ],
- "O": [ 346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 343 ],
- "CO": [ 347 ],
- "I0": [ 344 ],
- "I1": [ 345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 348 ],
- "I2": [ 349 ],
- "I3": [ 347 ],
- "O": [ 350 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 347 ],
- "CO": [ 351 ],
- "I0": [ 348 ],
- "I1": [ 349 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 352 ],
- "I2": [ 353 ],
- "I3": [ 351 ],
- "O": [ 354 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 351 ],
- "CO": [ 355 ],
- "I0": [ 352 ],
- "I1": [ 353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 356 ],
- "I2": [ 357 ],
- "I3": [ 355 ],
- "O": [ 358 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 355 ],
- "CO": [ 359 ],
- "I0": [ 356 ],
- "I1": [ 357 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 360 ],
- "I2": [ 361 ],
- "I3": [ 359 ],
- "O": [ 362 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 359 ],
- "CO": [ 363 ],
- "I0": [ 360 ],
- "I1": [ 361 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 364 ],
- "I2": [ 365 ],
- "I3": [ 363 ],
- "O": [ 366 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 363 ],
- "CO": [ 323 ],
- "I0": [ 364 ],
- "I1": [ 365 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 367 ],
- "I2": [ 368 ],
- "I3": [ 369 ],
- "O": [ 370 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 369 ],
- "CO": [ 371 ],
- "I0": [ 367 ],
- "I1": [ 368 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 372 ],
- "I2": [ 373 ],
- "I3": [ 374 ],
- "O": [ 375 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 374 ],
- "CO": [ 376 ],
- "I0": [ 372 ],
- "I1": [ 373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 377 ],
- "I2": [ 378 ],
- "I3": [ 376 ],
- "O": [ 379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 376 ],
- "CO": [ 380 ],
- "I0": [ 377 ],
- "I1": [ 378 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 381 ],
- "I2": [ 381 ],
- "I3": [ 380 ],
- "O": [ 382 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 383 ],
- "I2": [ 384 ],
- "I3": [ 371 ],
- "O": [ 385 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 371 ],
- "CO": [ 386 ],
- "I0": [ 383 ],
- "I1": [ 384 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 387 ],
- "I2": [ 388 ],
- "I3": [ 386 ],
- "O": [ 389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 386 ],
- "CO": [ 390 ],
- "I0": [ 387 ],
- "I1": [ 388 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 391 ],
- "I2": [ 392 ],
- "I3": [ 390 ],
- "O": [ 393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 390 ],
- "CO": [ 394 ],
- "I0": [ 391 ],
- "I1": [ 392 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 395 ],
- "I2": [ 396 ],
- "I3": [ 394 ],
- "O": [ 397 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 394 ],
- "CO": [ 398 ],
- "I0": [ 395 ],
- "I1": [ 396 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 399 ],
- "I2": [ 400 ],
- "I3": [ 398 ],
- "O": [ 401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 398 ],
- "CO": [ 402 ],
- "I0": [ 399 ],
- "I1": [ 400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 403 ],
- "I2": [ 404 ],
- "I3": [ 402 ],
- "O": [ 405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 402 ],
- "CO": [ 406 ],
- "I0": [ 403 ],
- "I1": [ 404 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 407 ],
- "I2": [ 408 ],
- "I3": [ 406 ],
- "O": [ 409 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 406 ],
- "CO": [ 410 ],
- "I0": [ 407 ],
- "I1": [ 408 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 411 ],
- "I2": [ 412 ],
- "I3": [ 410 ],
- "O": [ 413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 410 ],
- "CO": [ 414 ],
- "I0": [ 411 ],
- "I1": [ 412 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 415 ],
- "I2": [ 416 ],
- "I3": [ 414 ],
- "O": [ 417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 414 ],
- "CO": [ 374 ],
- "I0": [ 415 ],
- "I1": [ 416 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$510.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 99 ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$510.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 99 ],
- "CO": [ 419 ],
- "I0": [ "0" ],
- "I1": [ 100 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$510.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 101 ],
- "I3": [ 419 ],
- "O": [ 420 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 221 ],
- "I2": [ 122 ],
- "I3": [ "0" ],
- "O": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 422 ],
- "I0": [ 221 ],
- "I1": [ 122 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 144 ],
- "I3": [ 423 ],
- "O": [ 424 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 423 ],
- "CO": [ 425 ],
- "I0": [ "0" ],
- "I1": [ 144 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 147 ],
- "I3": [ 425 ],
- "O": [ 426 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 425 ],
- "CO": [ 427 ],
- "I0": [ "0" ],
- "I1": [ 147 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 150 ],
- "I3": [ 427 ],
- "O": [ 428 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 427 ],
- "CO": [ 429 ],
- "I0": [ "0" ],
- "I1": [ 150 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 153 ],
- "I3": [ 429 ],
- "O": [ 430 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 429 ],
- "CO": [ 431 ],
- "I0": [ "0" ],
- "I1": [ 153 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 156 ],
- "I3": [ 431 ],
- "O": [ 432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 431 ],
- "CO": [ 433 ],
- "I0": [ "0" ],
- "I1": [ 156 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 159 ],
- "I3": [ 433 ],
- "O": [ 434 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 433 ],
- "CO": [ 435 ],
- "I0": [ "0" ],
- "I1": [ 159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 162 ],
- "I3": [ 435 ],
- "O": [ 436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 435 ],
- "CO": [ 437 ],
- "I0": [ "0" ],
- "I1": [ 162 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 165 ],
- "I3": [ 437 ],
- "O": [ 438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 437 ],
- "CO": [ 439 ],
- "I0": [ "0" ],
- "I1": [ 165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 168 ],
- "I3": [ 439 ],
- "O": [ 440 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 439 ],
- "CO": [ 441 ],
- "I0": [ "0" ],
- "I1": [ 168 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 171 ],
- "I3": [ 441 ],
- "O": [ 442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 441 ],
- "CO": [ 443 ],
- "I0": [ "0" ],
- "I1": [ 171 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 124 ],
- "I3": [ 422 ],
- "O": [ 444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 422 ],
- "CO": [ 445 ],
- "I0": [ "0" ],
- "I1": [ 124 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 174 ],
- "I3": [ 443 ],
- "O": [ 446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 443 ],
- "CO": [ 447 ],
- "I0": [ "0" ],
- "I1": [ 174 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 177 ],
- "I3": [ 447 ],
- "O": [ 448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 447 ],
- "CO": [ 449 ],
- "I0": [ "0" ],
- "I1": [ 177 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 180 ],
- "I3": [ 449 ],
- "O": [ 450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 449 ],
- "CO": [ 451 ],
- "I0": [ "0" ],
- "I1": [ 180 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 183 ],
- "I3": [ 451 ],
- "O": [ 452 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 126 ],
- "I3": [ 445 ],
- "O": [ 453 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 445 ],
- "CO": [ 454 ],
- "I0": [ "0" ],
- "I1": [ 126 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 128 ],
- "I3": [ 454 ],
- "O": [ 455 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 454 ],
- "CO": [ 456 ],
- "I0": [ "0" ],
- "I1": [ 128 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 130 ],
- "I3": [ 456 ],
- "O": [ 457 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 456 ],
- "CO": [ 458 ],
- "I0": [ "0" ],
- "I1": [ 130 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 132 ],
- "I3": [ 458 ],
- "O": [ 459 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 458 ],
- "CO": [ 460 ],
- "I0": [ "0" ],
- "I1": [ 132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 134 ],
- "I3": [ 460 ],
- "O": [ 461 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 460 ],
- "CO": [ 462 ],
- "I0": [ "0" ],
- "I1": [ 134 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 136 ],
- "I3": [ 462 ],
- "O": [ 463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 462 ],
- "CO": [ 464 ],
- "I0": [ "0" ],
- "I1": [ 136 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 138 ],
- "I3": [ 464 ],
- "O": [ 465 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 464 ],
- "CO": [ 466 ],
- "I0": [ "0" ],
- "I1": [ 138 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 141 ],
- "I3": [ 466 ],
- "O": [ 467 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 466 ],
- "CO": [ 423 ],
- "I0": [ "0" ],
- "I1": [ 141 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 222 ],
- "I2": [ 468 ],
- "I3": [ "0" ],
- "O": [ 224 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 469 ],
- "I0": [ 222 ],
- "I1": [ 468 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 470 ],
- "I3": [ 471 ],
- "O": [ 254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 471 ],
- "CO": [ 472 ],
- "I0": [ "0" ],
- "I1": [ 470 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 473 ],
- "I3": [ 472 ],
- "O": [ 257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 472 ],
- "CO": [ 474 ],
- "I0": [ "0" ],
- "I1": [ 473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 475 ],
- "I3": [ 474 ],
- "O": [ 260 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 474 ],
- "CO": [ 476 ],
- "I0": [ "0" ],
- "I1": [ 475 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 477 ],
- "I3": [ 476 ],
- "O": [ 263 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 476 ],
- "CO": [ 478 ],
- "I0": [ "0" ],
- "I1": [ 477 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 479 ],
- "I3": [ 478 ],
- "O": [ 266 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 478 ],
- "CO": [ 480 ],
- "I0": [ "0" ],
- "I1": [ 479 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 97 ],
- "I3": [ 480 ],
- "O": [ 269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 481 ],
- "I3": [ 469 ],
- "O": [ 227 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 469 ],
- "CO": [ 482 ],
- "I0": [ "0" ],
- "I1": [ 481 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 483 ],
- "I3": [ 482 ],
- "O": [ 230 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 482 ],
- "CO": [ 484 ],
- "I0": [ "0" ],
- "I1": [ 483 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 485 ],
- "I3": [ 484 ],
- "O": [ 233 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 484 ],
- "CO": [ 486 ],
- "I0": [ "0" ],
- "I1": [ 485 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 487 ],
- "I3": [ 486 ],
- "O": [ 236 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 486 ],
- "CO": [ 488 ],
- "I0": [ "0" ],
- "I1": [ 487 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 489 ],
- "I3": [ 488 ],
- "O": [ 239 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 488 ],
- "CO": [ 490 ],
- "I0": [ "0" ],
- "I1": [ 489 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 491 ],
- "I3": [ 490 ],
- "O": [ 242 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 490 ],
- "CO": [ 492 ],
- "I0": [ "0" ],
- "I1": [ 491 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 493 ],
- "I3": [ 492 ],
- "O": [ 245 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 492 ],
- "CO": [ 494 ],
- "I0": [ "0" ],
- "I1": [ 493 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 495 ],
- "I3": [ 494 ],
- "O": [ 248 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 494 ],
- "CO": [ 496 ],
- "I0": [ "0" ],
- "I1": [ 495 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 497 ],
- "I3": [ 496 ],
- "O": [ 251 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 496 ],
- "CO": [ 471 ],
- "I0": [ "0" ],
- "I1": [ 497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1075": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:198|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 117 ],
- "E": [ 56 ],
- "Q": [ 112 ],
- "R": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1077": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:198|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 119 ],
- "E": [ 56 ],
- "Q": [ 114 ],
- "R": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1078": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:198|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 121 ],
- "E": [ 56 ],
- "Q": [ 26 ],
- "R": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1079": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:208|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 115 ],
- "E": [ 56 ],
- "Q": [ 33 ],
- "R": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1080": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:217|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 306 ],
- "E": [ 57 ],
- "Q": [ 210 ],
- "S": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1082": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:217|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 309 ],
- "E": [ 57 ],
- "Q": [ 308 ],
- "R": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1083": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:217|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 311 ],
- "E": [ 57 ],
- "Q": [ 41 ],
- "R": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1084": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 123 ],
- "E": [ 60 ],
- "Q": [ 35 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1085": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 125 ],
- "E": [ 60 ],
- "Q": [ 105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1086": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 127 ],
- "E": [ 60 ],
- "Q": [ 111 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1087": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 129 ],
- "E": [ 60 ],
- "Q": [ 108 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1088": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 131 ],
- "E": [ 60 ],
- "Q": [ 103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1089": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 133 ],
- "E": [ 60 ],
- "Q": [ 109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1090": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 135 ],
- "E": [ 60 ],
- "Q": [ 104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1091": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 137 ],
- "E": [ 60 ],
- "Q": [ 110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1092": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 139 ],
- "E": [ 60 ],
- "Q": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1093": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 142 ],
- "E": [ 60 ],
- "Q": [ 143 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1094": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 145 ],
- "E": [ 60 ],
- "Q": [ 146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1095": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 148 ],
- "E": [ 60 ],
- "Q": [ 149 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1096": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 151 ],
- "E": [ 60 ],
- "Q": [ 152 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1097": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 154 ],
- "E": [ 60 ],
- "Q": [ 155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1098": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 157 ],
- "E": [ 60 ],
- "Q": [ 158 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1099": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 160 ],
- "E": [ 60 ],
- "Q": [ 161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1100": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 163 ],
- "E": [ 60 ],
- "Q": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1101": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 166 ],
- "E": [ 60 ],
- "Q": [ 167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1102": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 169 ],
- "E": [ 60 ],
- "Q": [ 170 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1103": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 172 ],
- "E": [ 60 ],
- "Q": [ 173 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1104": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 175 ],
- "E": [ 60 ],
- "Q": [ 176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1105": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 178 ],
- "E": [ 60 ],
- "Q": [ 179 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1106": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 181 ],
- "E": [ 60 ],
- "Q": [ 182 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1107": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 184 ],
- "E": [ 60 ],
- "Q": [ 499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1108": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 499 ],
- "E": [ 60 ],
- "Q": [ 500 ],
- "R": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1109": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 500 ],
- "E": [ 60 ],
- "Q": [ 501 ],
- "R": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1110": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 501 ],
- "E": [ 60 ],
- "Q": [ 502 ],
- "R": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1111": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 502 ],
- "E": [ 60 ],
- "Q": [ 503 ],
- "R": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1112": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 503 ],
- "E": [ 60 ],
- "Q": [ 504 ],
- "R": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1113": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 504 ],
- "E": [ 60 ],
- "Q": [ 505 ],
- "R": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1114": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 505 ],
- "E": [ 60 ],
- "Q": [ 185 ],
- "R": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1115": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:226|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 187 ],
- "E": [ 60 ],
- "Q": [ 92 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1116": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:232|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 36 ],
- "Q": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1117": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:235|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 276 ],
- "Q": [ 58 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1118": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:243|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 107 ],
- "Q": [ 37 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:62|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 279 ],
- "Q": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1361": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 319 ],
- "Q": [ 316 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1362": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 334 ],
- "Q": [ 332 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1363": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 338 ],
- "Q": [ 336 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1364": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 342 ],
- "Q": [ 340 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1365": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 346 ],
- "Q": [ 344 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1366": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 350 ],
- "Q": [ 348 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1367": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 354 ],
- "Q": [ 352 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1368": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 358 ],
- "Q": [ 356 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1369": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 362 ],
- "Q": [ 360 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1370": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 366 ],
- "Q": [ 364 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1371": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 324 ],
- "Q": [ 321 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1372": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 328 ],
- "Q": [ 326 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1373": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 331 ],
- "Q": [ 330 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:75|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 330 ],
- "Q": [ 290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 20 ],
- "Q": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 40 ],
- "Q": [ 29 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 44 ],
- "Q": [ 43 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 24 ],
- "Q": [ 27 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 32 ],
- "Q": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 295 ],
- "Q": [ 42 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:62|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 282 ],
- "Q": [ 369 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1398": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 370 ],
- "Q": [ 367 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1399": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 385 ],
- "Q": [ 383 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1400": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 389 ],
- "Q": [ 387 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1401": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 393 ],
- "Q": [ 391 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1402": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 397 ],
- "Q": [ 395 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1403": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 401 ],
- "Q": [ 399 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1404": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 405 ],
- "Q": [ 403 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1405": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 409 ],
- "Q": [ 407 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1406": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 413 ],
- "Q": [ 411 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1407": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 417 ],
- "Q": [ 415 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1408": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 375 ],
- "Q": [ 372 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1409": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 379 ],
- "Q": [ 377 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1410": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:38|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 382 ],
- "Q": [ 381 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:75|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 381 ],
- "Q": [ 292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1440": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 284 ],
- "E": [ 64 ],
- "Q": [ 61 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1441": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 188 ],
- "E": [ 64 ],
- "Q": [ 62 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 14 ],
- "Q": [ 506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 506 ],
- "Q": [ 63 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 66 ],
- "Q": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1489": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 191 ],
- "E": [ 70 ],
- "Q": [ 73 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1491": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 194 ],
- "E": [ 70 ],
- "Q": [ 71 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 507 ],
- "Q": [ 508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 508 ],
- "Q": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 75 ],
- "Q": [ 274 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 76 ],
- "Q": [ 25 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1585": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 197 ],
- "E": [ 80 ],
- "Q": [ 83 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1587": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:41|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 200 ],
- "E": [ 80 ],
- "Q": [ 81 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 509 ],
- "Q": [ 510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:37|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 510 ],
- "Q": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1591": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 86 ],
- "Q": [ 17 ],
- "R": [ 283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1592": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:69|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 85 ],
- "Q": [ 22 ],
- "R": [ 283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1670": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 511 ],
- "Q": [ 47 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1671": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 512 ],
- "Q": [ 511 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1672": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 277 ],
- "Q": [ 512 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1673": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 48 ],
- "Q": [ 277 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1674": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 49 ],
- "Q": [ 48 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1675": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 46 ],
- "Q": [ 49 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1676": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 513 ],
- "Q": [ 46 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1677": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 50 ],
- "Q": [ 513 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1696": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 514 ],
- "Q": [ 52 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1697": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 515 ],
- "Q": [ 514 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1698": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 280 ],
- "Q": [ 515 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1699": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 53 ],
- "Q": [ 280 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1700": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 54 ],
- "Q": [ 53 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1701": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 51 ],
- "Q": [ 54 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1702": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 516 ],
- "Q": [ 51 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1703": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:118|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 55 ],
- "Q": [ 516 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 298 ],
- "Q": [ 297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 219 ],
- "Q": [ 88 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 13 ],
- "D": [ 220 ],
- "Q": [ 100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 211 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 218 ],
- "Q": [ 84 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 213 ],
- "Q": [ 72 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 215 ],
- "Q": [ 74 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 217 ],
- "Q": [ 82 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 206 ],
- "Q": [ 113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 209 ],
- "Q": [ 208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3913": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 223 ],
- "Q": [ 222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$784": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:253|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 91 ],
- "Q": [ 517 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$785": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:249|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 299 ],
- "E": [ 91 ],
- "Q": [ 87 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$787": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:249|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 301 ],
- "E": [ 91 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$788": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:249|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 303 ],
- "E": [ 91 ],
- "Q": [ 90 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$789": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 152 ],
- "E": [ 94 ],
- "Q": [ 317 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$790": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 155 ],
- "E": [ 94 ],
- "Q": [ 333 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$791": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 158 ],
- "E": [ 94 ],
- "Q": [ 337 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$792": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 161 ],
- "E": [ 94 ],
- "Q": [ 341 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$793": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 164 ],
- "E": [ 94 ],
- "Q": [ 345 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$794": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 167 ],
- "E": [ 94 ],
- "Q": [ 349 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$795": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 170 ],
- "E": [ 94 ],
- "Q": [ 353 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$796": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 173 ],
- "E": [ 94 ],
- "Q": [ 357 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$797": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 176 ],
- "E": [ 94 ],
- "Q": [ 361 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$798": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 179 ],
- "E": [ 94 ],
- "Q": [ 365 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$799": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 182 ],
- "E": [ 94 ],
- "Q": [ 322 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$800": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 499 ],
- "E": [ 94 ],
- "Q": [ 327 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$801": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 35 ],
- "E": [ 94 ],
- "Q": [ 368 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$802": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 105 ],
- "E": [ 94 ],
- "Q": [ 384 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$803": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 111 ],
- "E": [ 94 ],
- "Q": [ 388 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$804": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 108 ],
- "E": [ 94 ],
- "Q": [ 392 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$805": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 103 ],
- "E": [ 94 ],
- "Q": [ 396 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$806": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 109 ],
- "E": [ 94 ],
- "Q": [ 400 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$807": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 104 ],
- "E": [ 94 ],
- "Q": [ 404 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$808": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 110 ],
- "E": [ 94 ],
- "Q": [ 408 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$809": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 140 ],
- "E": [ 94 ],
- "Q": [ 412 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$810": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 143 ],
- "E": [ 94 ],
- "Q": [ 416 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$811": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 146 ],
- "E": [ 94 ],
- "Q": [ 373 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$812": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 149 ],
- "E": [ 94 ],
- "Q": [ 378 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$813": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 505 ],
- "E": [ 94 ],
- "Q": [ 518 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$814": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 504 ],
- "E": [ 94 ],
- "Q": [ 519 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$815": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 503 ],
- "E": [ 94 ],
- "Q": [ 278 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$816": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 502 ],
- "E": [ 94 ],
- "Q": [ 520 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$817": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 501 ],
- "E": [ 94 ],
- "Q": [ 521 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$818": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:124|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 500 ],
- "E": [ 94 ],
- "Q": [ 281 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$819": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 164 ],
- "E": [ 95 ],
- "Q": [ 203 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$820": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 167 ],
- "E": [ 95 ],
- "Q": [ 202 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$821": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 35 ],
- "E": [ 95 ],
- "Q": [ 225 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$822": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 105 ],
- "E": [ 95 ],
- "Q": [ 228 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$823": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 111 ],
- "E": [ 95 ],
- "Q": [ 231 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$824": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 108 ],
- "E": [ 95 ],
- "Q": [ 234 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$825": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 103 ],
- "E": [ 95 ],
- "Q": [ 237 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$826": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 109 ],
- "E": [ 95 ],
- "Q": [ 240 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$827": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 104 ],
- "E": [ 95 ],
- "Q": [ 243 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$828": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 110 ],
- "E": [ 95 ],
- "Q": [ 246 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$829": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 140 ],
- "E": [ 95 ],
- "Q": [ 249 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$830": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 143 ],
- "E": [ 95 ],
- "Q": [ 252 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$831": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 146 ],
- "E": [ 95 ],
- "Q": [ 255 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$832": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 149 ],
- "E": [ 95 ],
- "Q": [ 258 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$833": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 152 ],
- "E": [ 95 ],
- "Q": [ 261 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$834": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 155 ],
- "E": [ 95 ],
- "Q": [ 264 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$835": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 158 ],
- "E": [ 95 ],
- "Q": [ 267 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$836": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:85|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 161 ],
- "E": [ 95 ],
- "Q": [ 270 ],
- "S": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:170|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 13 ],
- "D": [ 418 ],
- "Q": [ 99 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:170|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 13 ],
- "D": [ 420 ],
- "Q": [ 101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:152|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 289 ],
- "Q": [ 221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:173|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 13 ],
- "D": [ 102 ],
- "Q": [ 522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:199|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 285 ],
- "Q": [ 201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$850": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 421 ],
- "E": [ 98 ],
- "Q": [ 122 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$851": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 444 ],
- "E": [ 98 ],
- "Q": [ 124 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$852": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 453 ],
- "E": [ 98 ],
- "Q": [ 126 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$853": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 455 ],
- "E": [ 98 ],
- "Q": [ 128 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$854": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 457 ],
- "E": [ 98 ],
- "Q": [ 130 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$855": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 459 ],
- "E": [ 98 ],
- "Q": [ 132 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$856": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 461 ],
- "E": [ 98 ],
- "Q": [ 134 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$857": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 463 ],
- "E": [ 98 ],
- "Q": [ 136 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$858": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 465 ],
- "E": [ 98 ],
- "Q": [ 138 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$859": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 467 ],
- "E": [ 98 ],
- "Q": [ 141 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$860": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 424 ],
- "E": [ 98 ],
- "Q": [ 144 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$861": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 426 ],
- "E": [ 98 ],
- "Q": [ 147 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$862": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 428 ],
- "E": [ 98 ],
- "Q": [ 150 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$863": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 430 ],
- "E": [ 98 ],
- "Q": [ 153 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$864": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 432 ],
- "E": [ 98 ],
- "Q": [ 156 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$865": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 434 ],
- "E": [ 98 ],
- "Q": [ 159 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$866": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 436 ],
- "E": [ 98 ],
- "Q": [ 162 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$867": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 438 ],
- "E": [ 98 ],
- "Q": [ 165 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$868": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 440 ],
- "E": [ 98 ],
- "Q": [ 168 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$869": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 442 ],
- "E": [ 98 ],
- "Q": [ 171 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$870": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 446 ],
- "E": [ 98 ],
- "Q": [ 174 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$871": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 448 ],
- "E": [ 98 ],
- "Q": [ 177 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$872": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 450 ],
- "E": [ 98 ],
- "Q": [ 180 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$873": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:203|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 452 ],
- "E": [ 98 ],
- "Q": [ 183 ],
- "R": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$875": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 226 ],
- "E": [ 98 ],
- "Q": [ 468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$876": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 229 ],
- "E": [ 98 ],
- "Q": [ 481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$877": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 232 ],
- "E": [ 98 ],
- "Q": [ 483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$878": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 235 ],
- "E": [ 98 ],
- "Q": [ 485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$879": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 238 ],
- "E": [ 98 ],
- "Q": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$880": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 241 ],
- "E": [ 98 ],
- "Q": [ 489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$881": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 244 ],
- "E": [ 98 ],
- "Q": [ 491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$882": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 247 ],
- "E": [ 98 ],
- "Q": [ 493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$883": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 250 ],
- "E": [ 98 ],
- "Q": [ 495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$884": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 253 ],
- "E": [ 98 ],
- "Q": [ 497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$885": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 256 ],
- "E": [ 98 ],
- "Q": [ 470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$886": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 259 ],
- "E": [ 98 ],
- "Q": [ 473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$887": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 262 ],
- "E": [ 98 ],
- "Q": [ 475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$888": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 265 ],
- "E": [ 98 ],
- "Q": [ 477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$889": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 268 ],
- "E": [ 98 ],
- "Q": [ 479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$890": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:216|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 271 ],
- "Q": [ 97 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$891": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:225|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 97 ],
- "Q": [ 272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$892": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:228|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 498 ],
- "D": [ 273 ],
- "Q": [ 186 ],
- "R": [ 21 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$848": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:177|/opt/icestorm/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 14 ],
- "D": [ "1" ],
- "Q": [ 286 ],
- "R": [ 522 ]
- }
- },
- "clk_gbuf_I": {
- "hide_name": 0,
- "type": "SB_GB",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:256"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 498 ],
- "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 12 ]
- }
- },
- "i2c_I.io_scl_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 0,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:81"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 507 ],
- "D_IN_1": [ ],
- "D_OUT_0": [ "0" ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ 498 ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ 498 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 16 ]
- }
- },
- "i2c_I.io_sda_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 52,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:66|i2c.v:99"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 509 ],
- "D_IN_1": [ ],
- "D_OUT_0": [ "0" ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ 498 ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ 498 ],
- "OUTPUT_ENABLE": [ 297 ],
- "PACKAGE_PIN": [ 15 ]
- }
- },
- "io_pdm_h_n_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 52,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:191"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ ],
- "D_IN_1": [ ],
- "D_OUT_0": [ 291 ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ "0" ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ 498 ],
- "OUTPUT_ENABLE": [ 519 ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "io_pdm_h_p_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 52,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:173"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ ],
- "D_IN_1": [ ],
- "D_OUT_0": [ 290 ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ "0" ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ 498 ],
- "OUTPUT_ENABLE": [ 518 ],
- "PACKAGE_PIN": [ 8 ]
- }
- },
- "io_pdm_l_n_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 52,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:227"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ ],
- "D_IN_1": [ ],
- "D_OUT_0": [ 293 ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ "0" ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ 498 ],
- "OUTPUT_ENABLE": [ 521 ],
- "PACKAGE_PIN": [ 7 ]
- }
- },
- "io_pdm_l_p_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 52,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:209"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ ],
- "D_IN_1": [ ],
- "D_OUT_0": [ 292 ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ "0" ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ 498 ],
- "OUTPUT_ENABLE": [ 520 ],
- "PACKAGE_PIN": [ 9 ]
- }
- },
- "pm_I.c_chg_iob_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 40,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:76"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ ],
- "D_IN_1": [ ],
- "D_OUT_0": [ "1" ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ "0" ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ "0" ],
- "OUTPUT_ENABLE": [ 286 ],
- "PACKAGE_PIN": [ 2 ]
- }
- },
- "pm_I.c_dis_iob_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 40,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:94"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ ],
- "D_IN_1": [ ],
- "D_OUT_0": [ "0" ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ "0" ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ "0" ],
- "OUTPUT_ENABLE": [ 287 ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "pm_I.c_hyst_iob_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVCMOS",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 40,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:132"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ ],
- "D_IN_1": [ ],
- "D_OUT_0": [ 204 ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ "0" ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ "0" ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "pm_I.pm_cmt_iob_I": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVDS_INPUT",
- "NEG_TRIGGER": 0,
- "PIN_TYPE": 0,
- "PULLUP": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:97|phase_measure.v:113"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "INPUT_CLK": "input",
- "LATCH_INPUT_VALUE": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 288 ],
- "D_IN_1": [ ],
- "D_OUT_0": [ "0" ],
- "D_OUT_1": [ "0" ],
- "INPUT_CLK": [ 498 ],
- "LATCH_INPUT_VALUE": [ ],
- "OUTPUT_CLK": [ "0" ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 4 ]
- }
- },
- "rst_gbuf_I": {
- "hide_name": 0,
- "type": "SB_GB",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "phase_addon.v:261"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 21 ],
- "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 517 ]
- }
- }
- },
- "netnames": {
- "$0\\rst_cnt[3:0]": {
- "hide_name": 1,
- "bits": [ 299, 523, 301, 303 ],
- "attributes": {
- "src": "phase_addon.v:249"
- }
- },
- "$0\\rst_i[0:0]": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- "src": "phase_addon.v:253"
- }
- },
- "$abc$3919$n1": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$3919$n117": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$3919$n123": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$3919$n128": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$3919$n135": {
- "hide_name": 1,
- "bits": [ 64 ],
- "attributes": {
- }
- },
- "$abc$3919$n136": {
- "hide_name": 1,
- "bits": [ 66 ],
- "attributes": {
- }
- },
- "$abc$3919$n148": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$3919$n153": {
- "hide_name": 1,
- "bits": [ 75 ],
- "attributes": {
- }
- },
- "$abc$3919$n155": {
- "hide_name": 1,
- "bits": [ 76 ],
- "attributes": {
- }
- },
- "$abc$3919$n159": {
- "hide_name": 1,
- "bits": [ 80 ],
- "attributes": {
- }
- },
- "$abc$3919$n170": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$3919$n172": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$3919$n18": {
- "hide_name": 1,
- "bits": [ 24 ],
- "attributes": {
- }
- },
- "$abc$3919$n180": {
- "hide_name": 1,
- "bits": [ 94 ],
- "attributes": {
- }
- },
- "$abc$3919$n182": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$3919$n187": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$3919$n223": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$3919$n228": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$3919$n230": {
- "hide_name": 1,
- "bits": [ 115 ],
- "attributes": {
- }
- },
- "$abc$3919$n24": {
- "hide_name": 1,
- "bits": [ 32 ],
- "attributes": {
- }
- },
- "$abc$3919$n240": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$3919$n245": {
- "hide_name": 1,
- "bits": [ 116 ],
- "attributes": {
- }
- },
- "$abc$3919$n246": {
- "hide_name": 1,
- "bits": [ 117 ],
- "attributes": {
- }
- },
- "$abc$3919$n248": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$3919$n249": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$3919$n250": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$3919$n251": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$3919$n256": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$3919$n258": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$3919$n261": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$3919$n264": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$3919$n266": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$3919$n268": {
- "hide_name": 1,
- "bits": [ 133 ],
- "attributes": {
- }
- },
- "$abc$3919$n271": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$3919$n273": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$3919$n276": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$3919$n279": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$3919$n282": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$3919$n285": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$3919$n288": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$3919$n291": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$3919$n294": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$3919$n297": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$3919$n300": {
- "hide_name": 1,
- "bits": [ 163 ],
- "attributes": {
- }
- },
- "$abc$3919$n303": {
- "hide_name": 1,
- "bits": [ 166 ],
- "attributes": {
- }
- },
- "$abc$3919$n306": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$3919$n309": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$3919$n312": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$3919$n315": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$3919$n318": {
- "hide_name": 1,
- "bits": [ 181 ],
- "attributes": {
- }
- },
- "$abc$3919$n321": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$3919$n324": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$3919$n329": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$3919$n334": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$3919$n335": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$3919$n336": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$3919$n337": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$3919$n338": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$3919$n339": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$3919$n344": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$3919$n345": {
- "hide_name": 1,
- "bits": [ 196 ],
- "attributes": {
- }
- },
- "$abc$3919$n346": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$3919$n347": {
- "hide_name": 1,
- "bits": [ 198 ],
- "attributes": {
- }
- },
- "$abc$3919$n348": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$3919$n349": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$3919$n354": {
- "hide_name": 1,
- "bits": [ 206 ],
- "attributes": {
- }
- },
- "$abc$3919$n356": {
- "hide_name": 1,
- "bits": [ 209 ],
- "attributes": {
- }
- },
- "$abc$3919$n358": {
- "hide_name": 1,
- "bits": [ 298 ],
- "attributes": {
- }
- },
- "$abc$3919$n360": {
- "hide_name": 1,
- "bits": [ 211 ],
- "attributes": {
- }
- },
- "$abc$3919$n361": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$3919$n362": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$abc$3919$n363": {
- "hide_name": 1,
- "bits": [ 217 ],
- "attributes": {
- }
- },
- "$abc$3919$n364": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$3919$n367": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$3919$n369": {
- "hide_name": 1,
- "bits": [ 220 ],
- "attributes": {
- }
- },
- "$abc$3919$n372": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$3919$n373": {
- "hide_name": 1,
- "bits": [ 224 ],
- "attributes": {
- }
- },
- "$abc$3919$n375": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$3919$n376": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$3919$n378": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$3919$n379": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$3919$n381": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$3919$n382": {
- "hide_name": 1,
- "bits": [ 233 ],
- "attributes": {
- }
- },
- "$abc$3919$n384": {
- "hide_name": 1,
- "bits": [ 235 ],
- "attributes": {
- }
- },
- "$abc$3919$n385": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$3919$n387": {
- "hide_name": 1,
- "bits": [ 238 ],
- "attributes": {
- }
- },
- "$abc$3919$n388": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$3919$n390": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$3919$n391": {
- "hide_name": 1,
- "bits": [ 242 ],
- "attributes": {
- }
- },
- "$abc$3919$n393": {
- "hide_name": 1,
- "bits": [ 244 ],
- "attributes": {
- }
- },
- "$abc$3919$n394": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$3919$n396": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$3919$n397": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$3919$n399": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$3919$n400": {
- "hide_name": 1,
- "bits": [ 251 ],
- "attributes": {
- }
- },
- "$abc$3919$n402": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$3919$n403": {
- "hide_name": 1,
- "bits": [ 254 ],
- "attributes": {
- }
- },
- "$abc$3919$n405": {
- "hide_name": 1,
- "bits": [ 256 ],
- "attributes": {
- }
- },
- "$abc$3919$n406": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$3919$n408": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$3919$n409": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$3919$n411": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$3919$n412": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$3919$n414": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$3919$n415": {
- "hide_name": 1,
- "bits": [ 266 ],
- "attributes": {
- }
- },
- "$abc$3919$n417": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$3919$n419": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$3919$n42": {
- "hide_name": 1,
- "bits": [ 40 ],
- "attributes": {
- }
- },
- "$abc$3919$n422": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$3919$n426": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$3919$n440": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$3919$n442": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$3919$n445": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$3919$n463": {
- "hide_name": 1,
- "bits": [ 276 ],
- "attributes": {
- }
- },
- "$abc$3919$n469": {
- "hide_name": 1,
- "bits": [ 36 ],
- "attributes": {
- }
- },
- "$abc$3919$n475": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$3919$n479": {
- "hide_name": 1,
- "bits": [ 282 ],
- "attributes": {
- }
- },
- "$abc$3919$n57": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$3919$n58": {
- "hide_name": 1,
- "bits": [ 284 ],
- "attributes": {
- }
- },
- "$abc$3919$n76": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$3919$n8": {
- "hide_name": 1,
- "bits": [ 20 ],
- "attributes": {
- }
- },
- "$abc$4116$n263_1": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$4116$n265_1": {
- "hide_name": 1,
- "bits": [ 23 ],
- "attributes": {
- }
- },
- "$abc$4116$n267_1": {
- "hide_name": 1,
- "bits": [ 30 ],
- "attributes": {
- }
- },
- "$abc$4116$n268": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$4116$n270_1": {
- "hide_name": 1,
- "bits": [ 34 ],
- "attributes": {
- }
- },
- "$abc$4116$n272": {
- "hide_name": 1,
- "bits": [ 39 ],
- "attributes": {
- }
- },
- "$abc$4116$n273_1": {
- "hide_name": 1,
- "bits": [ 38 ],
- "attributes": {
- }
- },
- "$abc$4116$n278": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$4116$n287_1": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$4116$n288": {
- "hide_name": 1,
- "bits": [ 68 ],
- "attributes": {
- }
- },
- "$abc$4116$n292_1": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$4116$n293_1": {
- "hide_name": 1,
- "bits": [ 78 ],
- "attributes": {
- }
- },
- "$abc$4116$n298_1": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$4116$n303_1": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$4116$n340_1": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$4116$n342_1": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$4116$n348_1": {
- "hide_name": 1,
- "bits": [ 212 ],
- "attributes": {
- }
- },
- "$abc$4116$n350_1": {
- "hide_name": 1,
- "bits": [ 214 ],
- "attributes": {
- }
- },
- "$abc$4116$n352_1": {
- "hide_name": 1,
- "bits": [ 216 ],
- "attributes": {
- }
- },
- "$abc$4116$n375": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$4116$n385": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$4116$n387": {
- "hide_name": 1,
- "bits": [ 296 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$477.C": {
- "hide_name": 1,
- "bits": [ 524, 525, 300, 302 ],
- "attributes": {
- "src": "phase_addon.v:251|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$480.C": {
- "hide_name": 1,
- "bits": [ 526, 527, 304, 305 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:205|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$483.C": {
- "hide_name": 1,
- "bits": [ 528, 529, 307, 310 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:221|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$486.C": {
- "hide_name": 1,
- "bits": [ 530, 531, 312 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$489.C": {
- "hide_name": 1,
- "bits": [ 532, 533, 313 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$492.C": {
- "hide_name": 1,
- "bits": [ 534, 535, 314 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:46|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$495.C": {
- "hide_name": 1,
- "bits": [ 536, 537, 315 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:48|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$501.C": {
- "hide_name": 1,
- "bits": [ 538, 320, 335, 339, 343, 347, 351, 355, 359, 363, 323, 325, 329 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$507.C": {
- "hide_name": 1,
- "bits": [ 539, 371, 386, 390, 394, 398, 402, 406, 410, 414, 374, 376, 380 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:43|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$510.C": {
- "hide_name": 1,
- "bits": [ 540, 541, 419 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:171|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$513.C": {
- "hide_name": 1,
- "bits": [ 542, 422, 445, 454, 456, 458, 460, 462, 464, 466, 423, 425, 427, 429, 431, 433, 435, 437, 439, 441, 443, 447, 449, 451 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:207|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$516.C": {
- "hide_name": 1,
- "bits": [ 543, 469, 482, 484, 486, 488, 490, 492, 494, 496, 471, 472, 474, 476, 478, 480 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:220|/opt/icestorm/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$wreduce.cc:347:run$469": {
- "hide_name": 1,
- "bits": [ 306, 544, 309, 311, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:221"
- }
- },
- "$techmap\\pdm_h_I.$add$pdm.v:43$124_Y": {
- "hide_name": 1,
- "bits": [ 319, 334, 338, 342, 346, 350, 354, 358, 362, 366, 324, 328, 331 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:43"
- }
- },
- "$techmap\\pdm_l_I.$add$pdm.v:43$124_Y": {
- "hide_name": 1,
- "bits": [ 370, 385, 389, 393, 397, 401, 405, 409, 413, 417, 375, 379, 382 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:43"
- }
- },
- "$techmap\\pm_I.$0\\clk_div[2:0]": {
- "hide_name": 1,
- "bits": [ 418, 573, 420 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:170"
- }
- },
- "$techmap\\pm_I.$add$phase_measure.v:207$144_Y": {
- "hide_name": 1,
- "bits": [ 421, 444, 453, 455, 457, 459, 461, 463, 465, 467, 424, 426, 428, 430, 432, 434, 436, 438, 440, 442, 446, 448, 450, 452 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:207"
- }
- },
- "c_chg": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "phase_addon.v:10"
- }
- },
- "c_dis": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "phase_addon.v:11"
- }
- },
- "c_hyst": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "phase_addon.v:14"
- }
- },
- "c_val": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "phase_addon.v:12"
- }
- },
- "clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:57"
- }
- },
- "clk_10m": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "phase_addon.v:23"
- }
- },
- "clk_48m": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "phase_addon.v:22"
- }
- },
- "gpio": {
- "hide_name": 0,
- "bits": [ 10, 11 ],
- "attributes": {
- "src": "phase_addon.v:21"
- }
- },
- "i2c_I.addr_match": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:74"
- }
- },
- "i2c_I.bit_ack": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:62"
- }
- },
- "i2c_I.bit_cnt": {
- "hide_name": 0,
- "bits": [ 112, 113, 114, 26 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:60"
- }
- },
- "i2c_I.bit_last": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:61"
- }
- },
- "i2c_I.byte_cnt": {
- "hide_name": 0,
- "bits": [ 210, 208, 308, 41 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:65"
- }
- },
- "i2c_I.byte_last": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:66"
- }
- },
- "i2c_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:26"
- }
- },
- "i2c_I.data_load": {
- "hide_name": 0,
- "bits": [ 59 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:70"
- }
- },
- "i2c_I.data_reg": {
- "hide_name": 0,
- "bits": [ 35, 105, 111, 108, 103, 109, 104, 110, 140, 143, 146, 149, 152, 155, 158, 161, 164, 167, 170, 173, 176, 179, 182, 499, 500, 501, 502 ],
- "attributes": {
- }
- },
- "i2c_I.data_shift": {
- "hide_name": 0,
- "bits": [ 58 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:71"
- }
- },
- "i2c_I.fsm_state": {
- "hide_name": 0,
- "bits": [ 18, 29, "x", 43, 27, 31, 42 ],
- "attributes": {
- "onehot": 1
- }
- },
- "i2c_I.io_clk_fall": {
- "hide_name": 0,
- "bits": [ 274 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:44"
- }
- },
- "i2c_I.io_clk_rise": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:43"
- }
- },
- "i2c_I.io_scl_in": {
- "hide_name": 0,
- "bits": [ 507 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:34"
- }
- },
- "i2c_I.io_scl_val": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:38"
- }
- },
- "i2c_I.io_sda_in": {
- "hide_name": 0,
- "bits": [ 509 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:35"
- }
- },
- "i2c_I.io_sda_oe": {
- "hide_name": 0,
- "bits": [ 297 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:36"
- }
- },
- "i2c_I.io_sda_val": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:39"
- }
- },
- "i2c_I.io_start_cond": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:41"
- }
- },
- "i2c_I.io_stop_cond": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:42"
- }
- },
- "i2c_I.pad_scl": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:17",
- "unused_bits": "0"
- }
- },
- "i2c_I.pad_sda": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:18",
- "unused_bits": "0"
- }
- },
- "i2c_I.rd_ack": {
- "hide_name": 0,
- "bits": [ 59 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:24"
- }
- },
- "i2c_I.rd_data": {
- "hide_name": 0,
- "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183, "0", "0", "0", "0", "0", "0", "0", 186 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:23"
- }
- },
- "i2c_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:27"
- }
- },
- "i2c_I.scl_glitch_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:21"
- }
- },
- "i2c_I.scl_glitch_I.cnt": {
- "hide_name": 0,
- "bits": [ 73, 72, 71 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:30"
- }
- },
- "i2c_I.scl_glitch_I.fall": {
- "hide_name": 0,
- "bits": [ 274 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:19"
- }
- },
- "i2c_I.scl_glitch_I.pin_iob_reg": {
- "hide_name": 0,
- "bits": [ 507 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:14"
- }
- },
- "i2c_I.scl_glitch_I.rise": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:18"
- }
- },
- "i2c_I.scl_glitch_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:22"
- }
- },
- "i2c_I.scl_glitch_I.state": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:29"
- }
- },
- "i2c_I.scl_glitch_I.sync": {
- "hide_name": 0,
- "bits": [ 508, 67 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:28"
- }
- },
- "i2c_I.scl_glitch_I.val": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:118|glitch_filter.v:17"
- }
- },
- "i2c_I.sda_glitch_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:21"
- }
- },
- "i2c_I.sda_glitch_I.cnt": {
- "hide_name": 0,
- "bits": [ 83, 82, 81 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:30"
- }
- },
- "i2c_I.sda_glitch_I.cond": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:15"
- }
- },
- "i2c_I.sda_glitch_I.fall": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:19"
- }
- },
- "i2c_I.sda_glitch_I.pin_iob_reg": {
- "hide_name": 0,
- "bits": [ 509 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:14"
- }
- },
- "i2c_I.sda_glitch_I.rise": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:18"
- }
- },
- "i2c_I.sda_glitch_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:22"
- }
- },
- "i2c_I.sda_glitch_I.state": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:29"
- }
- },
- "i2c_I.sda_glitch_I.sync": {
- "hide_name": 0,
- "bits": [ 510, 77 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:28"
- }
- },
- "i2c_I.sda_glitch_I.val": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:130|glitch_filter.v:17"
- }
- },
- "i2c_I.wr_data": {
- "hide_name": 0,
- "bits": [ 35, 105, 111, 108, 103, 109, 104, 110, 140, 143, 146, 149, 152, 155, 158, 161, 164, 167, 170, 173, 176, 179, 182, 499, 500, 501, 502, 503, 504, 505, 185, 92 ],
- "attributes": {
- "src": "phase_addon.v:66|i2c.v:20"
- }
- },
- "i2c_rd_ack": {
- "hide_name": 0,
- "bits": [ 59 ],
- "attributes": {
- "src": "phase_addon.v:36"
- }
- },
- "i2c_rd_data": {
- "hide_name": 0,
- "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183, "0", "0", "0", "0", "0", "0", "0", 186 ],
- "attributes": {
- "src": "phase_addon.v:35"
- }
- },
- "i2c_wr_data": {
- "hide_name": 0,
- "bits": [ 35, 105, 111, 108, 103, 109, 104, 110, 140, 143, 146, 149, 152, 155, 158, 161, 164, 167, 170, 173, 176, 179, 182, 499, 500, 501, 502, 503, 504, 505, 185, 92 ],
- "attributes": {
- "src": "phase_addon.v:33"
- }
- },
- "pdm_h_I.acc": {
- "hide_name": 0,
- "bits": [ 316, 332, 336, 340, 344, 348, 352, 356, 360, 364, 321, 326, 330 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:29"
- }
- },
- "pdm_h_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:23"
- }
- },
- "pdm_h_I.dither": {
- "hide_name": 0,
- "bits": [ 318 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:31"
- }
- },
- "pdm_h_I.dither_en": {
- "hide_name": 0,
- "bits": [ 278 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:21"
- }
- },
- "pdm_h_I.in": {
- "hide_name": 0,
- "bits": [ 317, 333, 337, 341, 345, 349, 353, 357, 361, 365, 322, 327 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:20"
- }
- },
- "pdm_h_I.in_i": {
- "hide_name": 0,
- "bits": [ 317, 333, 337, 341, 345, 349, 353, 357, 361, 365, 322, 327, 330 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:28"
- }
- },
- "pdm_h_I.lfsr_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:107"
- }
- },
- "pdm_h_I.lfsr_I.fb": {
- "hide_name": 0,
- "bits": [ 50 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:112"
- }
- },
- "pdm_h_I.lfsr_I.out": {
- "hide_name": 0,
- "bits": [ 47, 511, 512, 277, 48, 49, 46, 513 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:106"
- }
- },
- "pdm_h_I.lfsr_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:53|pdm.v:108"
- }
- },
- "pdm_h_I.lfsr_out": {
- "hide_name": 0,
- "bits": [ 47, 511, 512, 277, 48, 49, 46, 513 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:51"
- }
- },
- "pdm_h_I.pdm": {
- "hide_name": 0,
- "bits": [ 290 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:22"
- }
- },
- "pdm_h_I.pdm_i": {
- "hide_name": 0,
- "bits": [ 330 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:33"
- }
- },
- "pdm_h_I.pdm_r": {
- "hide_name": 0,
- "bits": [ 290 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:74"
- }
- },
- "pdm_h_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:148|pdm.v:24"
- }
- },
- "pdm_h_dither": {
- "hide_name": 0,
- "bits": [ 278 ],
- "attributes": {
- "src": "phase_addon.v:48"
- }
- },
- "pdm_h_ena_n": {
- "hide_name": 0,
- "bits": [ 519 ],
- "attributes": {
- "src": "phase_addon.v:47"
- }
- },
- "pdm_h_ena_p": {
- "hide_name": 0,
- "bits": [ 518 ],
- "attributes": {
- "src": "phase_addon.v:46"
- }
- },
- "pdm_h_n": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "phase_addon.v:16"
- }
- },
- "pdm_h_out_i": {
- "hide_name": 0,
- "bits": [ 290 ],
- "attributes": {
- "src": "phase_addon.v:53"
- }
- },
- "pdm_h_p": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "phase_addon.v:18"
- }
- },
- "pdm_h_val": {
- "hide_name": 0,
- "bits": [ 317, 333, 337, 341, 345, 349, 353, 357, 361, 365, 322, 327 ],
- "attributes": {
- "src": "phase_addon.v:44"
- }
- },
- "pdm_l_I.acc": {
- "hide_name": 0,
- "bits": [ 367, 383, 387, 391, 395, 399, 403, 407, 411, 415, 372, 377, 381 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:29"
- }
- },
- "pdm_l_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:23"
- }
- },
- "pdm_l_I.dither": {
- "hide_name": 0,
- "bits": [ 369 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:31"
- }
- },
- "pdm_l_I.dither_en": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:21"
- }
- },
- "pdm_l_I.in": {
- "hide_name": 0,
- "bits": [ 368, 384, 388, 392, 396, 400, 404, 408, 412, 416, 373, 378 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:20"
- }
- },
- "pdm_l_I.in_i": {
- "hide_name": 0,
- "bits": [ 368, 384, 388, 392, 396, 400, 404, 408, 412, 416, 373, 378, 381 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:28"
- }
- },
- "pdm_l_I.lfsr_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:107"
- }
- },
- "pdm_l_I.lfsr_I.fb": {
- "hide_name": 0,
- "bits": [ 55 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:112"
- }
- },
- "pdm_l_I.lfsr_I.out": {
- "hide_name": 0,
- "bits": [ 52, 514, 515, 280, 53, 54, 51, 516 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:106"
- }
- },
- "pdm_l_I.lfsr_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:53|pdm.v:108"
- }
- },
- "pdm_l_I.lfsr_out": {
- "hide_name": 0,
- "bits": [ 52, 514, 515, 280, 53, 54, 51, 516 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:51"
- }
- },
- "pdm_l_I.pdm": {
- "hide_name": 0,
- "bits": [ 292 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:22"
- }
- },
- "pdm_l_I.pdm_i": {
- "hide_name": 0,
- "bits": [ 381 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:33"
- }
- },
- "pdm_l_I.pdm_r": {
- "hide_name": 0,
- "bits": [ 292 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:74"
- }
- },
- "pdm_l_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:160|pdm.v:24"
- }
- },
- "pdm_l_dither": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "phase_addon.v:51"
- }
- },
- "pdm_l_ena_n": {
- "hide_name": 0,
- "bits": [ 521 ],
- "attributes": {
- "src": "phase_addon.v:50"
- }
- },
- "pdm_l_ena_p": {
- "hide_name": 0,
- "bits": [ 520 ],
- "attributes": {
- "src": "phase_addon.v:49"
- }
- },
- "pdm_l_n": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "phase_addon.v:17"
- }
- },
- "pdm_l_out_i": {
- "hide_name": 0,
- "bits": [ 292 ],
- "attributes": {
- "src": "phase_addon.v:54"
- }
- },
- "pdm_l_p": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "phase_addon.v:19"
- }
- },
- "pdm_l_val": {
- "hide_name": 0,
- "bits": [ 368, 384, 388, 392, 396, 400, 404, 408, 412, 416, 373, 378 ],
- "attributes": {
- "src": "phase_addon.v:45"
- }
- },
- "pm_I.ack": {
- "hide_name": 0,
- "bits": [ 59 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:29"
- }
- },
- "pm_I.armed": {
- "hide_name": 0,
- "bits": [ 97 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:64"
- }
- },
- "pm_I.armed_cnt": {
- "hide_name": 0,
- "bits": [ 468, 481, 483, 485, 487, 489, 491, 493, 495, 497, 470, 473, 475, 477, 479, 97 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:66"
- }
- },
- "pm_I.armed_p": {
- "hide_name": 0,
- "bits": [ 272 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:69"
- }
- },
- "pm_I.armed_trig": {
- "hide_name": 0,
- "bits": [ 222 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:65"
- }
- },
- "pm_I.c_chg": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:20"
- }
- },
- "pm_I.c_chg_i": {
- "hide_name": 0,
- "bits": [ 286 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:44"
- }
- },
- "pm_I.c_dis": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:21"
- }
- },
- "pm_I.c_dis_i": {
- "hide_name": 0,
- "bits": [ 287 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:45"
- }
- },
- "pm_I.c_hyst": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:24"
- }
- },
- "pm_I.c_hyst_i": {
- "hide_name": 0,
- "bits": [ 204 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:46"
- }
- },
- "pm_I.c_val": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:22",
- "unused_bits": "0"
- }
- },
- "pm_I.c_val_i": {
- "hide_name": 0,
- "bits": [ 221 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:49"
- }
- },
- "pm_I.c_val_iob": {
- "hide_name": 0,
- "bits": [ 288 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:48"
- }
- },
- "pm_I.cfg_arm_cnt": {
- "hide_name": 0,
- "bits": [ 225, 228, 231, 234, 237, 240, 243, 246, 249, 252, 255, 258, 261, 264, 267, 270 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:33"
- }
- },
- "pm_I.cfg_hyst_mode": {
- "hide_name": 0,
- "bits": [ 203, 202 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:32"
- }
- },
- "pm_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:36"
- }
- },
- "pm_I.clk_10m": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:17"
- }
- },
- "pm_I.clk_1m25": {
- "hide_name": 0,
- "bits": [ 522 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:55"
- }
- },
- "pm_I.clk_div": {
- "hide_name": 0,
- "bits": [ 99, 100, 101 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:54"
- }
- },
- "pm_I.cnt": {
- "hide_name": 0,
- "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:27"
- }
- },
- "pm_I.hist_toggle": {
- "hide_name": 0,
- "bits": [ 201 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:60"
- }
- },
- "pm_I.phase_cnt": {
- "hide_name": 0,
- "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:62"
- }
- },
- "pm_I.phase_det": {
- "hide_name": 0,
- "bits": [ 286 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:57"
- }
- },
- "pm_I.pps": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:18"
- }
- },
- "pm_I.pps_filter_I.clk": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:21"
- }
- },
- "pm_I.pps_filter_I.cnt": {
- "hide_name": 0,
- "bits": [ 61, 62 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:30"
- }
- },
- "pm_I.pps_filter_I.pin_iob_reg": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:14"
- }
- },
- "pm_I.pps_filter_I.rise": {
- "hide_name": 0,
- "bits": [ 96 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:18"
- }
- },
- "pm_I.pps_filter_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:22"
- }
- },
- "pm_I.pps_filter_I.state": {
- "hide_name": 0,
- "bits": [ 65 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:29"
- }
- },
- "pm_I.pps_filter_I.sync": {
- "hide_name": 0,
- "bits": [ 506, 63 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:28"
- }
- },
- "pm_I.pps_filter_I.val": {
- "hide_name": 0,
- "bits": [ 65 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:155|glitch_filter.v:17"
- }
- },
- "pm_I.pps_i": {
- "hide_name": 0,
- "bits": [ 96 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:51"
- }
- },
- "pm_I.rdy": {
- "hide_name": 0,
- "bits": [ 186 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:28"
- }
- },
- "pm_I.rdy_i": {
- "hide_name": 0,
- "bits": [ 186 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:68"
- }
- },
- "pm_I.rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:97|phase_measure.v:37"
- }
- },
- "pm_ack": {
- "hide_name": 0,
- "bits": [ 59 ],
- "attributes": {
- "src": "phase_addon.v:41"
- }
- },
- "pm_cfg_arm_cnt": {
- "hide_name": 0,
- "bits": [ 225, 228, 231, 234, 237, 240, 243, 246, 249, 252, 255, 258, 261, 264, 267, 270 ],
- "attributes": {
- "src": "phase_addon.v:82"
- }
- },
- "pm_cfg_hyst_mode": {
- "hide_name": 0,
- "bits": [ 203, 202 ],
- "attributes": {
- "src": "phase_addon.v:81"
- }
- },
- "pm_rdy": {
- "hide_name": 0,
- "bits": [ 186 ],
- "attributes": {
- "src": "phase_addon.v:40"
- }
- },
- "pm_value": {
- "hide_name": 0,
- "bits": [ 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 144, 147, 150, 153, 156, 159, 162, 165, 168, 171, 174, 177, 180, 183 ],
- "attributes": {
- "src": "phase_addon.v:39"
- }
- },
- "pps": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "phase_addon.v:24"
- }
- },
- "rst": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "phase_addon.v:60"
- }
- },
- "rst_cnt": {
- "hide_name": 0,
- "bits": [ 87, 88, 89, 90 ],
- "attributes": {
- "init": 0,
- "src": "phase_addon.v:58"
- }
- },
- "rst_i": {
- "hide_name": 0,
- "bits": [ 517 ],
- "attributes": {
- "src": "phase_addon.v:59"
- }
- },
- "scl": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "phase_addon.v:26"
- }
- },
- "sda": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "phase_addon.v:25"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0116/phase_addon.json.gz b/ice40/regressions/issue0116/phase_addon.json.gz
new file mode 100644
index 0000000..e3fc8a8
--- /dev/null
+++ b/ice40/regressions/issue0116/phase_addon.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0117/top.json b/ice40/regressions/issue0117/top.json
deleted file mode 100644
index aa1b38f..0000000
--- a/ice40/regressions/issue0117/top.json
+++ /dev/null
@@ -1,5282 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "bug": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "gpio": {
- "direction": "inout",
- "bits": [ 2 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "gpio": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0117/top.json.gz b/ice40/regressions/issue0117/top.json.gz
new file mode 100644
index 0000000..490c275
--- /dev/null
+++ b/ice40/regressions/issue0117/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0120/top.json b/ice40/regressions/issue0120/top.json
deleted file mode 100644
index 9c678a5..0000000
--- a/ice40/regressions/issue0120/top.json
+++ /dev/null
@@ -1,82893 +0,0 @@
-{
- "creator": "Yosys 0.8+2 (git sha1 debc0d35, x86_64-conda_cos6-linux-gnu-gcc 1.23.0.449-a04d0 -fvisibility-inlines-hidden -fmessage-length=0 -march=nocona -mtune=haswell -ftree-vectorize -fPIC -fstack-protector-strong -fno-plt -O2 -fdebug-prefix-map=/tmp/really-really-really-really-really-really-really-really-really-really-really-really-really-long-path/conda/conda-bld/yosys_1539704241291/work=/usr/local/src/conda/yosys-0.8_0022_gdebc0d35 -fdebug-prefix-map=/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda=/usr/local/src/conda-prefix -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "clk16": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "spiflash_cs_n": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "spiflash_clk": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "spiflash_mosi": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "spiflash_miso": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "spiflash_wp": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "spiflash_hold": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "serial_rx": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "serial_tx": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "usb_d_p": {
- "direction": "inout",
- "bits": [ 9 ]
- },
- "usb_d_n": {
- "direction": "inout",
- "bits": [ 10 ]
- },
- "usb_pullup": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "user_led0": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 14 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 15 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 17 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 18 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 19 ],
- "I1": [ 20 ],
- "I2": [ 21 ],
- "I3": [ "0" ],
- "O": [ 16 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ 23 ],
- "I2": [ 24 ],
- "I3": [ 25 ],
- "O": [ 19 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ 27 ],
- "I2": [ 28 ],
- "I3": [ 29 ],
- "O": [ 20 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ 31 ],
- "I2": [ 32 ],
- "I3": [ 33 ],
- "O": [ 21 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 34 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 35 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 36 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 37 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 38 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 39 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 41 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 42 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 43 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 46 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 48 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 45 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 49 ],
- "I1": [ 50 ],
- "I2": [ 51 ],
- "I3": [ "0" ],
- "O": [ 48 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 53 ],
- "I2": [ 54 ],
- "I3": [ 55 ],
- "O": [ 44 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 56 ],
- "I2": [ 57 ],
- "I3": [ "0" ],
- "O": [ 58 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 129
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 56 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 56 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 63 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 56 ],
- "I2": [ 64 ],
- "I3": [ "0" ],
- "O": [ 65 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 56 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 67 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 56 ],
- "I2": [ 68 ],
- "I3": [ "0" ],
- "O": [ 69 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 56 ],
- "I2": [ 70 ],
- "I3": [ "0" ],
- "O": [ 71 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 74 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 73 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 77 ],
- "I2": [ 78 ],
- "I3": [ "0" ],
- "O": [ 79 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 81 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 78 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 83 ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 80 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 86 ],
- "I2": [ 87 ],
- "I3": [ 88 ],
- "O": [ 82 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 89 ],
- "I1": [ 90 ],
- "I2": [ 91 ],
- "I3": [ "0" ],
- "O": [ 88 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 92 ],
- "I1": [ 93 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 89 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 96 ],
- "I2": [ 97 ],
- "I3": [ 98 ],
- "O": [ 90 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 101 ],
- "I3": [ 102 ],
- "O": [ 91 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 104 ],
- "I2": [ 105 ],
- "I3": [ 106 ],
- "O": [ 86 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 61 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 81 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 107 ],
- "I2": [ 108 ],
- "I3": [ 109 ],
- "O": [ 110 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 111 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 112 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 13 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 113 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 115 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 116 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 117 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 119 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 120 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 122 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 124 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 126 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 128 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 129 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 130 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 133 ],
- "I2": [ 134 ],
- "I3": [ "0" ],
- "O": [ 135 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 132 ],
- "I2": [ 134 ],
- "I3": [ "0" ],
- "O": [ 136 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 134 ],
- "I2": [ 133 ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 126
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 141 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 143 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 146 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 142 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 146 ],
- "I2": [ 145 ],
- "I3": [ "0" ],
- "O": [ 147 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65534
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 149 ],
- "I2": [ 150 ],
- "I3": [ 151 ],
- "O": [ 152 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 153 ],
- "I1": [ 154 ],
- "I2": [ 155 ],
- "I3": [ 156 ],
- "O": [ 148 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 157 ],
- "I1": [ 158 ],
- "I2": [ 159 ],
- "I3": [ "0" ],
- "O": [ 160 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ 162 ],
- "I2": [ 159 ],
- "I3": [ "0" ],
- "O": [ 163 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 167 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 168 ],
- "I1": [ 169 ],
- "I2": [ 170 ],
- "I3": [ "0" ],
- "O": [ 166 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 172 ],
- "I2": [ 173 ],
- "I3": [ 174 ],
- "O": [ 175 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 177 ],
- "I2": [ 178 ],
- "I3": [ 179 ],
- "O": [ 173 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 181 ],
- "I2": [ 182 ],
- "I3": [ 183 ],
- "O": [ 174 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 184 ],
- "I1": [ 185 ],
- "I2": [ 153 ],
- "I3": [ 186 ],
- "O": [ 149 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 154 ],
- "I2": [ 153 ],
- "I3": [ 188 ],
- "O": [ 150 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ 190 ],
- "I2": [ 153 ],
- "I3": [ 191 ],
- "O": [ 151 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 304
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 193 ],
- "I2": [ 194 ],
- "I3": [ 195 ],
- "O": [ 196 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 195 ],
- "I2": [ 193 ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 199 ],
- "I2": [ 200 ],
- "I3": [ 16 ],
- "O": [ 201 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 203 ],
- "I2": [ 204 ],
- "I3": [ "0" ],
- "O": [ 199 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 205 ],
- "I1": [ 206 ],
- "I2": [ 207 ],
- "I3": [ "0" ],
- "O": [ 204 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 210 ],
- "I3": [ 211 ],
- "O": [ 200 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 199 ],
- "I2": [ 212 ],
- "I3": [ 16 ],
- "O": [ 213 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 211 ],
- "I1": [ 210 ],
- "I2": [ 208 ],
- "I3": [ 209 ],
- "O": [ 212 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 199 ],
- "I2": [ 214 ],
- "I3": [ 16 ],
- "O": [ 215 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 211 ],
- "I2": [ 210 ],
- "I3": [ 209 ],
- "O": [ 214 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 199 ],
- "I2": [ 216 ],
- "I3": [ 16 ],
- "O": [ 217 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ 211 ],
- "I2": [ 210 ],
- "I3": [ 208 ],
- "O": [ 216 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 220 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 221 ],
- "I1": [ 222 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 218 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 224 ],
- "I2": [ 225 ],
- "I3": [ 226 ],
- "O": [ 222 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 228 ],
- "I2": [ 229 ],
- "I3": [ 230 ],
- "O": [ 223 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 231 ],
- "I1": [ 232 ],
- "I2": [ 233 ],
- "I3": [ 234 ],
- "O": [ 224 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 235 ],
- "I1": [ 236 ],
- "I2": [ 237 ],
- "I3": [ 238 ],
- "O": [ 225 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 239 ],
- "I1": [ 240 ],
- "I2": [ 241 ],
- "I3": [ 242 ],
- "O": [ 226 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 244 ],
- "I2": [ 245 ],
- "I3": [ 246 ],
- "O": [ 221 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 247 ],
- "I1": [ 248 ],
- "I2": [ 249 ],
- "I3": [ 250 ],
- "O": [ 243 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 219 ],
- "I1": [ 251 ],
- "I2": [ 252 ],
- "I3": [ 253 ],
- "O": [ 244 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 255 ],
- "I2": [ 256 ],
- "I3": [ 257 ],
- "O": [ 245 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 258 ],
- "I1": [ 259 ],
- "I2": [ 260 ],
- "I3": [ 261 ],
- "O": [ 246 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 16 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 262 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 263 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 264 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 265 ],
- "I1": [ 81 ],
- "I2": [ 264 ],
- "I3": [ 266 ],
- "O": [ 267 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 268 ],
- "I1": [ 269 ],
- "I2": [ 270 ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 272 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 274 ],
- "I1": [ 198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 202 ],
- "I2": [ 275 ],
- "I3": [ "0" ],
- "O": [ 274 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 207 ],
- "I2": [ 205 ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 272 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 277 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 278 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 214 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 277 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 279 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 280 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 216 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 281 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 282 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 211 ],
- "I2": [ 210 ],
- "I3": [ 283 ],
- "O": [ 281 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 284 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 286 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 284 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 209 ],
- "I2": [ 211 ],
- "I3": [ 208 ],
- "O": [ 286 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 287 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 288 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 289 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 210 ],
- "I2": [ 211 ],
- "I3": [ 209 ],
- "O": [ 289 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 290 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 292 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 290 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ 210 ],
- "I2": [ 211 ],
- "I3": [ 208 ],
- "O": [ 292 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 293 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 294 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 295 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 296 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 211 ],
- "I3": [ 210 ],
- "O": [ 297 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 298 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 300 ],
- "I2": [ 301 ],
- "I3": [ "0" ],
- "O": [ 298 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 301 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 211 ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 300 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 302 ],
- "I1": [ 303 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 304 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 307 ],
- "I3": [ 308 ],
- "O": [ 302 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 309 ],
- "I1": [ 310 ],
- "I2": [ 311 ],
- "I3": [ 312 ],
- "O": [ 305 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 313 ],
- "I1": [ 314 ],
- "I2": [ 315 ],
- "I3": [ 316 ],
- "O": [ 309 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 317 ],
- "I1": [ 318 ],
- "I2": [ 319 ],
- "I3": [ 320 ],
- "O": [ 310 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 321 ],
- "I1": [ 322 ],
- "I2": [ 323 ],
- "I3": [ 324 ],
- "O": [ 311 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 325 ],
- "I1": [ 326 ],
- "I2": [ 327 ],
- "I3": [ 328 ],
- "O": [ 312 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 329 ],
- "I1": [ 330 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 306 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 332 ],
- "I2": [ 333 ],
- "I3": [ 334 ],
- "O": [ 329 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 335 ],
- "I1": [ 336 ],
- "I2": [ 337 ],
- "I3": [ 338 ],
- "O": [ 330 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 339 ],
- "I1": [ 340 ],
- "I2": [ 341 ],
- "I3": [ 342 ],
- "O": [ 307 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 343 ],
- "I1": [ 344 ],
- "I2": [ 345 ],
- "I3": [ 346 ],
- "O": [ 308 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ 347 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 348 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 349 ],
- "I1": [ 271 ],
- "I2": [ 350 ],
- "I3": [ 16 ],
- "O": [ 347 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 351 ],
- "I2": [ 208 ],
- "I3": [ 209 ],
- "O": [ 350 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 211 ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 351 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 352 ],
- "I1": [ 271 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 354 ],
- "I1": [ 276 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 211 ],
- "I1": [ 210 ],
- "I2": [ 283 ],
- "I3": [ "0" ],
- "O": [ 354 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 335 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 356 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 357 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 358 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 359 ],
- "I1": [ 48 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 357 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 47 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 359 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 360 ],
- "I2": [ 283 ],
- "I3": [ 16 ],
- "O": [ 361 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 204 ],
- "I2": [ 203 ],
- "I3": [ "0" ],
- "O": [ 360 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 362 ],
- "I1": [ 16 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 360 ],
- "I2": [ 209 ],
- "I3": [ 198 ],
- "O": [ 362 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 46 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 365 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 367 ],
- "I2": [ 81 ],
- "I3": [ "0" ],
- "O": [ 364 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 54 ],
- "I2": [ 368 ],
- "I3": [ "0" ],
- "O": [ 366 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 53 ],
- "I1": [ 55 ],
- "I2": [ 45 ],
- "I3": [ "0" ],
- "O": [ 368 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 369 ],
- "I1": [ 370 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 268 ],
- "I1": [ 269 ],
- "I2": [ 270 ],
- "I3": [ "0" ],
- "O": [ 369 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 370 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 371 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 373 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 368 ],
- "I2": [ 54 ],
- "I3": [ "0" ],
- "O": [ 372 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 370 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 374 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 367 ],
- "I2": [ 16 ],
- "I3": [ 366 ],
- "O": [ 375 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 47 ],
- "I2": [ 376 ],
- "I3": [ 375 ],
- "O": [ 377 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 378 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 376 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 51 ],
- "I2": [ 359 ],
- "I3": [ 49 ],
- "O": [ 378 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 379 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 380 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 381 ],
- "I1": [ 382 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 379 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 383 ],
- "I1": [ 382 ],
- "I2": [ 384 ],
- "I3": [ "0" ],
- "O": [ 385 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 384 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 386 ],
- "I2": [ 387 ],
- "I3": [ "0" ],
- "O": [ 388 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 385 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 387 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16639
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 389 ],
- "I1": [ 382 ],
- "I2": [ 386 ],
- "I3": [ 387 ],
- "O": [ 390 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ 392 ],
- "I2": [ 393 ],
- "I3": [ "0" ],
- "O": [ 389 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 33023
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 386 ],
- "I1": [ 394 ],
- "I2": [ 382 ],
- "I3": [ 387 ],
- "O": [ 395 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 396 ],
- "I1": [ 387 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 397 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 389 ],
- "I1": [ 394 ],
- "I2": [ 382 ],
- "I3": [ 386 ],
- "O": [ 396 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 401 ],
- "I2": [ 402 ],
- "I3": [ 403 ],
- "O": [ 398 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 404 ],
- "I1": [ 405 ],
- "I2": [ 406 ],
- "I3": [ 407 ],
- "O": [ 400 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 408 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 409 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 402 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 408 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 403 ],
- "I1": [ 411 ],
- "I2": [ 402 ],
- "I3": [ 16 ],
- "O": [ 412 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 413 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 411 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ 404 ],
- "I2": [ 405 ],
- "I3": [ 407 ],
- "O": [ 413 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65291
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ 402 ],
- "I2": [ 414 ],
- "I3": [ 16 ],
- "O": [ 415 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 401 ],
- "I1": [ 416 ],
- "I2": [ 403 ],
- "I3": [ 402 ],
- "O": [ 414 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 414 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 417 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65534
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 419 ],
- "I2": [ 420 ],
- "I3": [ 421 ],
- "O": [ 422 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 423 ],
- "I1": [ 424 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 425 ],
- "I1": [ 60 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 423 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 59 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 425 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 428 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 424 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 424 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 419 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 431 ],
- "I1": [ 426 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 430 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 61 ],
- "I2": [ 60 ],
- "I3": [ "0" ],
- "O": [ 431 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 432 ],
- "I1": [ 433 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 420 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ 435 ],
- "I2": [ 436 ],
- "I3": [ 437 ],
- "O": [ 432 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 439 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 434 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45067
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ 442 ],
- "I2": [ 443 ],
- "I3": [ 444 ],
- "O": [ 435 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 446 ],
- "I2": [ 447 ],
- "I3": [ "0" ],
- "O": [ 436 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 442 ],
- "I1": [ 441 ],
- "I2": [ 448 ],
- "I3": [ 449 ],
- "O": [ 437 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 424 ],
- "I2": [ 383 ],
- "I3": [ "0" ],
- "O": [ 433 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 426 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 421 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 422 ],
- "I1": [ 450 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 451 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 383 ],
- "I1": [ 384 ],
- "I2": [ 423 ],
- "I3": [ 430 ],
- "O": [ 450 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 428 ],
- "I2": [ 422 ],
- "I3": [ "0" ],
- "O": [ 452 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 421 ],
- "I1": [ 453 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 454 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 80 ],
- "I2": [ 433 ],
- "I3": [ "0" ],
- "O": [ 453 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 81 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 455 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ 421 ],
- "I2": [ 453 ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 457 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 458 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 59 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 457 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 56 ],
- "I2": [ 459 ],
- "I3": [ "0" ],
- "O": [ 460 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 461 ],
- "I1": [ 462 ],
- "I2": [ 463 ],
- "I3": [ 464 ],
- "O": [ 459 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 465 ],
- "I1": [ 466 ],
- "I2": [ 467 ],
- "I3": [ "0" ],
- "O": [ 461 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ 469 ],
- "I2": [ 470 ],
- "I3": [ 471 ],
- "O": [ 465 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 472 ],
- "I1": [ 473 ],
- "I2": [ 474 ],
- "I3": [ 475 ],
- "O": [ 462 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 477 ],
- "I2": [ 478 ],
- "I3": [ 479 ],
- "O": [ 475 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 480 ],
- "I1": [ 481 ],
- "I2": [ 482 ],
- "I3": [ 483 ],
- "O": [ 463 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 485 ],
- "I2": [ 486 ],
- "I3": [ 487 ],
- "O": [ 464 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65521
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 459 ],
- "I2": [ 56 ],
- "I3": [ 16 ],
- "O": [ 488 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16639
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 489 ],
- "I1": [ 490 ],
- "I2": [ 491 ],
- "I3": [ 492 ],
- "O": [ 493 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 494 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16639
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 495 ],
- "I1": [ 489 ],
- "I2": [ 491 ],
- "I3": [ 492 ],
- "O": [ 496 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65534
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 497 ],
- "I2": [ 494 ],
- "I3": [ 498 ],
- "O": [ 499 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 501 ],
- "I2": [ 489 ],
- "I3": [ 491 ],
- "O": [ 497 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 33023
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 491 ],
- "I1": [ 489 ],
- "I2": [ 490 ],
- "I3": [ 492 ],
- "O": [ 502 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 490 ],
- "I1": [ 503 ],
- "I2": [ 492 ],
- "I3": [ "0" ],
- "O": [ 504 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 505 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 506 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 507 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 508 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 510 ],
- "I2": [ 508 ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 513 ],
- "I2": [ 514 ],
- "I3": [ "0" ],
- "O": [ 509 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 515 ],
- "I1": [ 516 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 513 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ 140 ],
- "I2": [ 138 ],
- "I3": [ "0" ],
- "O": [ 510 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 517 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 518 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 510 ],
- "I2": [ 519 ],
- "I3": [ 520 ],
- "O": [ 517 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 522 ],
- "I2": [ 523 ],
- "I3": [ 524 ],
- "O": [ 519 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 526 ],
- "I2": [ 527 ],
- "I3": [ 528 ],
- "O": [ 520 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 529 ],
- "I2": [ 508 ],
- "I3": [ "0" ],
- "O": [ 530 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 140 ],
- "I2": [ 139 ],
- "I3": [ "0" ],
- "O": [ 529 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 531 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 532 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 529 ],
- "I2": [ 533 ],
- "I3": [ 534 ],
- "O": [ 531 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 535 ],
- "I1": [ 536 ],
- "I2": [ 537 ],
- "I3": [ 538 ],
- "O": [ 533 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 539 ],
- "I1": [ 540 ],
- "I2": [ 541 ],
- "I3": [ 542 ],
- "O": [ 534 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 543 ],
- "I1": [ 16 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 544 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 545 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 543 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 139 ],
- "I2": [ 138 ],
- "I3": [ "0" ],
- "O": [ 545 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 543 ],
- "I2": [ 547 ],
- "I3": [ 16 ],
- "O": [ 548 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 549 ],
- "I1": [ 550 ],
- "I2": [ 551 ],
- "I3": [ 552 ],
- "O": [ 547 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 553 ],
- "I1": [ 554 ],
- "I2": [ 555 ],
- "I3": [ 556 ],
- "O": [ 546 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 543 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 557 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 558 ],
- "I1": [ 559 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 560 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65344
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 561 ],
- "I1": [ 562 ],
- "I2": [ 529 ],
- "I3": [ 563 ],
- "O": [ 558 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 564 ],
- "I1": [ 545 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 563 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 509 ],
- "I3": [ 140 ],
- "O": [ 559 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 558 ],
- "I1": [ 16 ],
- "I2": [ 565 ],
- "I3": [ "0" ],
- "O": [ 566 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 567 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 565 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 569 ],
- "I2": [ 570 ],
- "I3": [ 571 ],
- "O": [ 567 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 572 ],
- "I1": [ 573 ],
- "I2": [ 574 ],
- "I3": [ 575 ],
- "O": [ 568 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 576 ],
- "I1": [ 577 ],
- "I2": [ 578 ],
- "I3": [ 579 ],
- "O": [ 569 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 580 ],
- "I1": [ 581 ],
- "I2": [ 582 ],
- "I3": [ 583 ],
- "O": [ 570 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 585 ],
- "I2": [ 586 ],
- "I3": [ 587 ],
- "O": [ 571 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 588 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 590 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 591 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 198 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 593 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 205 ],
- "I2": [ 594 ],
- "I3": [ 208 ],
- "O": [ 592 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 207 ],
- "I2": [ 203 ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 281 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 596 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 597 ],
- "I1": [ 198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 595 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 205 ],
- "I1": [ 206 ],
- "I2": [ 594 ],
- "I3": [ "0" ],
- "O": [ 597 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 279 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 598 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 293 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 599 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 290 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 600 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 167 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 601 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 164 ],
- "I2": [ 73 ],
- "I3": [ "0" ],
- "O": [ 602 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 295 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 603 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 284 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 604 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 298 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 605 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 606 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 607 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 608 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 606 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 211 ],
- "I3": [ 210 ],
- "O": [ 608 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 609 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 300 ],
- "I2": [ 208 ],
- "I3": [ 209 ],
- "O": [ 609 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 611 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 613 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 611 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 211 ],
- "I3": [ 210 ],
- "O": [ 613 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 614 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 615 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 351 ],
- "I2": [ 301 ],
- "I3": [ "0" ],
- "O": [ 614 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 16 ],
- "I2": [ 616 ],
- "I3": [ 56 ],
- "O": [ 617 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24576
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 618 ],
- "I1": [ 619 ],
- "I2": [ 620 ],
- "I3": [ 621 ],
- "O": [ 616 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 622 ],
- "I1": [ 623 ],
- "I2": [ 624 ],
- "I3": [ "0" ],
- "O": [ 620 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 626 ],
- "I2": [ 627 ],
- "I3": [ 426 ],
- "O": [ 621 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 141 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 628 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 588 ],
- "I2": [ 16 ],
- "I3": [ 147 ],
- "O": [ 630 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 631 ],
- "I1": [ 632 ],
- "I2": [ 633 ],
- "I3": [ 16 ],
- "O": [ 634 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ 192 ],
- "I2": [ 636 ],
- "I3": [ 637 ],
- "O": [ 632 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 638 ],
- "I1": [ 590 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 635 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 641 ],
- "I3": [ "0" ],
- "O": [ 633 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61950
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 194 ],
- "I2": [ 16 ],
- "I3": [ 195 ],
- "O": [ 642 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 78 ],
- "I2": [ 85 ],
- "I3": [ "0" ],
- "O": [ 643 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ 645 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 646 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65291
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 648 ],
- "I2": [ 649 ],
- "I3": [ 16 ],
- "O": [ 645 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 650 ],
- "I1": [ 107 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 652 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 650 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 653 ],
- "I1": [ 654 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 651 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 655 ],
- "I1": [ 656 ],
- "I2": [ 657 ],
- "I3": [ 658 ],
- "O": [ 653 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 659 ],
- "I1": [ 660 ],
- "I2": [ 661 ],
- "I3": [ 662 ],
- "O": [ 654 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 665 ],
- "I3": [ 666 ],
- "O": [ 647 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 667 ],
- "I1": [ 668 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 663 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 669 ],
- "I1": [ 670 ],
- "I2": [ 671 ],
- "I3": [ 672 ],
- "O": [ 667 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 673 ],
- "I1": [ 674 ],
- "I2": [ 675 ],
- "I3": [ 676 ],
- "O": [ 668 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 678 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 664 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 679 ],
- "I1": [ 680 ],
- "I2": [ 681 ],
- "I3": [ 682 ],
- "O": [ 677 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 684 ],
- "I2": [ 685 ],
- "I3": [ 686 ],
- "O": [ 678 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 687 ],
- "I1": [ 688 ],
- "I2": [ 689 ],
- "I3": [ 690 ],
- "O": [ 665 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 691 ],
- "I1": [ 692 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 687 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45067
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 693 ],
- "I1": [ 694 ],
- "I2": [ 695 ],
- "I3": [ 696 ],
- "O": [ 688 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 697 ],
- "I1": [ 698 ],
- "I2": [ 699 ],
- "I3": [ 700 ],
- "O": [ 689 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45067
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 694 ],
- "I1": [ 693 ],
- "I2": [ 701 ],
- "I3": [ 702 ],
- "O": [ 690 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 703 ],
- "I1": [ 704 ],
- "I2": [ 705 ],
- "I3": [ 706 ],
- "O": [ 666 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 16 ],
- "I2": [ 703 ],
- "I3": [ "0" ],
- "O": [ 644 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 652 ],
- "I1": [ 651 ],
- "I2": [ 707 ],
- "I3": [ 708 ],
- "O": [ 709 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ 711 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 712 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 714 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 715 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 55040
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 716 ],
- "I1": [ 717 ],
- "I2": [ 718 ],
- "I3": [ 719 ],
- "O": [ 720 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 107 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 719 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16770
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 721 ],
- "I1": [ 722 ],
- "I2": [ 723 ],
- "I3": [ 724 ],
- "O": [ 716 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 709 ],
- "I1": [ 16 ],
- "I2": [ 707 ],
- "I3": [ "0" ],
- "O": [ 725 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 650 ],
- "I1": [ 726 ],
- "I2": [ 107 ],
- "I3": [ "0" ],
- "O": [ 727 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 647 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 726 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 727 ],
- "I2": [ 709 ],
- "I3": [ "0" ],
- "O": [ 728 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47790
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 709 ],
- "I2": [ 727 ],
- "I3": [ 659 ],
- "O": [ 729 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 727 ],
- "I1": [ 16 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 730 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 727 ],
- "I1": [ 731 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 732 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 709 ],
- "I1": [ 16 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 733 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 709 ],
- "I1": [ 734 ],
- "I2": [ 16 ],
- "I3": [ "0" ],
- "O": [ 735 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61439
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 107 ],
- "I2": [ 109 ],
- "I3": [ 736 ],
- "O": [ 737 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 738 ],
- "I1": [ 739 ],
- "I2": [ 740 ],
- "I3": [ 741 ],
- "O": [ 736 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 742 ],
- "I1": [ 743 ],
- "I2": [ 744 ],
- "I3": [ "0" ],
- "O": [ 741 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 745 ],
- "I1": [ 746 ],
- "I2": [ 747 ],
- "I3": [ 748 ],
- "O": [ 739 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 737 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 750 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 107 ],
- "I2": [ 109 ],
- "I3": [ 738 ],
- "O": [ 749 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62207
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 751 ],
- "I1": [ 752 ],
- "I2": [ 16 ],
- "I3": [ 719 ],
- "O": [ 753 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 13 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 754 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 16 ],
- "I2": [ 13 ],
- "I3": [ "0" ],
- "O": [ 755 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 756 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 757 ],
- "I1": [ 758 ],
- "I2": [ 759 ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 761 ],
- "I1": [ 762 ],
- "I2": [ 763 ],
- "I3": [ "0" ],
- "O": [ 759 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 764 ],
- "I1": [ 765 ],
- "I2": [ 766 ],
- "I3": [ 767 ],
- "O": [ 768 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 769 ],
- "I1": [ 770 ],
- "I2": [ 771 ],
- "I3": [ 772 ],
- "O": [ 764 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 774 ],
- "I2": [ 775 ],
- "I3": [ 776 ],
- "O": [ 765 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 777 ],
- "I1": [ 778 ],
- "I2": [ 779 ],
- "I3": [ 780 ],
- "O": [ 766 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 781 ],
- "I1": [ 782 ],
- "I2": [ 783 ],
- "I3": [ 784 ],
- "O": [ 767 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 202 ],
- "I2": [ 204 ],
- "I3": [ "0" ],
- "O": [ 785 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 786 ],
- "I1": [ 787 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 788 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 425 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 789 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 790 ],
- "I1": [ 791 ],
- "I2": [ 792 ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 793 ],
- "I1": [ 794 ],
- "I2": [ 792 ],
- "I3": [ "0" ],
- "O": [ 3 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 795 ],
- "I1": [ 796 ],
- "I2": [ 792 ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16639
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 175 ],
- "I2": [ 726 ],
- "I3": [ 797 ],
- "O": [ 798 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 736 ],
- "I1": [ 109 ],
- "I2": [ 107 ],
- "I3": [ 799 ],
- "O": [ 797 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ 801 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 802 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 144
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 804 ],
- "I2": [ 805 ],
- "I3": [ "0" ],
- "O": [ 806 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 807 ],
- "I1": [ 714 ],
- "I2": [ 808 ],
- "I3": [ 809 ],
- "O": [ 805 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 810 ],
- "I1": [ 107 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 811 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52988
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 736 ],
- "I1": [ 799 ],
- "I2": [ 107 ],
- "I3": [ 109 ],
- "O": [ 812 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 56323
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 641 ],
- "I2": [ 639 ],
- "I3": [ 640 ],
- "O": [ 813 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8204
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 641 ],
- "I2": [ 640 ],
- "I3": [ 639 ],
- "O": [ 814 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 816 ],
- "I2": [ 817 ],
- "I3": [ "0" ],
- "O": [ 818 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 819 ],
- "I1": [ 588 ],
- "I2": [ 820 ],
- "I3": [ "0" ],
- "O": [ 815 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 143 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 820 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 588 ],
- "I2": [ 822 ],
- "I3": [ 144 ],
- "O": [ 816 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 819 ],
- "I2": [ 588 ],
- "I3": [ "0" ],
- "O": [ 822 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 822 ],
- "I2": [ 823 ],
- "I3": [ "0" ],
- "O": [ 817 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 146 ],
- "I1": [ 145 ],
- "I2": [ 143 ],
- "I3": [ "0" ],
- "O": [ 823 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 146 ],
- "I1": [ 819 ],
- "I2": [ 588 ],
- "I3": [ "0" ],
- "O": [ 824 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 819 ],
- "I1": [ 820 ],
- "I2": [ 825 ],
- "I3": [ 588 ],
- "O": [ 826 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 146 ],
- "I2": [ 145 ],
- "I3": [ "0" ],
- "O": [ 825 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45888
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 513 ],
- "I1": [ 588 ],
- "I2": [ 827 ],
- "I3": [ 512 ],
- "O": [ 828 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30080
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 588 ],
- "I1": [ 515 ],
- "I2": [ 829 ],
- "I3": [ 516 ],
- "O": [ 830 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ 512 ],
- "I2": [ 827 ],
- "I3": [ "0" ],
- "O": [ 829 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36863
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 564 ],
- "I1": [ 545 ],
- "I2": [ 831 ],
- "I3": [ 832 ],
- "O": [ 833 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 834 ],
- "I1": [ 510 ],
- "I2": [ 835 ],
- "I3": [ 836 ],
- "O": [ 832 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 561 ],
- "I2": [ 529 ],
- "I3": [ "0" ],
- "O": [ 836 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 837 ],
- "I3": [ 140 ],
- "O": [ 835 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 12284
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ 139 ],
- "I2": [ 140 ],
- "I3": [ 138 ],
- "O": [ 831 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 514 ],
- "I2": [ 140 ],
- "I3": [ 139 ],
- "O": [ 838 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62719
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 561 ],
- "I1": [ 529 ],
- "I2": [ 563 ],
- "I3": [ 839 ],
- "O": [ 840 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 139 ],
- "I2": [ 838 ],
- "I3": [ "0" ],
- "O": [ 839 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65287
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 842 ],
- "I2": [ 843 ],
- "I3": [ 844 ],
- "O": [ 845 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53260
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 846 ],
- "I2": [ 847 ],
- "I3": [ 848 ],
- "O": [ 844 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 842 ],
- "I2": [ 841 ],
- "I3": [ "0" ],
- "O": [ 846 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 850 ],
- "I1": [ 851 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 848 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51967
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 850 ],
- "I2": [ 851 ],
- "I3": [ 847 ],
- "O": [ 843 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 852 ],
- "I1": [ 853 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 854 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65392
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 849 ],
- "I2": [ 855 ],
- "I3": [ 856 ],
- "O": [ 852 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 847 ],
- "I1": [ 848 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 855 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 843 ],
- "I1": [ 842 ],
- "I2": [ 841 ],
- "I3": [ "0" ],
- "O": [ 856 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30080
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 847 ],
- "I2": [ 857 ],
- "I3": [ 850 ],
- "O": [ 853 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 855 ],
- "I2": [ 858 ],
- "I3": [ "0" ],
- "O": [ 859 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47264
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 850 ],
- "I2": [ 851 ],
- "I3": [ 847 ],
- "O": [ 858 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32592
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 847 ],
- "I2": [ 851 ],
- "I3": [ 846 ],
- "O": [ 857 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45888
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 588 ],
- "I2": [ 861 ],
- "I3": [ 862 ],
- "O": [ 863 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 864 ],
- "I1": [ 865 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 860 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30080
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 588 ],
- "I1": [ 862 ],
- "I2": [ 866 ],
- "I3": [ 864 ],
- "O": [ 867 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 861 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 866 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 588 ],
- "I1": [ 866 ],
- "I2": [ 868 ],
- "I3": [ "0" ],
- "O": [ 869 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 588 ],
- "I1": [ 862 ],
- "I2": [ 864 ],
- "I3": [ 865 ],
- "O": [ 868 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 870 ],
- "I1": [ 871 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 872 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2868
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 873 ],
- "I1": [ 133 ],
- "I2": [ 132 ],
- "I3": [ 134 ],
- "O": [ 870 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 874 ],
- "I1": [ 873 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 875 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 948
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 871 ],
- "I1": [ 134 ],
- "I2": [ 133 ],
- "I3": [ 132 ],
- "O": [ 874 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 876 ],
- "I1": [ 871 ],
- "I2": [ 873 ],
- "I3": [ "0" ],
- "O": [ 877 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 132 ],
- "I3": [ "0" ],
- "O": [ 876 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 193 ],
- "I2": [ 879 ],
- "I3": [ "0" ],
- "O": [ 880 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 194 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 879 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 641 ],
- "I3": [ "0" ],
- "O": [ 878 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 879 ],
- "I1": [ 878 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 881 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64272
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 641 ],
- "I3": [ 882 ],
- "O": [ 883 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 879 ],
- "I1": [ 193 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 882 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60350
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 884 ],
- "I2": [ 885 ],
- "I3": [ 886 ],
- "O": [ 887 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 888 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 889 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 890 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 891 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 892 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 893 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 894 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 895 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 896 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 897 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 898 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 899 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 900 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 901 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 902 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 903 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 904 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 905 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 906 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 907 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 908 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 909 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60350
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 884 ],
- "I2": [ 885 ],
- "I3": [ 910 ],
- "O": [ 911 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 912 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 913 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 884 ],
- "I2": [ 885 ],
- "I3": [ "0" ],
- "O": [ 914 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60350
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 784 ],
- "I2": [ 915 ],
- "I3": [ 780 ],
- "O": [ 916 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 782 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 917 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 781 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 918 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 772 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 919 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 771 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 920 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 770 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 921 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 769 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 922 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 776 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 923 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 775 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 924 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 774 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 777 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 926 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 778 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 927 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60350
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 773 ],
- "I2": [ 915 ],
- "I3": [ 780 ],
- "O": [ 928 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 779 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 929 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 915 ],
- "I2": [ 780 ],
- "I3": [ "0" ],
- "O": [ 930 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 762 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60350
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 758 ],
- "I2": [ 761 ],
- "I3": [ 915 ],
- "O": [ 932 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 757 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 761 ],
- "I2": [ 915 ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ 364 ],
- "I2": [ 936 ],
- "I3": [ 372 ],
- "O": [ 937 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 364 ],
- "I2": [ 939 ],
- "I3": [ 372 ],
- "O": [ 940 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 941 ],
- "I2": [ 942 ],
- "I3": [ 372 ],
- "O": [ 943 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 944 ],
- "I1": [ 364 ],
- "I2": [ 945 ],
- "I3": [ 372 ],
- "O": [ 946 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 947 ],
- "I2": [ 948 ],
- "I3": [ 372 ],
- "O": [ 949 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 950 ],
- "I2": [ 951 ],
- "I3": [ 372 ],
- "O": [ 952 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 953 ],
- "I2": [ 954 ],
- "I3": [ 372 ],
- "O": [ 955 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 956 ],
- "I2": [ 957 ],
- "I3": [ 372 ],
- "O": [ 958 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 960 ],
- "I2": [ 961 ],
- "I3": [ 274 ],
- "O": [ 962 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 963 ],
- "I1": [ 609 ],
- "I2": [ 964 ],
- "I3": [ 965 ],
- "O": [ 959 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 966 ],
- "I1": [ 279 ],
- "I2": [ 352 ],
- "I3": [ 967 ],
- "O": [ 965 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 964 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 208 ],
- "I2": [ 300 ],
- "I3": [ 209 ],
- "O": [ 968 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 611 ],
- "I1": [ 302 ],
- "I2": [ 970 ],
- "I3": [ "0" ],
- "O": [ 960 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 290 ],
- "I2": [ 972 ],
- "I3": [ "0" ],
- "O": [ 970 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 973 ],
- "I2": [ 284 ],
- "I3": [ 974 ],
- "O": [ 972 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 975 ],
- "I1": [ 976 ],
- "I2": [ 977 ],
- "I3": [ 978 ],
- "O": [ 961 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 979 ],
- "I1": [ 293 ],
- "I2": [ 281 ],
- "I3": [ 980 ],
- "O": [ 975 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 355 ],
- "I2": [ 287 ],
- "I3": [ 981 ],
- "O": [ 976 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 982 ],
- "I2": [ 606 ],
- "I3": [ 983 ],
- "O": [ 977 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 984 ],
- "I2": [ 272 ],
- "I3": [ 985 ],
- "O": [ 978 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 986 ],
- "I1": [ 987 ],
- "I2": [ 988 ],
- "I3": [ 274 ],
- "O": [ 989 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 990 ],
- "I1": [ 284 ],
- "I2": [ 991 ],
- "I3": [ 992 ],
- "O": [ 986 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 993 ],
- "I1": [ 281 ],
- "I2": [ 994 ],
- "I3": [ 995 ],
- "O": [ 991 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 996 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 994 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 609 ],
- "I2": [ 606 ],
- "I3": [ 998 ],
- "O": [ 995 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 999 ],
- "I2": [ 290 ],
- "I3": [ 1000 ],
- "O": [ 992 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 287 ],
- "I2": [ 1002 ],
- "I3": [ "0" ],
- "O": [ 987 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1003 ],
- "I1": [ 293 ],
- "I2": [ 272 ],
- "I3": [ 1004 ],
- "O": [ 1002 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 1005 ],
- "I2": [ 277 ],
- "I3": [ 1006 ],
- "O": [ 988 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1007 ],
- "I1": [ 1008 ],
- "I2": [ 1009 ],
- "I3": [ 274 ],
- "O": [ 1010 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1011 ],
- "I1": [ 609 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1007 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1014 ],
- "I1": [ 614 ],
- "I2": [ 1015 ],
- "I3": [ "0" ],
- "O": [ 1012 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 1016 ],
- "I2": [ 279 ],
- "I3": [ 1017 ],
- "O": [ 1015 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1018 ],
- "I1": [ 284 ],
- "I2": [ 281 ],
- "I3": [ 1019 ],
- "O": [ 1013 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1020 ],
- "I1": [ 287 ],
- "I2": [ 1021 ],
- "I3": [ 1022 ],
- "O": [ 1008 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 1023 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1021 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ 1024 ],
- "I2": [ 290 ],
- "I3": [ 1025 ],
- "O": [ 1022 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1026 ],
- "I1": [ 293 ],
- "I2": [ 272 ],
- "I3": [ 1027 ],
- "O": [ 1009 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 1029 ],
- "I2": [ 1030 ],
- "I3": [ 274 ],
- "O": [ 1031 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1032 ],
- "I1": [ 290 ],
- "I2": [ 1033 ],
- "I3": [ 1034 ],
- "O": [ 1028 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1035 ],
- "I1": [ 293 ],
- "I2": [ 272 ],
- "I3": [ 1036 ],
- "O": [ 1033 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ 1037 ],
- "I2": [ 287 ],
- "I3": [ 1038 ],
- "O": [ 1034 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1039 ],
- "I1": [ 609 ],
- "I2": [ 1040 ],
- "I3": [ "0" ],
- "O": [ 1029 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1041 ],
- "I1": [ 284 ],
- "I2": [ 281 ],
- "I3": [ 1042 ],
- "O": [ 1040 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 614 ],
- "I2": [ 1044 ],
- "I3": [ 1045 ],
- "O": [ 1030 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 1046 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1044 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 1047 ],
- "I2": [ 279 ],
- "I3": [ 1048 ],
- "O": [ 1045 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1049 ],
- "I1": [ 1050 ],
- "I2": [ 1051 ],
- "I3": [ 274 ],
- "O": [ 1052 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1053 ],
- "I1": [ 272 ],
- "I2": [ 1054 ],
- "I3": [ 1055 ],
- "O": [ 1049 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1056 ],
- "I1": [ 968 ],
- "I2": [ 1057 ],
- "I3": [ 1058 ],
- "O": [ 1054 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 1059 ],
- "I2": [ 290 ],
- "I3": [ 1060 ],
- "O": [ 1057 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ 1061 ],
- "I2": [ 293 ],
- "I3": [ 1062 ],
- "O": [ 1058 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1063 ],
- "I1": [ 287 ],
- "I2": [ 277 ],
- "I3": [ 1064 ],
- "O": [ 1055 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 1065 ],
- "I2": [ 279 ],
- "I3": [ 1066 ],
- "O": [ 1050 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 609 ],
- "I1": [ 1067 ],
- "I2": [ 284 ],
- "I3": [ 1068 ],
- "O": [ 1051 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1069 ],
- "I1": [ 1070 ],
- "I2": [ 1071 ],
- "I3": [ 274 ],
- "O": [ 1072 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 279 ],
- "I2": [ 1074 ],
- "I3": [ 1075 ],
- "O": [ 1069 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1076 ],
- "I1": [ 968 ],
- "I2": [ 1077 ],
- "I3": [ "0" ],
- "O": [ 1074 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ 1078 ],
- "I2": [ 293 ],
- "I3": [ 1079 ],
- "O": [ 1077 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1080 ],
- "I1": [ 287 ],
- "I2": [ 277 ],
- "I3": [ 1081 ],
- "O": [ 1075 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 1083 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1070 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 609 ],
- "I1": [ 1084 ],
- "I2": [ 284 ],
- "I3": [ 1085 ],
- "O": [ 1082 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 1086 ],
- "I2": [ 290 ],
- "I3": [ 1087 ],
- "O": [ 1083 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 1088 ],
- "I2": [ 272 ],
- "I3": [ 1089 ],
- "O": [ 1071 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1090 ],
- "I1": [ 1091 ],
- "I2": [ 274 ],
- "I3": [ "0" ],
- "O": [ 1092 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 968 ],
- "I2": [ 1094 ],
- "I3": [ "0" ],
- "O": [ 1091 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1095 ],
- "I1": [ 609 ],
- "I2": [ 1096 ],
- "I3": [ "0" ],
- "O": [ 1094 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ 1097 ],
- "I2": [ 290 ],
- "I3": [ 1098 ],
- "O": [ 1096 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1099 ],
- "I1": [ 1100 ],
- "I2": [ 1101 ],
- "I3": [ 1102 ],
- "O": [ 1090 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 287 ],
- "I2": [ 277 ],
- "I3": [ 1104 ],
- "O": [ 1099 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 293 ],
- "I1": [ 1105 ],
- "I2": [ 284 ],
- "I3": [ 1106 ],
- "O": [ 1100 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 1107 ],
- "I2": [ 279 ],
- "I3": [ 1108 ],
- "O": [ 1101 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 1109 ],
- "I2": [ 272 ],
- "I3": [ 1110 ],
- "O": [ 1102 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1111 ],
- "I1": [ 1112 ],
- "I2": [ 1113 ],
- "I3": [ 274 ],
- "O": [ 1114 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1115 ],
- "I1": [ 290 ],
- "I2": [ 1116 ],
- "I3": [ "0" ],
- "O": [ 1111 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1117 ],
- "I1": [ 606 ],
- "I2": [ 1118 ],
- "I3": [ "0" ],
- "O": [ 1116 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 609 ],
- "I1": [ 1119 ],
- "I2": [ 281 ],
- "I3": [ 1120 ],
- "O": [ 1118 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 293 ],
- "I2": [ 1122 ],
- "I3": [ 1123 ],
- "O": [ 1112 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 1124 ],
- "I2": [ 272 ],
- "I3": [ 1125 ],
- "O": [ 1122 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 1126 ],
- "I2": [ 277 ],
- "I3": [ 1127 ],
- "O": [ 1123 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 287 ],
- "I2": [ 1129 ],
- "I3": [ "0" ],
- "O": [ 1113 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 1130 ],
- "I2": [ 284 ],
- "I3": [ 1131 ],
- "O": [ 1129 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1132 ],
- "I1": [ 1133 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 1134 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 301 ],
- "I1": [ 790 ],
- "I2": [ 792 ],
- "I3": [ 6 ],
- "O": [ 1133 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 796 ],
- "I1": [ 792 ],
- "I2": [ 208 ],
- "I3": [ 209 ],
- "O": [ 1132 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 283 ],
- "I2": [ 790 ],
- "I3": [ "0" ],
- "O": [ 1135 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 283 ],
- "I2": [ 793 ],
- "I3": [ "0" ],
- "O": [ 1136 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 283 ],
- "I2": [ 1137 ],
- "I3": [ "0" ],
- "O": [ 1138 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 12 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1139 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1140 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 1141 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1142 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 1143 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1144 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 1145 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1146 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 1147 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1148 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 1149 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1150 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 1151 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1153 ],
- "I1": [ 1154 ],
- "I2": [ 1155 ],
- "I3": [ 1156 ],
- "O": [ 1157 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1158 ],
- "I1": [ 1159 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1153 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ 287 ],
- "I2": [ 1160 ],
- "I3": [ "0" ],
- "O": [ 1159 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 1160 ],
- "I2": [ 1161 ],
- "I3": [ "0" ],
- "O": [ 1158 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 301 ],
- "I1": [ 351 ],
- "I2": [ 1162 ],
- "I3": [ "0" ],
- "O": [ 1161 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1160 ],
- "I1": [ 276 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1162 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1160 ],
- "I1": [ 214 ],
- "I2": [ 1163 ],
- "I3": [ 1164 ],
- "O": [ 1154 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1160 ],
- "I1": [ 281 ],
- "I2": [ 1165 ],
- "I3": [ 1166 ],
- "O": [ 1163 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65287
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 276 ],
- "I2": [ 292 ],
- "I3": [ 1160 ],
- "O": [ 1166 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1167 ],
- "I1": [ 1168 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1165 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 1160 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1168 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 59391
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 211 ],
- "I3": [ 210 ],
- "O": [ 1167 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 200 ],
- "I2": [ 1168 ],
- "I3": [ "0" ],
- "O": [ 1164 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ 300 ],
- "I2": [ 1162 ],
- "I3": [ 1169 ],
- "O": [ 1155 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 613 ],
- "I1": [ 608 ],
- "I2": [ 1160 ],
- "I3": [ 276 ],
- "O": [ 1169 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 275 ],
- "I2": [ 203 ],
- "I3": [ "0" ],
- "O": [ 1156 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 1171 ],
- "I2": [ 1172 ],
- "I3": [ 1156 ],
- "O": [ 1173 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1174 ],
- "I1": [ 1175 ],
- "I2": [ 1176 ],
- "I3": [ "0" ],
- "O": [ 1170 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ 1177 ],
- "I2": [ 1160 ],
- "I3": [ 1178 ],
- "O": [ 1174 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1160 ],
- "I1": [ 279 ],
- "I2": [ 1179 ],
- "I3": [ "0" ],
- "O": [ 1178 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 212 ],
- "I2": [ 1168 ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 300 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 1177 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ 295 ],
- "I2": [ 1160 ],
- "I3": [ "0" ],
- "O": [ 1175 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65287
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 276 ],
- "I2": [ 350 ],
- "I3": [ 1160 ],
- "O": [ 1176 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1162 ],
- "I1": [ 289 ],
- "I2": [ 1180 ],
- "I3": [ "0" ],
- "O": [ 1171 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65392
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 276 ],
- "I2": [ 1181 ],
- "I3": [ 1160 ],
- "O": [ 1180 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 216 ],
- "I2": [ 276 ],
- "I3": [ "0" ],
- "O": [ 1181 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1162 ],
- "I1": [ 613 ],
- "I2": [ 1158 ],
- "I3": [ "0" ],
- "O": [ 1172 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1182 ],
- "I1": [ 1171 ],
- "I2": [ 1183 ],
- "I3": [ 1156 ],
- "O": [ 1184 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1185 ],
- "I1": [ 1186 ],
- "I2": [ 1187 ],
- "I3": [ 1169 ],
- "O": [ 1182 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1162 ],
- "I1": [ 354 ],
- "I2": [ 1176 ],
- "I3": [ "0" ],
- "O": [ 1185 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 1177 ],
- "I2": [ 1160 ],
- "I3": [ 276 ],
- "O": [ 1186 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1160 ],
- "I1": [ 281 ],
- "I2": [ 1188 ],
- "I3": [ "0" ],
- "O": [ 1187 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1472
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1189 ],
- "I1": [ 214 ],
- "I2": [ 1160 ],
- "I3": [ 276 ],
- "O": [ 1188 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32511
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 210 ],
- "I3": [ 211 ],
- "O": [ 1189 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1190 ],
- "I1": [ 1175 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1183 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 1191 ],
- "I2": [ 614 ],
- "I3": [ 1160 ],
- "O": [ 1190 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 300 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 1191 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1185 ],
- "I1": [ 1183 ],
- "I2": [ 1192 ],
- "I3": [ 1156 ],
- "O": [ 1193 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2816
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1160 ],
- "I1": [ 293 ],
- "I2": [ 1178 ],
- "I3": [ 1194 ],
- "O": [ 1192 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1162 ],
- "I1": [ 289 ],
- "I2": [ 1166 ],
- "I3": [ "0" ],
- "O": [ 1194 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1153 ],
- "I1": [ 1195 ],
- "I2": [ 1196 ],
- "I3": [ 1156 ],
- "O": [ 1197 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1190 ],
- "I1": [ 1198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1195 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1162 ],
- "I1": [ 286 ],
- "I2": [ 1199 ],
- "I3": [ 1169 ],
- "O": [ 1198 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 301 ],
- "I1": [ 300 ],
- "I2": [ 1162 ],
- "I3": [ "0" ],
- "O": [ 1199 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 272 ],
- "I2": [ 1160 ],
- "I3": [ 1188 ],
- "O": [ 1196 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1200 ],
- "I1": [ 1175 ],
- "I2": [ 1201 ],
- "I3": [ 1156 ],
- "O": [ 1202 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1172 ],
- "I1": [ 1203 ],
- "I2": [ 1180 ],
- "I3": [ 1187 ],
- "O": [ 1200 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1155 ],
- "I1": [ 1194 ],
- "I2": [ 1204 ],
- "I3": [ "0" ],
- "O": [ 1203 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1162 ],
- "I1": [ 214 ],
- "I2": [ 1199 ],
- "I3": [ "0" ],
- "O": [ 1204 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1165 ],
- "I1": [ 1205 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1201 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 212 ],
- "I2": [ 1160 ],
- "I3": [ 276 ],
- "O": [ 1205 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1206 ],
- "I1": [ 1200 ],
- "I2": [ 1156 ],
- "I3": [ "0" ],
- "O": [ 1207 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1168 ],
- "I1": [ 214 ],
- "I2": [ 1208 ],
- "I3": [ 1159 ],
- "O": [ 1206 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1160 ],
- "I1": [ 606 ],
- "I2": [ 1190 ],
- "I3": [ "0" ],
- "O": [ 1208 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1179 ],
- "I1": [ 1208 ],
- "I2": [ 1164 ],
- "I3": [ 1156 ],
- "O": [ 1209 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36608
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 1210 ],
- "I2": [ 1211 ],
- "I3": [ 199 ],
- "O": [ 1212 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 1214 ],
- "I2": [ 1215 ],
- "I3": [ "0" ],
- "O": [ 1211 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 252 ],
- "I1": [ 292 ],
- "I2": [ 1216 ],
- "I3": [ "0" ],
- "O": [ 1213 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 219 ],
- "I1": [ 297 ],
- "I2": [ 286 ],
- "I3": [ 233 ],
- "O": [ 1216 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1217 ],
- "I1": [ 212 ],
- "I2": [ 200 ],
- "I3": [ 1218 ],
- "O": [ 1214 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 260 ],
- "I2": [ 216 ],
- "I3": [ 1219 ],
- "O": [ 1215 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1220 ],
- "I1": [ 1221 ],
- "I2": [ 1222 ],
- "I3": [ 199 ],
- "O": [ 1223 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2816
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1224 ],
- "I1": [ 212 ],
- "I2": [ 1225 ],
- "I3": [ 1226 ],
- "O": [ 1221 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 297 ],
- "I2": [ 292 ],
- "I3": [ 253 ],
- "O": [ 1226 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 261 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1225 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1227 ],
- "I1": [ 216 ],
- "I2": [ 214 ],
- "I3": [ 1228 ],
- "O": [ 1222 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 234 ],
- "I2": [ 200 ],
- "I3": [ 1229 ],
- "O": [ 1220 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36608
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 1230 ],
- "I2": [ 1231 ],
- "I3": [ 199 ],
- "O": [ 1232 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1233 ],
- "I1": [ 212 ],
- "I2": [ 1234 ],
- "I3": [ 1235 ],
- "O": [ 1231 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 297 ],
- "I2": [ 1236 ],
- "I3": [ 1237 ],
- "O": [ 1234 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 247 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1236 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 254 ],
- "I2": [ 1238 ],
- "I3": [ 214 ],
- "O": [ 1237 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 227 ],
- "I2": [ 216 ],
- "I3": [ 1239 ],
- "O": [ 1235 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 200 ],
- "I2": [ 1241 ],
- "I3": [ 199 ],
- "O": [ 1242 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ 212 ],
- "I2": [ 1244 ],
- "I3": [ 1245 ],
- "O": [ 1241 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 286 ],
- "I2": [ 1246 ],
- "I3": [ 1247 ],
- "O": [ 1244 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 248 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1246 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 242 ],
- "I2": [ 214 ],
- "I3": [ 1248 ],
- "O": [ 1247 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 255 ],
- "I2": [ 216 ],
- "I3": [ 1249 ],
- "O": [ 1245 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1250 ],
- "I1": [ 1251 ],
- "I2": [ 1252 ],
- "I3": [ 199 ],
- "O": [ 1253 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2816
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1254 ],
- "I1": [ 212 ],
- "I2": [ 1255 ],
- "I3": [ 1256 ],
- "O": [ 1251 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 235 ],
- "I2": [ 1257 ],
- "I3": [ 216 ],
- "O": [ 1256 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 256 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1255 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 249 ],
- "I2": [ 1258 ],
- "I3": [ 214 ],
- "O": [ 1252 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 229 ],
- "I2": [ 1259 ],
- "I3": [ 200 ],
- "O": [ 1250 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1260 ],
- "I1": [ 200 ],
- "I2": [ 1261 ],
- "I3": [ 199 ],
- "O": [ 1262 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1263 ],
- "I1": [ 212 ],
- "I2": [ 1264 ],
- "I3": [ 1265 ],
- "O": [ 1261 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 250 ],
- "I1": [ 292 ],
- "I2": [ 1266 ],
- "I3": [ 1267 ],
- "O": [ 1264 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1266 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 236 ],
- "I2": [ 216 ],
- "I3": [ 1268 ],
- "O": [ 1267 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 257 ],
- "I2": [ 1269 ],
- "I3": [ 214 ],
- "O": [ 1265 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1270 ],
- "I1": [ 212 ],
- "I2": [ 1271 ],
- "I3": [ 199 ],
- "O": [ 1272 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1273 ],
- "I1": [ 1274 ],
- "I2": [ 1275 ],
- "I3": [ "0" ],
- "O": [ 1271 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1276 ],
- "I1": [ 200 ],
- "I2": [ 1277 ],
- "I3": [ "0" ],
- "O": [ 1273 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 231 ],
- "I2": [ 216 ],
- "I3": [ 1278 ],
- "O": [ 1277 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 237 ],
- "I2": [ 214 ],
- "I3": [ 1279 ],
- "O": [ 1274 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 258 ],
- "I1": [ 292 ],
- "I2": [ 286 ],
- "I3": [ 239 ],
- "O": [ 1275 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36608
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 1280 ],
- "I2": [ 1281 ],
- "I3": [ 199 ],
- "O": [ 1282 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1283 ],
- "I1": [ 1284 ],
- "I2": [ 1285 ],
- "I3": [ "0" ],
- "O": [ 1281 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1286 ],
- "I1": [ 212 ],
- "I2": [ 1287 ],
- "I3": [ "0" ],
- "O": [ 1283 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 259 ],
- "I2": [ 216 ],
- "I3": [ 1288 ],
- "O": [ 1287 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 238 ],
- "I2": [ 214 ],
- "I3": [ 1289 ],
- "O": [ 1284 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 289 ],
- "I2": [ 286 ],
- "I3": [ 240 ],
- "O": [ 1285 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1290 ],
- "I1": [ 298 ],
- "I2": [ 295 ],
- "I3": [ 1291 ],
- "O": [ 1292 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1293 ],
- "I1": [ 1294 ],
- "I2": [ 1295 ],
- "I3": [ 1296 ],
- "O": [ 1297 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1298 ],
- "I1": [ 1299 ],
- "I2": [ 1300 ],
- "I3": [ 1301 ],
- "O": [ 1295 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1302 ],
- "I1": [ 1303 ],
- "I2": [ 1304 ],
- "I3": [ 1305 ],
- "O": [ 1296 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1306 ],
- "I1": [ 277 ],
- "I2": [ 1307 ],
- "I3": [ "0" ],
- "O": [ 1308 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1309 ],
- "I1": [ 281 ],
- "I2": [ 1310 ],
- "I3": [ 1311 ],
- "O": [ 1307 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 1312 ],
- "I2": [ 611 ],
- "I3": [ 1313 ],
- "O": [ 1310 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 284 ],
- "I1": [ 1314 ],
- "I2": [ 279 ],
- "I3": [ 1315 ],
- "O": [ 1311 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1316 ],
- "I1": [ 350 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1317 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 144
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1318 ],
- "I1": [ 1319 ],
- "I2": [ 1320 ],
- "I3": [ "0" ],
- "O": [ 1316 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1321 ],
- "I1": [ 1322 ],
- "I2": [ 1323 ],
- "I3": [ 1324 ],
- "O": [ 1320 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1325 ],
- "I1": [ 1326 ],
- "I2": [ 1327 ],
- "I3": [ 1328 ],
- "O": [ 1329 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1330 ],
- "I1": [ 1331 ],
- "I2": [ 1328 ],
- "I3": [ 1327 ],
- "O": [ 1332 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1333 ],
- "I1": [ 597 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1334 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1335 ],
- "I1": [ 1336 ],
- "I2": [ 1337 ],
- "I3": [ 1338 ],
- "O": [ 1333 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 611 ],
- "I2": [ 293 ],
- "I3": [ 1340 ],
- "O": [ 1338 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1341 ],
- "I1": [ 290 ],
- "I2": [ 284 ],
- "I3": [ 1342 ],
- "O": [ 1336 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 606 ],
- "I2": [ 295 ],
- "I3": [ 1343 ],
- "O": [ 1337 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1344 ],
- "I1": [ 1345 ],
- "I2": [ 216 ],
- "I3": [ 276 ],
- "O": [ 1335 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1346 ],
- "I1": [ 1347 ],
- "I2": [ 1327 ],
- "I3": [ 1328 ],
- "O": [ 1344 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1348 ],
- "I1": [ 1349 ],
- "I2": [ 1328 ],
- "I3": [ 1327 ],
- "O": [ 1345 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1350 ],
- "I1": [ 1351 ],
- "I2": [ 597 ],
- "I3": [ "0" ],
- "O": [ 1352 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1353 ],
- "I1": [ 611 ],
- "I2": [ 295 ],
- "I3": [ 1354 ],
- "O": [ 1351 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1355 ],
- "I1": [ 606 ],
- "I2": [ 284 ],
- "I3": [ 1356 ],
- "O": [ 1350 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1357 ],
- "I1": [ 1358 ],
- "I2": [ 597 ],
- "I3": [ "0" ],
- "O": [ 1359 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1360 ],
- "I1": [ 611 ],
- "I2": [ 295 ],
- "I3": [ 1361 ],
- "O": [ 1358 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1362 ],
- "I1": [ 606 ],
- "I2": [ 284 ],
- "I3": [ 1363 ],
- "O": [ 1357 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1364 ],
- "I1": [ 1365 ],
- "I2": [ 597 ],
- "I3": [ "0" ],
- "O": [ 1366 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1367 ],
- "I1": [ 611 ],
- "I2": [ 295 ],
- "I3": [ 1368 ],
- "O": [ 1365 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ 606 ],
- "I2": [ 284 ],
- "I3": [ 1369 ],
- "O": [ 1364 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1370 ],
- "I1": [ 1371 ],
- "I2": [ 597 ],
- "I3": [ "0" ],
- "O": [ 1372 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 611 ],
- "I2": [ 295 ],
- "I3": [ 1373 ],
- "O": [ 1371 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 807 ],
- "I1": [ 606 ],
- "I2": [ 284 ],
- "I3": [ 1374 ],
- "O": [ 1370 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1375 ],
- "I1": [ 1376 ],
- "I2": [ 597 ],
- "I3": [ "0" ],
- "O": [ 1377 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ 611 ],
- "I2": [ 295 ],
- "I3": [ 1379 ],
- "O": [ 1376 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 808 ],
- "I1": [ 606 ],
- "I2": [ 284 ],
- "I3": [ 1380 ],
- "O": [ 1375 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1381 ],
- "I1": [ 1382 ],
- "I2": [ 597 ],
- "I3": [ "0" ],
- "O": [ 1383 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ 611 ],
- "I2": [ 295 ],
- "I3": [ 1384 ],
- "O": [ 1382 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1385 ],
- "I1": [ 606 ],
- "I2": [ 284 ],
- "I3": [ 1386 ],
- "O": [ 1381 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1387 ],
- "I1": [ 510 ],
- "I2": [ 1388 ],
- "I3": [ 1389 ],
- "O": [ 1390 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 545 ],
- "I2": [ 529 ],
- "I3": [ 1391 ],
- "O": [ 1389 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 140 ],
- "I3": [ 1392 ],
- "O": [ 1388 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 1393 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1394 ],
- "I1": [ 1395 ],
- "I2": [ 1396 ],
- "I3": [ "0" ],
- "O": [ 1397 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 132 ],
- "I3": [ "0" ],
- "O": [ 1394 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1398 ],
- "I1": [ 1399 ],
- "I2": [ 459 ],
- "I3": [ "0" ],
- "O": [ 1400 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 432 ],
- "I1": [ 80 ],
- "I2": [ 455 ],
- "I3": [ 1401 ],
- "O": [ 1399 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 424 ],
- "I2": [ 60 ],
- "I3": [ 425 ],
- "O": [ 1401 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 80 ],
- "I2": [ 420 ],
- "I3": [ 1402 ],
- "O": [ 1398 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ 1403 ],
- "I2": [ 1404 ],
- "I3": [ 1405 ],
- "O": [ 1402 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1406 ],
- "I1": [ 1407 ],
- "I2": [ 1408 ],
- "I3": [ "0" ],
- "O": [ 1403 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1409 ],
- "I1": [ 1410 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1408 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1411 ],
- "I1": [ 1412 ],
- "I2": [ 1413 ],
- "I3": [ 1414 ],
- "O": [ 1404 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16376
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 59 ],
- "I2": [ 61 ],
- "I3": [ 60 ],
- "O": [ 1405 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1415 ],
- "I1": [ 1399 ],
- "I2": [ 459 ],
- "I3": [ "0" ],
- "O": [ 1416 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 80 ],
- "I2": [ 1417 ],
- "I3": [ "0" ],
- "O": [ 1415 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1418 ],
- "I1": [ 789 ],
- "I2": [ 1419 ],
- "I3": [ "0" ],
- "O": [ 1417 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 1420 ],
- "I2": [ 424 ],
- "I3": [ 431 ],
- "O": [ 1418 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1407 ],
- "I1": [ 1408 ],
- "I2": [ 1406 ],
- "I3": [ 1404 ],
- "O": [ 1420 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 383 ],
- "I1": [ 424 ],
- "I2": [ 384 ],
- "I3": [ "0" ],
- "O": [ 1419 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1421 ],
- "I1": [ 78 ],
- "I2": [ 459 ],
- "I3": [ "0" ],
- "O": [ 1422 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3908
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 420 ],
- "I1": [ 1423 ],
- "I2": [ 1420 ],
- "I3": [ 419 ],
- "O": [ 1421 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 457 ],
- "I2": [ 1419 ],
- "I3": [ "0" ],
- "O": [ 1423 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 455 ],
- "I2": [ 266 ],
- "I3": [ "0" ],
- "O": [ 1424 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1425 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1426 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 78 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1427 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1428 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1429 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1430 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1431 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1432 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1433 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1434 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1435 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1436 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1437 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1438 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1439 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1440 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1441 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1442 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1443 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1444 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1445 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1446 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1447 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1448 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1449 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1450 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1451 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1452 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1453 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 1454 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1455 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 1456 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1457 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 1458 ],
- "I3": [ "0" ],
- "O": [ 1459 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 1460 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 1461 ],
- "I3": [ "0" ],
- "O": [ 1462 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 1463 ],
- "I3": [ "0" ],
- "O": [ 1464 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 583 ],
- "I1": [ 885 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1465 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 576 ],
- "I1": [ 886 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1466 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 577 ],
- "I1": [ 1456 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1467 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 888 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1468 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 579 ],
- "I1": [ 890 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1469 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 572 ],
- "I1": [ 892 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1470 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 573 ],
- "I1": [ 894 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1471 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 574 ],
- "I1": [ 896 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1472 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 898 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1473 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 900 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1474 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 585 ],
- "I1": [ 902 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1475 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 586 ],
- "I1": [ 904 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1476 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 587 ],
- "I1": [ 906 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1477 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 580 ],
- "I1": [ 908 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1478 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 910 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1479 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 582 ],
- "I1": [ 912 ],
- "I2": [ 558 ],
- "I3": [ "0" ],
- "O": [ 1480 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 783 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1481 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 538 ],
- "I1": [ 786 ],
- "I2": [ 507 ],
- "I3": [ "0" ],
- "O": [ 1482 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 539 ],
- "I1": [ 787 ],
- "I2": [ 507 ],
- "I3": [ "0" ],
- "O": [ 1483 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 540 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1484 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 541 ],
- "I1": [ 1485 ],
- "I2": [ 507 ],
- "I3": [ "0" ],
- "O": [ 1486 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ 786 ],
- "I2": [ 507 ],
- "I3": [ "0" ],
- "O": [ 1487 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 535 ],
- "I1": [ 787 ],
- "I2": [ 507 ],
- "I3": [ "0" ],
- "O": [ 1488 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 536 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1489 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 537 ],
- "I1": [ 1485 ],
- "I2": [ 507 ],
- "I3": [ "0" ],
- "O": [ 1490 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 524 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1491 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 525 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1492 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 526 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1493 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 527 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1494 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 528 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1495 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 521 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1496 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 522 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1497 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 523 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1498 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 763 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1499 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1500 ],
- "I3": [ "0" ],
- "O": [ 1501 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1502 ],
- "I3": [ "0" ],
- "O": [ 1503 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1504 ],
- "I3": [ "0" ],
- "O": [ 1505 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1506 ],
- "I3": [ "0" ],
- "O": [ 1507 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1508 ],
- "I3": [ "0" ],
- "O": [ 1509 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1510 ],
- "I3": [ "0" ],
- "O": [ 1511 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1512 ],
- "I3": [ "0" ],
- "O": [ 1513 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 498 ],
- "I2": [ 1514 ],
- "I3": [ "0" ],
- "O": [ 1515 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1516 ],
- "I1": [ 498 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1517 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 915 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1516 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1518 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1519 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1520 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1521 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1522 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1523 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1524 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1525 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1526 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1527 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1528 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1529 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1530 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1531 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1532 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1533 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1534 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1535 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1536 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1537 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1538 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1539 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1540 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1541 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1542 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1543 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1544 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1545 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1546 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1547 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1548 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1549 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1550 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1551 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 501 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1552 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 500 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1553 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1554 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1555 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1556 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1557 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1558 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1559 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1560 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1561 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1562 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1563 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 1564 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1565 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1394 ],
- "I1": [ 1396 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1566 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1394 ],
- "I1": [ 1395 ],
- "I2": [ 1396 ],
- "I3": [ "0" ],
- "O": [ 1567 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1568 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1569 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 485 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1570 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1571 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1572 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1573 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1574 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1575 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1576 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1577 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1578 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1579 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1580 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1581 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1582 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1583 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1584 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1585 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1586 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1587 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1588 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1589 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1590 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1591 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1592 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1593 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1594 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 1595 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1596 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1597 ],
- "I2": [ 619 ],
- "I3": [ 423 ],
- "O": [ 1598 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1600 ],
- "I2": [ 1601 ],
- "I3": [ 1602 ],
- "O": [ 1597 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1603 ],
- "I2": [ 618 ],
- "I3": [ 423 ],
- "O": [ 1604 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1605 ],
- "I2": [ 1601 ],
- "I3": [ 1606 ],
- "O": [ 1603 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1607 ],
- "I2": [ 625 ],
- "I3": [ 423 ],
- "O": [ 1608 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1609 ],
- "I2": [ 1601 ],
- "I3": [ 1610 ],
- "O": [ 1607 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1611 ],
- "I2": [ 626 ],
- "I3": [ 423 ],
- "O": [ 1612 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1613 ],
- "I2": [ 1601 ],
- "I3": [ 1614 ],
- "O": [ 1611 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1615 ],
- "I2": [ 627 ],
- "I3": [ 423 ],
- "O": [ 1616 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1617 ],
- "I2": [ 1601 ],
- "I3": [ 1618 ],
- "O": [ 1615 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1619 ],
- "I2": [ 622 ],
- "I3": [ 423 ],
- "O": [ 1620 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1621 ],
- "I2": [ 1601 ],
- "I3": [ 1622 ],
- "O": [ 1619 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1623 ],
- "I2": [ 623 ],
- "I3": [ 423 ],
- "O": [ 1624 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1625 ],
- "I2": [ 1601 ],
- "I3": [ 1626 ],
- "O": [ 1623 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 1627 ],
- "I2": [ 624 ],
- "I3": [ 423 ],
- "O": [ 1628 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1629 ],
- "I1": [ 1601 ],
- "I2": [ 1630 ],
- "I3": [ 1599 ],
- "O": [ 1627 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1631 ],
- "I1": [ 1632 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1633 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1634 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1632 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1635 ],
- "I1": [ 1636 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1637 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1638 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1636 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1639 ],
- "I1": [ 1640 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1641 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1642 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1640 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1643 ],
- "I1": [ 1644 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1645 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1646 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1644 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1647 ],
- "I1": [ 1648 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1649 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1650 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1648 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1651 ],
- "I1": [ 1652 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1653 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1654 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1652 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1655 ],
- "I1": [ 1656 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1657 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1658 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1656 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$25999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1659 ],
- "I1": [ 1660 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1661 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1662 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1660 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1663 ],
- "I1": [ 1664 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1665 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1666 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1664 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1667 ],
- "I1": [ 1668 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1669 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1670 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1668 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1671 ],
- "I1": [ 1672 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1673 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1674 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1672 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1675 ],
- "I1": [ 1676 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1677 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1678 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1676 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1679 ],
- "I1": [ 1680 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1681 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1682 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1680 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1683 ],
- "I1": [ 1684 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1685 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1686 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1684 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1687 ],
- "I1": [ 1688 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1689 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1690 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1688 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1691 ],
- "I1": [ 1692 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1693 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 935 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1692 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1694 ],
- "I1": [ 1695 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1696 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 938 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1695 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1697 ],
- "I1": [ 1698 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1699 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 941 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1698 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1700 ],
- "I1": [ 1701 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1702 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 944 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1701 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1703 ],
- "I1": [ 1704 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1705 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 947 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1704 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1706 ],
- "I1": [ 1707 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1708 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 950 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1707 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1709 ],
- "I1": [ 1710 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1711 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 953 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1710 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1712 ],
- "I1": [ 1713 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1714 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 956 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1713 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1715 ],
- "I1": [ 1716 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 1717 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 795 ],
- "I2": [ 82 ],
- "I3": [ "0" ],
- "O": [ 1716 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3908
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 401 ],
- "I1": [ 416 ],
- "I2": [ 1718 ],
- "I3": [ 402 ],
- "O": [ 1719 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 401 ],
- "I1": [ 400 ],
- "I2": [ 413 ],
- "I3": [ 403 ],
- "O": [ 1718 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1720 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1721 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 404 ],
- "I1": [ 402 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1722 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1723 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1724 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1725 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1726 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1727 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1728 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1729 ],
- "I1": [ 402 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1730 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1731 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1732 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1733 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1734 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1735 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1736 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1737 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1738 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1739 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1740 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1741 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1742 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1743 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1744 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1745 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1746 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1747 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1748 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1749 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1750 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1751 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1752 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1753 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1754 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1755 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1756 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1757 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1758 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1759 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1760 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1761 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1762 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1763 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1764 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1765 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1766 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1767 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1768 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1769 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1770 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1771 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1772 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1773 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1774 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1775 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1776 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1777 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1778 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1779 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1780 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1781 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1782 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 1783 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1784 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1785 ],
- "I1": [ 402 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1786 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 1787 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1788 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 391 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1789 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 1790 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1791 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 1792 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1793 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1794 ],
- "I1": [ 1795 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1796 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1694 ],
- "I1": [ 1797 ],
- "I2": [ 1798 ],
- "I3": [ 1799 ],
- "O": [ 1795 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1801 ],
- "I2": [ 1802 ],
- "I3": [ 1663 ],
- "O": [ 1799 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 427 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1802 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 427 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1800 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 429 ],
- "I2": [ 428 ],
- "I3": [ "0" ],
- "O": [ 1797 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1631 ],
- "O": [ 1798 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1803 ],
- "I1": [ 1804 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1805 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1697 ],
- "I1": [ 1797 ],
- "I2": [ 1806 ],
- "I3": [ 1807 ],
- "O": [ 1804 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1808 ],
- "I2": [ 1802 ],
- "I3": [ 1667 ],
- "O": [ 1807 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1635 ],
- "O": [ 1806 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1809 ],
- "I1": [ 1810 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1811 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1700 ],
- "I1": [ 1797 ],
- "I2": [ 1812 ],
- "I3": [ 1813 ],
- "O": [ 1810 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1814 ],
- "I2": [ 1802 ],
- "I3": [ 1671 ],
- "O": [ 1813 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1639 ],
- "O": [ 1812 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1815 ],
- "I1": [ 1816 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1817 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1703 ],
- "I1": [ 1797 ],
- "I2": [ 1818 ],
- "I3": [ 1819 ],
- "O": [ 1816 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1820 ],
- "I2": [ 1802 ],
- "I3": [ 1675 ],
- "O": [ 1819 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1643 ],
- "O": [ 1818 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1821 ],
- "I1": [ 1822 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1823 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1706 ],
- "I1": [ 1797 ],
- "I2": [ 1824 ],
- "I3": [ 1825 ],
- "O": [ 1822 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1826 ],
- "I2": [ 1802 ],
- "I3": [ 1679 ],
- "O": [ 1825 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1647 ],
- "O": [ 1824 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1827 ],
- "I1": [ 1828 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1829 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1709 ],
- "I1": [ 1797 ],
- "I2": [ 1830 ],
- "I3": [ 1831 ],
- "O": [ 1828 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1832 ],
- "I2": [ 1802 ],
- "I3": [ 1683 ],
- "O": [ 1831 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1651 ],
- "O": [ 1830 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1833 ],
- "I1": [ 1834 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1835 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1712 ],
- "I1": [ 1797 ],
- "I2": [ 1836 ],
- "I3": [ 1837 ],
- "O": [ 1834 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1838 ],
- "I2": [ 1802 ],
- "I3": [ 1687 ],
- "O": [ 1837 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1655 ],
- "O": [ 1836 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1839 ],
- "I1": [ 1840 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 1841 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1715 ],
- "I1": [ 1797 ],
- "I2": [ 1842 ],
- "I3": [ "0" ],
- "O": [ 1840 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ 427 ],
- "I3": [ 1659 ],
- "O": [ 1842 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1843 ],
- "I2": [ 1802 ],
- "I3": [ 1691 ],
- "O": [ 1839 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 1630 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1844 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 1634 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1845 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1638 ],
- "I1": [ 1846 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1847 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1642 ],
- "I1": [ 1848 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1849 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1646 ],
- "I1": [ 1850 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1851 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1650 ],
- "I1": [ 1852 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1853 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1654 ],
- "I1": [ 1854 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1855 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1658 ],
- "I1": [ 1856 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1857 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1662 ],
- "I1": [ 1858 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1859 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1666 ],
- "I1": [ 1860 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1861 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1670 ],
- "I1": [ 1862 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1863 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1674 ],
- "I1": [ 1864 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1865 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1678 ],
- "I1": [ 1866 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1867 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1682 ],
- "I1": [ 1868 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1869 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1686 ],
- "I1": [ 1870 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1871 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1690 ],
- "I1": [ 1872 ],
- "I2": [ 372 ],
- "I3": [ "0" ],
- "O": [ 1873 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1874 ],
- "I1": [ 382 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1875 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1876 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1877 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1878 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1879 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1880 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1881 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1882 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1883 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1884 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1885 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1886 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1887 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1888 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1889 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1890 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1891 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1892 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1893 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1894 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1895 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1896 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1897 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1898 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1899 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1900 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1901 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1902 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1903 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1904 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1905 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1906 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1907 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1908 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1909 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1910 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1911 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1912 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1913 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1914 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1915 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1916 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1917 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1918 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1919 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1920 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1921 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1922 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1923 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1924 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1925 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1926 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1927 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1928 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1929 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 1930 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1931 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1932 ],
- "I1": [ 366 ],
- "I2": [ 378 ],
- "I3": [ "0" ],
- "O": [ 1933 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 49 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1934 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 1935 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1936 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 1937 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1938 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 1939 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1940 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 1941 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1942 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 1943 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1944 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 1945 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1946 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 985 ],
- "I1": [ 1947 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1948 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 1949 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1947 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 1950 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1951 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 990 ],
- "I1": [ 336 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1950 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1027 ],
- "I1": [ 1952 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1953 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1018 ],
- "I1": [ 1954 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1952 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 1955 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1956 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1041 ],
- "I1": [ 1957 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1955 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1053 ],
- "I1": [ 1958 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1959 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1068 ],
- "I1": [ 1960 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1958 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1089 ],
- "I1": [ 1961 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1962 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1085 ],
- "I1": [ 1963 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1961 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1110 ],
- "I1": [ 1964 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1965 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1106 ],
- "I1": [ 1966 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1964 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1125 ],
- "I1": [ 1967 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1968 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1131 ],
- "I1": [ 1969 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1967 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 1970 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1971 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 981 ],
- "I1": [ 1972 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1970 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1006 ],
- "I1": [ 1973 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1974 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 1975 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1973 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1023 ],
- "I1": [ 1976 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1977 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1020 ],
- "I1": [ 1978 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1976 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 1979 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1980 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1038 ],
- "I1": [ 1981 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1979 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1982 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1983 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1063 ],
- "I1": [ 1984 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1982 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1081 ],
- "I1": [ 1985 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1986 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1080 ],
- "I1": [ 1987 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1985 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1104 ],
- "I1": [ 1988 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1989 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 1990 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1988 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1127 ],
- "I1": [ 1991 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1992 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 1993 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1991 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 966 ],
- "I1": [ 1994 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1995 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 1996 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1994 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1005 ],
- "I1": [ 1997 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 1998 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1000 ],
- "I1": [ 1999 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 1997 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1017 ],
- "I1": [ 2000 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2001 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1025 ],
- "I1": [ 2002 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2000 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1048 ],
- "I1": [ 2003 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2004 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1032 ],
- "I1": [ 2005 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2003 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1066 ],
- "I1": [ 2006 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2007 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1060 ],
- "I1": [ 2008 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2006 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 2009 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2010 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1087 ],
- "I1": [ 2011 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2009 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1108 ],
- "I1": [ 2012 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2013 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1098 ],
- "I1": [ 2014 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2012 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1124 ],
- "I1": [ 2015 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2016 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1115 ],
- "I1": [ 2017 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2015 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 980 ],
- "I1": [ 2018 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2019 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 979 ],
- "I1": [ 2020 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2018 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 993 ],
- "I1": [ 2021 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2022 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1003 ],
- "I1": [ 2023 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2021 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 2024 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2025 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1026 ],
- "I1": [ 2026 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2024 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1042 ],
- "I1": [ 2027 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2028 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1035 ],
- "I1": [ 2029 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2027 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1065 ],
- "I1": [ 2030 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2031 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1062 ],
- "I1": [ 2032 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2030 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1088 ],
- "I1": [ 2033 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2034 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 2035 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2033 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 2036 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2037 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1105 ],
- "I1": [ 2038 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2036 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1120 ],
- "I1": [ 2039 ],
- "I2": [ 355 ],
- "I3": [ "0" ],
- "O": [ 2040 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 2041 ],
- "I2": [ 302 ],
- "I3": [ "0" ],
- "O": [ 2039 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2042 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2043 ],
- "I1": [ 389 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 2044 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 109 ],
- "I2": [ 2045 ],
- "I3": [ "0" ],
- "O": [ 2046 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 745 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 2047 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 109 ],
- "I2": [ 2048 ],
- "I3": [ "0" ],
- "O": [ 2049 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 109 ],
- "I2": [ 2050 ],
- "I3": [ "0" ],
- "O": [ 2051 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 109 ],
- "I2": [ 2052 ],
- "I3": [ "0" ],
- "O": [ 2053 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 109 ],
- "I2": [ 2054 ],
- "I3": [ "0" ],
- "O": [ 2055 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 109 ],
- "I2": [ 2056 ],
- "I3": [ "0" ],
- "O": [ 2057 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 109 ],
- "I2": [ 2058 ],
- "I3": [ "0" ],
- "O": [ 2059 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2060 ],
- "I1": [ 2061 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2063 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 650 ],
- "I1": [ 726 ],
- "I2": [ 107 ],
- "I3": [ "0" ],
- "O": [ 2062 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2064 ],
- "I1": [ 2065 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2066 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2067 ],
- "I1": [ 2068 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2069 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2070 ],
- "I1": [ 2071 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2072 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2073 ],
- "I1": [ 2074 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2075 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2076 ],
- "I1": [ 2077 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2078 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2079 ],
- "I1": [ 2080 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2081 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2082 ],
- "I1": [ 2083 ],
- "I2": [ 2062 ],
- "I3": [ "0" ],
- "O": [ 2084 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2085 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2086 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 691 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2087 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2088 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2089 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2090 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2091 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2092 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2093 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2094 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2095 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2096 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2097 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2098 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2099 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2101 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2103 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2104 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2105 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2106 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2107 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2108 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2109 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2110 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2111 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2112 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2113 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 2114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2115 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 107 ],
- "I2": [ 9 ],
- "I3": [ "0" ],
- "O": [ 2116 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 107 ],
- "I2": [ 10 ],
- "I3": [ "0" ],
- "O": [ 2117 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2118 ],
- "I1": [ 2119 ],
- "I2": [ 2120 ],
- "I3": [ "0" ],
- "O": [ 2121 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 352 ],
- "I2": [ 1290 ],
- "I3": [ 1316 ],
- "O": [ 2120 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2120 ],
- "I1": [ 2118 ],
- "I2": [ 2122 ],
- "I3": [ "0" ],
- "O": [ 2123 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1321 ],
- "I1": [ 2124 ],
- "I2": [ 2120 ],
- "I3": [ "0" ],
- "O": [ 2125 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2126 ],
- "I1": [ 2127 ],
- "I2": [ 2128 ],
- "I3": [ "0" ],
- "O": [ 2129 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 720 ],
- "I1": [ 708 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2128 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2128 ],
- "I1": [ 2126 ],
- "I2": [ 2130 ],
- "I3": [ "0" ],
- "O": [ 2131 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 721 ],
- "I1": [ 2132 ],
- "I2": [ 2128 ],
- "I3": [ "0" ],
- "O": [ 2133 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 187 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 2134 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 153 ],
- "I1": [ 154 ],
- "I2": [ 155 ],
- "I3": [ "0" ],
- "O": [ 2135 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 189 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 2136 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 184 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 2137 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 2138 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 2139 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1297 ],
- "I1": [ 272 ],
- "I2": [ 595 ],
- "I3": [ "0" ],
- "O": [ 2140 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 2141 ],
- "I2": [ 2142 ],
- "I3": [ "0" ],
- "O": [ 2143 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1297 ],
- "I1": [ 272 ],
- "I2": [ 595 ],
- "I3": [ "0" ],
- "O": [ 2142 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2142 ],
- "I1": [ 188 ],
- "I2": [ 156 ],
- "I3": [ "0" ],
- "O": [ 2144 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 191 ],
- "I1": [ 2145 ],
- "I2": [ 2142 ],
- "I3": [ "0" ],
- "O": [ 2146 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 2147 ],
- "I2": [ 2142 ],
- "I3": [ "0" ],
- "O": [ 2148 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1298 ],
- "I1": [ 2149 ],
- "I2": [ 2142 ],
- "I3": [ "0" ],
- "O": [ 2150 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 841 ],
- "I2": [ 849 ],
- "I3": [ "0" ],
- "O": [ 2151 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3788
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2152 ],
- "I1": [ 2153 ],
- "I2": [ 2154 ],
- "I3": [ 514 ],
- "O": [ 2155 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11264
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2152 ],
- "I1": [ 2154 ],
- "I2": [ 2153 ],
- "I3": [ 514 ],
- "O": [ 2156 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2135 ],
- "I1": [ 2134 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2157 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2135 ],
- "I1": [ 2136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2158 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2137 ],
- "I1": [ 2136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2159 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2137 ],
- "I1": [ 2139 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2160 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2130 ],
- "I1": [ 2126 ],
- "I2": [ 2128 ],
- "I3": [ "0" ],
- "O": [ 2161 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2126 ],
- "I1": [ 2128 ],
- "I2": [ 2130 ],
- "I3": [ "0" ],
- "O": [ 2162 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2163 ],
- "I1": [ 2164 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2165 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 2167 ],
- "I2": [ 2168 ],
- "I3": [ 2169 ],
- "O": [ 2163 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2170 ],
- "I1": [ 2171 ],
- "I2": [ 2172 ],
- "I3": [ 2173 ],
- "O": [ 2164 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2174 ],
- "I1": [ 2175 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2176 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2177 ],
- "I1": [ 2178 ],
- "I2": [ 2179 ],
- "I3": [ 2180 ],
- "O": [ 2174 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2181 ],
- "I1": [ 2171 ],
- "I2": [ 2182 ],
- "I3": [ 2183 ],
- "O": [ 2175 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2184 ],
- "I1": [ 2185 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2186 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2187 ],
- "I1": [ 2188 ],
- "I2": [ 2189 ],
- "I3": [ 2190 ],
- "O": [ 2184 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2191 ],
- "I1": [ 2171 ],
- "I2": [ 2192 ],
- "I3": [ 2193 ],
- "O": [ 2185 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2194 ],
- "I1": [ 2195 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2196 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2197 ],
- "I1": [ 2198 ],
- "I2": [ 2199 ],
- "I3": [ 2200 ],
- "O": [ 2194 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2201 ],
- "I1": [ 2171 ],
- "I2": [ 2202 ],
- "I3": [ 2203 ],
- "O": [ 2195 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2204 ],
- "I1": [ 2205 ],
- "I2": [ 2206 ],
- "I3": [ 2207 ],
- "O": [ 2208 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2209 ],
- "I1": [ 2171 ],
- "I2": [ 2210 ],
- "I3": [ 2211 ],
- "O": [ 2207 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2212 ],
- "I1": [ 2213 ],
- "I2": [ 2214 ],
- "I3": [ 2215 ],
- "O": [ 2216 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2217 ],
- "I1": [ 2171 ],
- "I2": [ 2218 ],
- "I3": [ 2219 ],
- "O": [ 2215 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2220 ],
- "I1": [ 2221 ],
- "I2": [ 2222 ],
- "I3": [ 2223 ],
- "O": [ 2224 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2225 ],
- "I1": [ 2171 ],
- "I2": [ 2226 ],
- "I3": [ 2227 ],
- "O": [ 2223 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2228 ],
- "I1": [ 2229 ],
- "I2": [ 2230 ],
- "I3": [ 2231 ],
- "O": [ 2232 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2233 ],
- "I1": [ 2171 ],
- "I2": [ 2234 ],
- "I3": [ 2235 ],
- "O": [ 2231 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2126 ],
- "I1": [ 2130 ],
- "I2": [ 2128 ],
- "I3": [ "0" ],
- "O": [ 2236 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 862 ],
- "I1": [ 860 ],
- "I2": [ 588 ],
- "I3": [ "0" ],
- "O": [ 2237 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 862 ],
- "I1": [ 860 ],
- "I2": [ 861 ],
- "I3": [ "0" ],
- "O": [ 2238 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3056
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2239 ],
- "I1": [ 501 ],
- "I2": [ 1560 ],
- "I3": [ 500 ],
- "O": [ 2240 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 513 ],
- "I2": [ 827 ],
- "I3": [ "0" ],
- "O": [ 2241 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2129 ],
- "I1": [ 2131 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2242 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2131 ],
- "I1": [ 2133 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2243 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2143 ],
- "I1": [ 2144 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2244 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2144 ],
- "I1": [ 2146 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2245 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2146 ],
- "I1": [ 2148 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2246 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2148 ],
- "I1": [ 2150 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2247 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2121 ],
- "I1": [ 2123 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2248 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2123 ],
- "I1": [ 2125 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2249 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2128 ],
- "I1": [ 2126 ],
- "I2": [ 2130 ],
- "I3": [ "0" ],
- "O": [ 2250 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2251 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 466 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2252 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 467 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2253 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2254 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2255 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2256 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 471 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2257 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2258 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2259 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 740 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2260 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2261 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2262 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2263 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2264 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2265 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2266 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 29 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2267 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2268 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 23 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2269 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2270 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2271 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2272 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2273 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2274 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2275 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2276 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2277 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2278 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2279 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2280 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2281 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 660 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2282 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1313 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2283 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2284 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1353 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2285 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1360 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2286 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1367 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2287 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2288 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2289 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2290 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1312 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2291 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2292 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2293 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2294 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1355 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2295 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1362 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2296 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2297 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 807 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2298 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 808 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2299 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1385 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2300 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2301 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2302 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2303 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2304 ],
- "I1": [ 2305 ],
- "I2": [ 159 ],
- "I3": [ "0" ],
- "O": [ 2306 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2307 ],
- "I1": [ 2308 ],
- "I2": [ 159 ],
- "I3": [ 10 ],
- "O": [ 2309 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61200
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 160 ],
- "I2": [ 9 ],
- "I3": [ 163 ],
- "O": [ 2310 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46335
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 2309 ],
- "I2": [ 2306 ],
- "I3": [ 2310 ],
- "O": [ 2311 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 2311 ],
- "I2": [ 175 ],
- "I3": [ 76 ],
- "O": [ 153 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45067
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 1378 ],
- "I2": [ 1367 ],
- "I3": [ 801 ],
- "O": [ 2312 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1313 ],
- "I1": [ 2313 ],
- "I2": [ 2312 ],
- "I3": [ 712 ],
- "O": [ 2314 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45067
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ 809 ],
- "I2": [ 1312 ],
- "I3": [ 2315 ],
- "O": [ 2316 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61557
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 2317 ],
- "I2": [ 640 ],
- "I3": [ 641 ],
- "O": [ 2318 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 640 ],
- "I2": [ 2318 ],
- "I3": [ 639 ],
- "O": [ 2319 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3890
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 588 ],
- "I1": [ 821 ],
- "I2": [ 144 ],
- "I3": [ 824 ],
- "O": [ 2320 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52231
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 823 ],
- "I1": [ 2320 ],
- "I2": [ 815 ],
- "I3": [ 144 ],
- "O": [ 2321 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2321 ],
- "I1": [ 147 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2322 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14272
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ 588 ],
- "I2": [ 512 ],
- "I3": [ 515 ],
- "O": [ 2323 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 588 ],
- "I2": [ 2323 ],
- "I3": [ "0" ],
- "O": [ 2324 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 561 ],
- "I1": [ 564 ],
- "I2": [ 139 ],
- "I3": [ 138 ],
- "O": [ 2325 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2803
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ 834 ],
- "I2": [ 139 ],
- "I3": [ 140 ],
- "O": [ 2326 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2326 ],
- "I1": [ 2325 ],
- "I2": [ 838 ],
- "I3": [ "0" ],
- "O": [ 2327 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 1297 ],
- "I2": [ 2328 ],
- "I3": [ 292 ],
- "O": [ 2329 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3824
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2330 ],
- "I1": [ 500 ],
- "I2": [ 1558 ],
- "I3": [ 501 ],
- "O": [ 2331 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1554 ],
- "I1": [ 1556 ],
- "I2": [ 1564 ],
- "I3": [ 1562 ],
- "O": [ 2332 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2331 ],
- "I1": [ 2332 ],
- "I2": [ 2333 ],
- "I3": [ 2240 ],
- "O": [ 2334 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 804 ],
- "I2": [ 715 ],
- "I3": [ 2316 ],
- "O": [ 2335 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1353 ],
- "I1": [ 2336 ],
- "I2": [ 1360 ],
- "I3": [ 2337 ],
- "O": [ 2338 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2335 ],
- "I2": [ 2314 ],
- "I3": [ 2339 ],
- "O": [ 2340 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3587
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2340 ],
- "I1": [ 109 ],
- "I2": [ 720 ],
- "I3": [ 107 ],
- "O": [ 707 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1385 ],
- "I1": [ 711 ],
- "I2": [ 2301 ],
- "I3": [ 2315 ],
- "O": [ 2341 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16640
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 802 ],
- "I1": [ 2313 ],
- "I2": [ 2292 ],
- "I3": [ 2341 ],
- "O": [ 2342 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1355 ],
- "I1": [ 2336 ],
- "I2": [ 1362 ],
- "I3": [ 2337 ],
- "O": [ 2343 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 806 ],
- "I2": [ 2342 ],
- "I3": [ 811 ],
- "O": [ 799 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2344 ],
- "I1": [ 2301 ],
- "I2": [ 208 ],
- "I3": [ 209 ],
- "O": [ 2345 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 2346 ],
- "I2": [ 2345 ],
- "I3": [ 300 ],
- "O": [ 2347 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1332 ],
- "I2": [ 216 ],
- "I3": [ "0" ],
- "O": [ 2348 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 608 ],
- "I1": [ 2292 ],
- "I2": [ 2329 ],
- "I3": [ 2347 ],
- "O": [ 2349 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 778
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2349 ],
- "I1": [ 2348 ],
- "I2": [ 1317 ],
- "I3": [ 276 ],
- "O": [ 2350 ]
- }
- },
- "$abc$25143$auto$blifparse.cc:492:parse_blif$26413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2350 ],
- "I1": [ 1292 ],
- "I2": [ 1308 ],
- "I3": [ 597 ],
- "O": [ 2351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 2352 ],
- "I0": [ 2313 ],
- "I1": [ 2293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2352 ],
- "CO": [ 2353 ],
- "I0": [ 804 ],
- "I1": [ 2294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2353 ],
- "CO": [ 2354 ],
- "I0": [ 2336 ],
- "I1": [ 2295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2354 ],
- "CO": [ 2355 ],
- "I0": [ 2337 ],
- "I1": [ 2296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2355 ],
- "CO": [ 2356 ],
- "I0": [ 801 ],
- "I1": [ 2297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2356 ],
- "CO": [ 2357 ],
- "I0": [ 714 ],
- "I1": [ 2298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2357 ],
- "CO": [ 2358 ],
- "I0": [ 809 ],
- "I1": [ 2299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2358 ],
- "CO": [ 2359 ],
- "I0": [ 711 ],
- "I1": [ 2300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2359 ],
- "CO": [ 810 ],
- "I0": [ 2315 ],
- "I1": [ 2302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 2360 ],
- "I0": [ 2313 ],
- "I1": [ 2283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2360 ],
- "CO": [ 2361 ],
- "I0": [ 804 ],
- "I1": [ 2284 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2361 ],
- "CO": [ 2362 ],
- "I0": [ 2336 ],
- "I1": [ 2285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2362 ],
- "CO": [ 2363 ],
- "I0": [ 2337 ],
- "I1": [ 2286 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2363 ],
- "CO": [ 2364 ],
- "I0": [ 801 ],
- "I1": [ 2287 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2364 ],
- "CO": [ 2365 ],
- "I0": [ 714 ],
- "I1": [ 2288 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2365 ],
- "CO": [ 2366 ],
- "I0": [ 809 ],
- "I1": [ 2289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2366 ],
- "CO": [ 2367 ],
- "I0": [ 711 ],
- "I1": [ 2290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2367 ],
- "CO": [ 2339 ],
- "I0": [ 2315 ],
- "I1": [ 2291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 731 ],
- "I3": [ "0" ],
- "O": [ 2368 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 731 ],
- "CO": [ 2369 ],
- "I0": [ "0" ],
- "I1": [ 2275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2370 ],
- "I3": [ 2369 ],
- "O": [ 2371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2369 ],
- "CO": [ 2372 ],
- "I0": [ "0" ],
- "I1": [ 2370 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2373 ],
- "I3": [ 2372 ],
- "O": [ 2374 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2372 ],
- "CO": [ 2375 ],
- "I0": [ "0" ],
- "I1": [ 2373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2376 ],
- "I3": [ 2375 ],
- "O": [ 2377 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2375 ],
- "CO": [ 2378 ],
- "I0": [ "0" ],
- "I1": [ 2376 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2379 ],
- "I3": [ 2378 ],
- "O": [ 2380 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2378 ],
- "CO": [ 2381 ],
- "I0": [ "0" ],
- "I1": [ 2379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2382 ],
- "I3": [ 2381 ],
- "O": [ 2383 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2381 ],
- "CO": [ 2384 ],
- "I0": [ "0" ],
- "I1": [ 2382 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2385 ],
- "I3": [ 2384 ],
- "O": [ 2386 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 734 ],
- "I3": [ "0" ],
- "O": [ 2387 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 734 ],
- "CO": [ 2388 ],
- "I0": [ "0" ],
- "I1": [ 2277 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2389 ],
- "I3": [ 2388 ],
- "O": [ 2390 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2388 ],
- "CO": [ 2391 ],
- "I0": [ "0" ],
- "I1": [ 2389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2392 ],
- "I3": [ 2391 ],
- "O": [ 2393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2391 ],
- "CO": [ 2394 ],
- "I0": [ "0" ],
- "I1": [ 2392 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2395 ],
- "I3": [ 2394 ],
- "O": [ 2396 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2394 ],
- "CO": [ 2397 ],
- "I0": [ "0" ],
- "I1": [ 2395 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2398 ],
- "I3": [ 2397 ],
- "O": [ 2399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2397 ],
- "CO": [ 2400 ],
- "I0": [ "0" ],
- "I1": [ 2398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2401 ],
- "I3": [ 2400 ],
- "O": [ 2402 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2400 ],
- "CO": [ 2403 ],
- "I0": [ "0" ],
- "I1": [ 2401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2404 ],
- "I3": [ 2403 ],
- "O": [ 2405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 659 ],
- "I3": [ "0" ],
- "O": [ 2061 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 659 ],
- "CO": [ 2406 ],
- "I0": [ "0" ],
- "I1": [ 660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 661 ],
- "I3": [ 2406 ],
- "O": [ 2065 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2406 ],
- "CO": [ 2407 ],
- "I0": [ "0" ],
- "I1": [ 661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 662 ],
- "I3": [ 2407 ],
- "O": [ 2068 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2407 ],
- "CO": [ 2408 ],
- "I0": [ "0" ],
- "I1": [ 662 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 655 ],
- "I3": [ 2408 ],
- "O": [ 2071 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2408 ],
- "CO": [ 2409 ],
- "I0": [ "0" ],
- "I1": [ 655 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 656 ],
- "I3": [ 2409 ],
- "O": [ 2074 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2409 ],
- "CO": [ 2410 ],
- "I0": [ "0" ],
- "I1": [ 656 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 657 ],
- "I3": [ 2410 ],
- "O": [ 2077 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2410 ],
- "CO": [ 2411 ],
- "I0": [ "0" ],
- "I1": [ 657 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 658 ],
- "I3": [ 2411 ],
- "O": [ 2080 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2411 ],
- "CO": [ 2412 ],
- "I0": [ "0" ],
- "I1": [ 658 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 652 ],
- "I3": [ 2412 ],
- "O": [ 2083 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 219 ],
- "I3": [ "0" ],
- "O": [ 2413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 227 ],
- "I3": [ 2414 ],
- "O": [ 2415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2414 ],
- "CO": [ 2416 ],
- "I0": [ "0" ],
- "I1": [ 227 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 228 ],
- "I3": [ 2416 ],
- "O": [ 2417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2416 ],
- "CO": [ 2418 ],
- "I0": [ "0" ],
- "I1": [ 228 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 229 ],
- "I3": [ 2418 ],
- "O": [ 2419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2418 ],
- "CO": [ 2420 ],
- "I0": [ "0" ],
- "I1": [ 229 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 230 ],
- "I3": [ 2420 ],
- "O": [ 2421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2420 ],
- "CO": [ 2422 ],
- "I0": [ "0" ],
- "I1": [ 230 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 239 ],
- "I3": [ 2422 ],
- "O": [ 2423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2422 ],
- "CO": [ 2424 ],
- "I0": [ "0" ],
- "I1": [ 239 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 240 ],
- "I3": [ 2424 ],
- "O": [ 2425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2424 ],
- "CO": [ 2426 ],
- "I0": [ "0" ],
- "I1": [ 240 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 260 ],
- "I3": [ 2426 ],
- "O": [ 2427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2426 ],
- "CO": [ 2428 ],
- "I0": [ "0" ],
- "I1": [ 260 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 261 ],
- "I3": [ 2428 ],
- "O": [ 2429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2428 ],
- "CO": [ 2430 ],
- "I0": [ "0" ],
- "I1": [ 261 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 254 ],
- "I3": [ 2430 ],
- "O": [ 2431 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2430 ],
- "CO": [ 2432 ],
- "I0": [ "0" ],
- "I1": [ 254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 255 ],
- "I3": [ 2432 ],
- "O": [ 2433 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2432 ],
- "CO": [ 2434 ],
- "I0": [ "0" ],
- "I1": [ 255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 219 ],
- "CO": [ 2435 ],
- "I0": [ "0" ],
- "I1": [ 251 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 256 ],
- "I3": [ 2434 ],
- "O": [ 2436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2434 ],
- "CO": [ 2437 ],
- "I0": [ "0" ],
- "I1": [ 256 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 257 ],
- "I3": [ 2437 ],
- "O": [ 2438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2437 ],
- "CO": [ 2439 ],
- "I0": [ "0" ],
- "I1": [ 257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 231 ],
- "I3": [ 2439 ],
- "O": [ 2440 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2439 ],
- "CO": [ 2441 ],
- "I0": [ "0" ],
- "I1": [ 231 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 232 ],
- "I3": [ 2441 ],
- "O": [ 2442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2441 ],
- "CO": [ 2443 ],
- "I0": [ "0" ],
- "I1": [ 232 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 252 ],
- "I3": [ 2443 ],
- "O": [ 2444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2443 ],
- "CO": [ 2445 ],
- "I0": [ "0" ],
- "I1": [ 252 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 253 ],
- "I3": [ 2445 ],
- "O": [ 2446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2445 ],
- "CO": [ 2447 ],
- "I0": [ "0" ],
- "I1": [ 253 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 247 ],
- "I3": [ 2447 ],
- "O": [ 2448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2447 ],
- "CO": [ 2449 ],
- "I0": [ "0" ],
- "I1": [ 247 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 248 ],
- "I3": [ 2449 ],
- "O": [ 2450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2449 ],
- "CO": [ 2451 ],
- "I0": [ "0" ],
- "I1": [ 248 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 249 ],
- "I3": [ 2451 ],
- "O": [ 2452 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2451 ],
- "CO": [ 2453 ],
- "I0": [ "0" ],
- "I1": [ 249 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 250 ],
- "I3": [ 2453 ],
- "O": [ 2454 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2453 ],
- "CO": [ 2455 ],
- "I0": [ "0" ],
- "I1": [ 250 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 241 ],
- "I3": [ 2435 ],
- "O": [ 2456 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2435 ],
- "CO": [ 2457 ],
- "I0": [ "0" ],
- "I1": [ 241 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 258 ],
- "I3": [ 2455 ],
- "O": [ 2458 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2455 ],
- "CO": [ 2459 ],
- "I0": [ "0" ],
- "I1": [ 258 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 259 ],
- "I3": [ 2459 ],
- "O": [ 2460 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 242 ],
- "I3": [ 2457 ],
- "O": [ 2461 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2457 ],
- "CO": [ 2462 ],
- "I0": [ "0" ],
- "I1": [ 242 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 235 ],
- "I3": [ 2462 ],
- "O": [ 2463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2462 ],
- "CO": [ 2464 ],
- "I0": [ "0" ],
- "I1": [ 235 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 236 ],
- "I3": [ 2464 ],
- "O": [ 2465 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2464 ],
- "CO": [ 2466 ],
- "I0": [ "0" ],
- "I1": [ 236 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 237 ],
- "I3": [ 2466 ],
- "O": [ 2467 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2466 ],
- "CO": [ 2468 ],
- "I0": [ "0" ],
- "I1": [ 237 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 238 ],
- "I3": [ 2468 ],
- "O": [ 2469 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2468 ],
- "CO": [ 2470 ],
- "I0": [ "0" ],
- "I1": [ 238 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 233 ],
- "I3": [ 2470 ],
- "O": [ 2471 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2470 ],
- "CO": [ 2472 ],
- "I0": [ "0" ],
- "I1": [ 233 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 234 ],
- "I3": [ 2472 ],
- "O": [ 2473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2472 ],
- "CO": [ 2414 ],
- "I0": [ "0" ],
- "I1": [ 234 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 1932 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 47 ],
- "CO": [ 2474 ],
- "I0": [ "0" ],
- "I1": [ 49 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 50 ],
- "I3": [ 2474 ],
- "O": [ 1935 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2474 ],
- "CO": [ 2475 ],
- "I0": [ "0" ],
- "I1": [ 50 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 51 ],
- "I3": [ 2475 ],
- "O": [ 1937 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2475 ],
- "CO": [ 2476 ],
- "I0": [ "0" ],
- "I1": [ 51 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 54 ],
- "I3": [ 2476 ],
- "O": [ 1939 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2476 ],
- "CO": [ 2477 ],
- "I0": [ "0" ],
- "I1": [ 54 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 52 ],
- "I3": [ 2477 ],
- "O": [ 1941 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2477 ],
- "CO": [ 2478 ],
- "I0": [ "0" ],
- "I1": [ 52 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 53 ],
- "I3": [ 2478 ],
- "O": [ 1943 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2478 ],
- "CO": [ 2479 ],
- "I0": [ "0" ],
- "I1": [ 53 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 55 ],
- "I3": [ 2479 ],
- "O": [ 1945 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3981.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 428 ],
- "I3": [ "0" ],
- "O": [ 2480 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3981.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 428 ],
- "CO": [ 2481 ],
- "I0": [ "0" ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3981.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 427 ],
- "I3": [ 2481 ],
- "O": [ 2482 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3984.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 441 ],
- "I3": [ "0" ],
- "O": [ 2483 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3984.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 441 ],
- "CO": [ 2484 ],
- "I0": [ "0" ],
- "I1": [ 438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3984.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 443 ],
- "I3": [ 2484 ],
- "O": [ 2485 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3987.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 394 ],
- "I3": [ "0" ],
- "O": [ 1787 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3987.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 394 ],
- "CO": [ 2486 ],
- "I0": [ "0" ],
- "I1": [ 391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3987.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 392 ],
- "I3": [ 2486 ],
- "O": [ 1790 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3987.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2486 ],
- "CO": [ 2487 ],
- "I0": [ "0" ],
- "I1": [ 392 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3987.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 393 ],
- "I3": [ 2487 ],
- "O": [ 1792 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2488 ],
- "I3": [ 2489 ],
- "O": [ 1888 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2489 ],
- "CO": [ 2490 ],
- "I0": [ "0" ],
- "I1": [ 2488 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2491 ],
- "I3": [ 2490 ],
- "O": [ 1890 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2490 ],
- "CO": [ 2492 ],
- "I0": [ "1" ],
- "I1": [ 2491 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2493 ],
- "I3": [ 2492 ],
- "O": [ 1892 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2492 ],
- "CO": [ 2494 ],
- "I0": [ "1" ],
- "I1": [ 2493 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2495 ],
- "I3": [ 2494 ],
- "O": [ 1894 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2494 ],
- "CO": [ 2496 ],
- "I0": [ "0" ],
- "I1": [ 2495 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2497 ],
- "I3": [ 2496 ],
- "O": [ 1896 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2496 ],
- "CO": [ 2498 ],
- "I0": [ "1" ],
- "I1": [ 2497 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2499 ],
- "I3": [ 2498 ],
- "O": [ 1898 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2498 ],
- "CO": [ 2500 ],
- "I0": [ "1" ],
- "I1": [ 2499 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2501 ],
- "I3": [ 2500 ],
- "O": [ 1900 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2500 ],
- "CO": [ 2502 ],
- "I0": [ "1" ],
- "I1": [ 2501 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2503 ],
- "I3": [ 2502 ],
- "O": [ 1902 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2502 ],
- "CO": [ 2504 ],
- "I0": [ "1" ],
- "I1": [ 2503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2505 ],
- "I3": [ 2504 ],
- "O": [ 1904 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2504 ],
- "CO": [ 2506 ],
- "I0": [ "1" ],
- "I1": [ 2505 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2507 ],
- "I3": [ 2506 ],
- "O": [ 1906 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2506 ],
- "CO": [ 2508 ],
- "I0": [ "0" ],
- "I1": [ 2507 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2509 ],
- "I3": [ 2508 ],
- "O": [ 1908 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2508 ],
- "CO": [ 2510 ],
- "I0": [ "1" ],
- "I1": [ 2509 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2511 ],
- "I3": [ 2510 ],
- "O": [ 1910 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2510 ],
- "CO": [ 2512 ],
- "I0": [ "0" ],
- "I1": [ 2511 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2513 ],
- "I3": [ 2512 ],
- "O": [ 1912 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2512 ],
- "CO": [ 2514 ],
- "I0": [ "1" ],
- "I1": [ 2513 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2515 ],
- "I3": [ 2514 ],
- "O": [ 1914 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2514 ],
- "CO": [ 2516 ],
- "I0": [ "1" ],
- "I1": [ 2515 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2517 ],
- "I3": [ 2516 ],
- "O": [ 1916 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2516 ],
- "CO": [ 2518 ],
- "I0": [ "1" ],
- "I1": [ 2517 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2519 ],
- "I3": [ 2518 ],
- "O": [ 1918 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2518 ],
- "CO": [ 2520 ],
- "I0": [ "0" ],
- "I1": [ 2519 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2521 ],
- "I3": [ 2520 ],
- "O": [ 1920 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2520 ],
- "CO": [ 2522 ],
- "I0": [ "0" ],
- "I1": [ 2521 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2523 ],
- "I3": [ 2522 ],
- "O": [ 1922 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2522 ],
- "CO": [ 2524 ],
- "I0": [ "0" ],
- "I1": [ 2523 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2525 ],
- "I3": [ 2524 ],
- "O": [ 1924 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2524 ],
- "CO": [ 2526 ],
- "I0": [ "0" ],
- "I1": [ 2525 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2527 ],
- "I3": [ 2526 ],
- "O": [ 1926 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2526 ],
- "CO": [ 2528 ],
- "I0": [ "0" ],
- "I1": [ 2527 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2529 ],
- "I3": [ 2528 ],
- "O": [ 1928 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2528 ],
- "CO": [ 2530 ],
- "I0": [ "0" ],
- "I1": [ 2529 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2531 ],
- "I3": [ 2530 ],
- "O": [ 1930 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[31].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2530 ],
- "CO": [ 2532 ],
- "I0": [ "0" ],
- "I1": [ 2531 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[32].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ 2532 ],
- "O": [ 1727 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 381 ],
- "CO": [ 2533 ],
- "I0": [ "0" ],
- "I1": [ 1874 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2534 ],
- "I3": [ 2533 ],
- "O": [ 1876 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2533 ],
- "CO": [ 2535 ],
- "I0": [ "1" ],
- "I1": [ 2534 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2536 ],
- "I3": [ 2535 ],
- "O": [ 1878 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2535 ],
- "CO": [ 2537 ],
- "I0": [ "1" ],
- "I1": [ 2536 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2538 ],
- "I3": [ 2537 ],
- "O": [ 1880 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2537 ],
- "CO": [ 2539 ],
- "I0": [ "1" ],
- "I1": [ 2538 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2540 ],
- "I3": [ 2539 ],
- "O": [ 1882 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2539 ],
- "CO": [ 2541 ],
- "I0": [ "1" ],
- "I1": [ 2540 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2542 ],
- "I3": [ 2541 ],
- "O": [ 1884 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2541 ],
- "CO": [ 2543 ],
- "I0": [ "1" ],
- "I1": [ 2542 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2544 ],
- "I3": [ 2543 ],
- "O": [ 1886 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2543 ],
- "CO": [ 2489 ],
- "I0": [ "1" ],
- "I1": [ 2544 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3993.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 406 ],
- "I3": [ "0" ],
- "O": [ 1720 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3993.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 406 ],
- "CO": [ 2545 ],
- "I0": [ "0" ],
- "I1": [ 404 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3993.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 405 ],
- "I3": [ 2545 ],
- "O": [ 1723 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3993.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2545 ],
- "CO": [ 2546 ],
- "I0": [ "0" ],
- "I1": [ 405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3993.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 407 ],
- "I3": [ 2546 ],
- "O": [ 1725 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2547 ],
- "I3": [ 2548 ],
- "O": [ 1743 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2548 ],
- "CO": [ 2549 ],
- "I0": [ "0" ],
- "I1": [ 2547 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2550 ],
- "I3": [ 2549 ],
- "O": [ 1745 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2549 ],
- "CO": [ 2551 ],
- "I0": [ "1" ],
- "I1": [ 2550 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2552 ],
- "I3": [ 2551 ],
- "O": [ 1747 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2551 ],
- "CO": [ 2553 ],
- "I0": [ "1" ],
- "I1": [ 2552 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2554 ],
- "I3": [ 2553 ],
- "O": [ 1749 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2553 ],
- "CO": [ 2555 ],
- "I0": [ "0" ],
- "I1": [ 2554 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2556 ],
- "I3": [ 2555 ],
- "O": [ 1751 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2555 ],
- "CO": [ 2557 ],
- "I0": [ "1" ],
- "I1": [ 2556 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2558 ],
- "I3": [ 2557 ],
- "O": [ 1753 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2557 ],
- "CO": [ 2559 ],
- "I0": [ "1" ],
- "I1": [ 2558 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2560 ],
- "I3": [ 2559 ],
- "O": [ 1755 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2559 ],
- "CO": [ 2561 ],
- "I0": [ "1" ],
- "I1": [ 2560 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2562 ],
- "I3": [ 2561 ],
- "O": [ 1757 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2561 ],
- "CO": [ 2563 ],
- "I0": [ "1" ],
- "I1": [ 2562 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2564 ],
- "I3": [ 2563 ],
- "O": [ 1759 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2563 ],
- "CO": [ 2565 ],
- "I0": [ "1" ],
- "I1": [ 2564 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2566 ],
- "I3": [ 2565 ],
- "O": [ 1761 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2565 ],
- "CO": [ 2567 ],
- "I0": [ "0" ],
- "I1": [ 2566 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2568 ],
- "I3": [ 2567 ],
- "O": [ 1763 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2567 ],
- "CO": [ 2569 ],
- "I0": [ "1" ],
- "I1": [ 2568 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2570 ],
- "I3": [ 2569 ],
- "O": [ 1765 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2569 ],
- "CO": [ 2571 ],
- "I0": [ "0" ],
- "I1": [ 2570 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2572 ],
- "I3": [ 2571 ],
- "O": [ 1767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2571 ],
- "CO": [ 2573 ],
- "I0": [ "1" ],
- "I1": [ 2572 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2574 ],
- "I3": [ 2573 ],
- "O": [ 1769 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2573 ],
- "CO": [ 2575 ],
- "I0": [ "1" ],
- "I1": [ 2574 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2576 ],
- "I3": [ 2575 ],
- "O": [ 1771 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2575 ],
- "CO": [ 2577 ],
- "I0": [ "1" ],
- "I1": [ 2576 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2578 ],
- "I3": [ 2577 ],
- "O": [ 1773 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2577 ],
- "CO": [ 2579 ],
- "I0": [ "0" ],
- "I1": [ 2578 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2580 ],
- "I3": [ 2579 ],
- "O": [ 1775 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2579 ],
- "CO": [ 2581 ],
- "I0": [ "0" ],
- "I1": [ 2580 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2582 ],
- "I3": [ 2581 ],
- "O": [ 1777 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2581 ],
- "CO": [ 2583 ],
- "I0": [ "0" ],
- "I1": [ 2582 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2584 ],
- "I3": [ 2583 ],
- "O": [ 1779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2583 ],
- "CO": [ 2585 ],
- "I0": [ "0" ],
- "I1": [ 2584 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2586 ],
- "I3": [ 2585 ],
- "O": [ 1781 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2585 ],
- "CO": [ 2587 ],
- "I0": [ "0" ],
- "I1": [ 2586 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2588 ],
- "I3": [ 2587 ],
- "O": [ 1783 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2587 ],
- "CO": [ 2589 ],
- "I0": [ "0" ],
- "I1": [ 2588 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2590 ],
- "I3": [ 2589 ],
- "O": [ 1785 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[31].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2589 ],
- "CO": [ 2591 ],
- "I0": [ "0" ],
- "I1": [ 2590 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[32].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ 2591 ],
- "O": [ 1518 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 410 ],
- "CO": [ 2592 ],
- "I0": [ "0" ],
- "I1": [ 1729 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2593 ],
- "I3": [ 2592 ],
- "O": [ 1731 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2592 ],
- "CO": [ 2594 ],
- "I0": [ "1" ],
- "I1": [ 2593 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2595 ],
- "I3": [ 2594 ],
- "O": [ 1733 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2594 ],
- "CO": [ 2596 ],
- "I0": [ "1" ],
- "I1": [ 2595 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2597 ],
- "I3": [ 2596 ],
- "O": [ 1735 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2596 ],
- "CO": [ 2598 ],
- "I0": [ "1" ],
- "I1": [ 2597 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2599 ],
- "I3": [ 2598 ],
- "O": [ 1737 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2598 ],
- "CO": [ 2600 ],
- "I0": [ "1" ],
- "I1": [ 2599 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2601 ],
- "I3": [ 2600 ],
- "O": [ 1739 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2600 ],
- "CO": [ 2602 ],
- "I0": [ "1" ],
- "I1": [ 2601 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2603 ],
- "I3": [ 2602 ],
- "O": [ 1741 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2602 ],
- "CO": [ 2548 ],
- "I0": [ "1" ],
- "I1": [ 2603 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 441 ],
- "I2": [ 2604 ],
- "I3": [ "0" ],
- "O": [ 1846 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 2605 ],
- "I0": [ 441 ],
- "I1": [ 2604 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2606 ],
- "I3": [ 2607 ],
- "O": [ 1866 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2607 ],
- "CO": [ 2608 ],
- "I0": [ "0" ],
- "I1": [ 2606 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2609 ],
- "I3": [ 2608 ],
- "O": [ 1868 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2608 ],
- "CO": [ 2610 ],
- "I0": [ "0" ],
- "I1": [ 2609 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2611 ],
- "I3": [ 2610 ],
- "O": [ 1870 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2610 ],
- "CO": [ 2612 ],
- "I0": [ "0" ],
- "I1": [ 2611 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2613 ],
- "I3": [ 2612 ],
- "O": [ 1872 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2612 ],
- "CO": [ 2614 ],
- "I0": [ "0" ],
- "I1": [ 2613 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2615 ],
- "I3": [ 2614 ],
- "O": [ 936 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2614 ],
- "CO": [ 2616 ],
- "I0": [ "0" ],
- "I1": [ 2615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2617 ],
- "I3": [ 2616 ],
- "O": [ 939 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2616 ],
- "CO": [ 2618 ],
- "I0": [ "0" ],
- "I1": [ 2617 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2619 ],
- "I3": [ 2618 ],
- "O": [ 942 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2618 ],
- "CO": [ 2620 ],
- "I0": [ "0" ],
- "I1": [ 2619 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2621 ],
- "I3": [ 2620 ],
- "O": [ 945 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2620 ],
- "CO": [ 2622 ],
- "I0": [ "0" ],
- "I1": [ 2621 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2623 ],
- "I3": [ 2622 ],
- "O": [ 948 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2622 ],
- "CO": [ 2624 ],
- "I0": [ "0" ],
- "I1": [ 2623 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2625 ],
- "I3": [ 2624 ],
- "O": [ 951 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2624 ],
- "CO": [ 2626 ],
- "I0": [ "0" ],
- "I1": [ 2625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 438 ],
- "I2": [ 2627 ],
- "I3": [ 2605 ],
- "O": [ 1848 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2605 ],
- "CO": [ 2628 ],
- "I0": [ 438 ],
- "I1": [ 2627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2629 ],
- "I3": [ 2626 ],
- "O": [ 954 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2626 ],
- "CO": [ 2630 ],
- "I0": [ "0" ],
- "I1": [ 2629 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2631 ],
- "I3": [ 2630 ],
- "O": [ 957 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2630 ],
- "CO": [ 2632 ],
- "I0": [ "0" ],
- "I1": [ 2631 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2632 ],
- "CO": [ 2633 ],
- "I0": [ "0" ],
- "I1": [ 2634 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2633 ],
- "CO": [ 2635 ],
- "I0": [ "0" ],
- "I1": [ 2636 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2635 ],
- "CO": [ 2637 ],
- "I0": [ "0" ],
- "I1": [ 2638 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2637 ],
- "CO": [ 2639 ],
- "I0": [ "0" ],
- "I1": [ 2640 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2641 ],
- "I3": [ 2639 ],
- "O": [ 268 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2639 ],
- "CO": [ 2642 ],
- "I0": [ "0" ],
- "I1": [ 2641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2643 ],
- "I3": [ 2642 ],
- "O": [ 270 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2642 ],
- "CO": [ 2644 ],
- "I0": [ "0" ],
- "I1": [ 2643 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2645 ],
- "I3": [ 2644 ],
- "O": [ 269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 443 ],
- "I2": [ 2646 ],
- "I3": [ 2628 ],
- "O": [ 1850 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2628 ],
- "CO": [ 2647 ],
- "I0": [ 443 ],
- "I1": [ 2646 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2648 ],
- "I3": [ 2647 ],
- "O": [ 1852 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2647 ],
- "CO": [ 2649 ],
- "I0": [ "0" ],
- "I1": [ 2648 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2650 ],
- "I3": [ 2649 ],
- "O": [ 1854 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2649 ],
- "CO": [ 2651 ],
- "I0": [ "0" ],
- "I1": [ 2650 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2652 ],
- "I3": [ 2651 ],
- "O": [ 1856 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2651 ],
- "CO": [ 2653 ],
- "I0": [ "0" ],
- "I1": [ 2652 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2654 ],
- "I3": [ 2653 ],
- "O": [ 1858 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2653 ],
- "CO": [ 2655 ],
- "I0": [ "0" ],
- "I1": [ 2654 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2656 ],
- "I3": [ 2655 ],
- "O": [ 1860 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2655 ],
- "CO": [ 2657 ],
- "I0": [ "0" ],
- "I1": [ 2656 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2658 ],
- "I3": [ 2657 ],
- "O": [ 1862 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2657 ],
- "CO": [ 2659 ],
- "I0": [ "0" ],
- "I1": [ 2658 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2660 ],
- "I3": [ 2659 ],
- "O": [ 1864 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2659 ],
- "CO": [ 2607 ],
- "I0": [ "0" ],
- "I1": [ 2660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 188 ],
- "I3": [ "0" ],
- "O": [ 2141 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 188 ],
- "CO": [ 2661 ],
- "I0": [ "0" ],
- "I1": [ 156 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 191 ],
- "I3": [ 2661 ],
- "O": [ 2145 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2661 ],
- "CO": [ 2662 ],
- "I0": [ "0" ],
- "I1": [ 191 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 186 ],
- "I3": [ 2662 ],
- "O": [ 2147 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2662 ],
- "CO": [ 2663 ],
- "I0": [ "0" ],
- "I1": [ 186 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1298 ],
- "I3": [ 2663 ],
- "O": [ 2149 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 154 ],
- "I3": [ "0" ],
- "O": [ 187 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 154 ],
- "CO": [ 2664 ],
- "I0": [ "0" ],
- "I1": [ 155 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 190 ],
- "I3": [ 2664 ],
- "O": [ 189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2664 ],
- "CO": [ 2665 ],
- "I0": [ "0" ],
- "I1": [ 190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 185 ],
- "I3": [ 2665 ],
- "O": [ 184 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2665 ],
- "CO": [ 2666 ],
- "I0": [ "0" ],
- "I1": [ 185 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 176 ],
- "I3": [ 2666 ],
- "O": [ 2138 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 708 ],
- "I2": [ 659 ],
- "I3": [ "0" ],
- "O": [ 2313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 2667 ],
- "I0": [ 708 ],
- "I1": [ 659 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 660 ],
- "I3": [ 2667 ],
- "O": [ 804 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2667 ],
- "CO": [ 2668 ],
- "I0": [ "0" ],
- "I1": [ 660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 661 ],
- "I3": [ 2668 ],
- "O": [ 2336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2668 ],
- "CO": [ 2669 ],
- "I0": [ "0" ],
- "I1": [ 661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 662 ],
- "I3": [ 2669 ],
- "O": [ 2337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2669 ],
- "CO": [ 2670 ],
- "I0": [ "0" ],
- "I1": [ 662 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 655 ],
- "I3": [ 2670 ],
- "O": [ 801 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2670 ],
- "CO": [ 2671 ],
- "I0": [ "0" ],
- "I1": [ 655 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 656 ],
- "I3": [ 2671 ],
- "O": [ 714 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2671 ],
- "CO": [ 2672 ],
- "I0": [ "0" ],
- "I1": [ 656 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 657 ],
- "I3": [ 2672 ],
- "O": [ 809 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2672 ],
- "CO": [ 2673 ],
- "I0": [ "0" ],
- "I1": [ 657 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 658 ],
- "I3": [ 2673 ],
- "O": [ 711 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2673 ],
- "CO": [ 2674 ],
- "I0": [ "0" ],
- "I1": [ 658 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 652 ],
- "I3": [ 2674 ],
- "O": [ 2315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4014.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2126 ],
- "I3": [ "0" ],
- "O": [ 2127 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4014.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2126 ],
- "CO": [ 2675 ],
- "I0": [ "0" ],
- "I1": [ 2130 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4014.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 721 ],
- "I3": [ 2675 ],
- "O": [ 2132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4017.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2118 ],
- "I3": [ "0" ],
- "O": [ 2119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4017.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2118 ],
- "CO": [ 2676 ],
- "I0": [ "0" ],
- "I1": [ 2122 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4017.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1321 ],
- "I3": [ 2676 ],
- "O": [ 2124 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 703 ],
- "I3": [ "0" ],
- "O": [ 2085 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 705 ],
- "I3": [ 2677 ],
- "O": [ 2104 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2677 ],
- "CO": [ 2678 ],
- "I0": [ "0" ],
- "I1": [ 705 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 683 ],
- "I3": [ 2678 ],
- "O": [ 2106 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2678 ],
- "CO": [ 2679 ],
- "I0": [ "0" ],
- "I1": [ 683 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 675 ],
- "I3": [ 2679 ],
- "O": [ 2108 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2679 ],
- "CO": [ 2680 ],
- "I0": [ "0" ],
- "I1": [ 675 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 681 ],
- "I3": [ 2680 ],
- "O": [ 2110 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2680 ],
- "CO": [ 2681 ],
- "I0": [ "0" ],
- "I1": [ 681 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 685 ],
- "I3": [ 2681 ],
- "O": [ 2112 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2681 ],
- "CO": [ 2682 ],
- "I0": [ "0" ],
- "I1": [ 685 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 701 ],
- "I3": [ 2682 ],
- "O": [ 2114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 703 ],
- "CO": [ 2683 ],
- "I0": [ "0" ],
- "I1": [ 691 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 695 ],
- "I3": [ 2683 ],
- "O": [ 2088 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2683 ],
- "CO": [ 2684 ],
- "I0": [ "0" ],
- "I1": [ 695 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 669 ],
- "I3": [ 2684 ],
- "O": [ 2090 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2684 ],
- "CO": [ 2685 ],
- "I0": [ "0" ],
- "I1": [ 669 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 673 ],
- "I3": [ 2685 ],
- "O": [ 2092 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2685 ],
- "CO": [ 2686 ],
- "I0": [ "0" ],
- "I1": [ 673 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 693 ],
- "I3": [ 2686 ],
- "O": [ 2094 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2686 ],
- "CO": [ 2687 ],
- "I0": [ "0" ],
- "I1": [ 693 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 671 ],
- "I3": [ 2687 ],
- "O": [ 2096 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2687 ],
- "CO": [ 2688 ],
- "I0": [ "0" ],
- "I1": [ 671 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 679 ],
- "I3": [ 2688 ],
- "O": [ 2098 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2688 ],
- "CO": [ 2689 ],
- "I0": [ "0" ],
- "I1": [ 679 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 697 ],
- "I3": [ 2689 ],
- "O": [ 2100 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2689 ],
- "CO": [ 2690 ],
- "I0": [ "0" ],
- "I1": [ 697 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 699 ],
- "I3": [ 2690 ],
- "O": [ 2102 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2690 ],
- "CO": [ 2677 ],
- "I0": [ "0" ],
- "I1": [ 699 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 440 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 440 ],
- "CO": [ 2691 ],
- "I0": [ 439 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2692 ],
- "I2": [ "1" ],
- "I3": [ 2691 ],
- "O": [ 444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2691 ],
- "CO": [ 2693 ],
- "I0": [ 2692 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2694 ],
- "I2": [ "1" ],
- "I3": [ 2693 ],
- "O": [ 449 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2693 ],
- "CO": [ 2695 ],
- "I0": [ 2694 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2696 ],
- "I2": [ "1" ],
- "I3": [ 2695 ],
- "O": [ 448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2695 ],
- "CO": [ 2697 ],
- "I0": [ 2696 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2698 ],
- "I2": [ "1" ],
- "I3": [ 2697 ],
- "O": [ 445 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2697 ],
- "CO": [ 2699 ],
- "I0": [ 2698 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2700 ],
- "I2": [ "1" ],
- "I3": [ 2699 ],
- "O": [ 446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2699 ],
- "CO": [ 2701 ],
- "I0": [ 2700 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2702 ],
- "I2": [ "1" ],
- "I3": [ 2701 ],
- "O": [ 447 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2261 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 111 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2271 ],
- "I2": [ "1" ],
- "I3": [ 2703 ],
- "O": [ 130 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2703 ],
- "CO": [ 2704 ],
- "I0": [ 2271 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2251 ],
- "I2": [ "1" ],
- "I3": [ 2704 ],
- "O": [ 14 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2261 ],
- "CO": [ 2705 ],
- "I0": [ 2262 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2263 ],
- "I2": [ "1" ],
- "I3": [ 2705 ],
- "O": [ 114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2705 ],
- "CO": [ 2706 ],
- "I0": [ 2263 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2264 ],
- "I2": [ "1" ],
- "I3": [ 2706 ],
- "O": [ 116 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2706 ],
- "CO": [ 2707 ],
- "I0": [ 2264 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2265 ],
- "I2": [ "1" ],
- "I3": [ 2707 ],
- "O": [ 118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2707 ],
- "CO": [ 2708 ],
- "I0": [ 2265 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2266 ],
- "I2": [ "1" ],
- "I3": [ 2708 ],
- "O": [ 120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2708 ],
- "CO": [ 2709 ],
- "I0": [ 2266 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2267 ],
- "I2": [ "1" ],
- "I3": [ 2709 ],
- "O": [ 122 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2709 ],
- "CO": [ 2710 ],
- "I0": [ 2267 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2268 ],
- "I2": [ "1" ],
- "I3": [ 2710 ],
- "O": [ 124 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2710 ],
- "CO": [ 2711 ],
- "I0": [ 2268 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2269 ],
- "I2": [ "1" ],
- "I3": [ 2711 ],
- "O": [ 126 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2711 ],
- "CO": [ 2712 ],
- "I0": [ 2269 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2270 ],
- "I2": [ "1" ],
- "I3": [ 2712 ],
- "O": [ 128 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2712 ],
- "CO": [ 2703 ],
- "I0": [ 2270 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 659 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 2060 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 659 ],
- "CO": [ 2713 ],
- "I0": [ 660 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 661 ],
- "I2": [ "1" ],
- "I3": [ 2713 ],
- "O": [ 2064 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2713 ],
- "CO": [ 2714 ],
- "I0": [ 661 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 662 ],
- "I2": [ "1" ],
- "I3": [ 2714 ],
- "O": [ 2067 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2714 ],
- "CO": [ 2715 ],
- "I0": [ 662 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 655 ],
- "I2": [ "1" ],
- "I3": [ 2715 ],
- "O": [ 2070 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2715 ],
- "CO": [ 2716 ],
- "I0": [ 655 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 656 ],
- "I2": [ "1" ],
- "I3": [ 2716 ],
- "O": [ 2073 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2716 ],
- "CO": [ 2717 ],
- "I0": [ 656 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 657 ],
- "I2": [ "1" ],
- "I3": [ 2717 ],
- "O": [ 2076 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2717 ],
- "CO": [ 2718 ],
- "I0": [ 657 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 658 ],
- "I2": [ "1" ],
- "I3": [ 2718 ],
- "O": [ 2079 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2718 ],
- "CO": [ 2719 ],
- "I0": [ 658 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 652 ],
- "I2": [ "1" ],
- "I3": [ 2719 ],
- "O": [ 2082 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 738 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 2045 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 738 ],
- "CO": [ 2720 ],
- "I0": [ 745 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 746 ],
- "I2": [ "1" ],
- "I3": [ 2720 ],
- "O": [ 2048 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2720 ],
- "CO": [ 2721 ],
- "I0": [ 746 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 747 ],
- "I2": [ "1" ],
- "I3": [ 2721 ],
- "O": [ 2050 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2721 ],
- "CO": [ 2722 ],
- "I0": [ 747 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 748 ],
- "I2": [ "1" ],
- "I3": [ 2722 ],
- "O": [ 2052 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2722 ],
- "CO": [ 2723 ],
- "I0": [ 748 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 742 ],
- "I2": [ "1" ],
- "I3": [ 2723 ],
- "O": [ 2054 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2723 ],
- "CO": [ 2724 ],
- "I0": [ 742 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 743 ],
- "I2": [ "1" ],
- "I3": [ 2724 ],
- "O": [ 2056 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2724 ],
- "CO": [ 2725 ],
- "I0": [ 743 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 744 ],
- "I2": [ "1" ],
- "I3": [ 2725 ],
- "O": [ 2058 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2725 ],
- "CO": [ 2726 ],
- "I0": [ 744 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2260 ],
- "I2": [ "1" ],
- "I3": [ 2726 ],
- "O": [ 108 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 335 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1949 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 345 ],
- "I2": [ "1" ],
- "I3": [ 2727 ],
- "O": [ 1978 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2727 ],
- "CO": [ 2728 ],
- "I0": [ 345 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 346 ],
- "I2": [ "1" ],
- "I3": [ 2728 ],
- "O": [ 1981 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2728 ],
- "CO": [ 2729 ],
- "I0": [ 346 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 339 ],
- "I2": [ "1" ],
- "I3": [ 2729 ],
- "O": [ 1984 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2729 ],
- "CO": [ 2730 ],
- "I0": [ 339 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 340 ],
- "I2": [ "1" ],
- "I3": [ 2730 ],
- "O": [ 1987 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2730 ],
- "CO": [ 2731 ],
- "I0": [ 340 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 341 ],
- "I2": [ "1" ],
- "I3": [ 2731 ],
- "O": [ 1990 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2731 ],
- "CO": [ 2732 ],
- "I0": [ 341 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 342 ],
- "I2": [ "1" ],
- "I3": [ 2732 ],
- "O": [ 1993 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2732 ],
- "CO": [ 2733 ],
- "I0": [ 342 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 317 ],
- "I2": [ "1" ],
- "I3": [ 2733 ],
- "O": [ 1996 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2733 ],
- "CO": [ 2734 ],
- "I0": [ 317 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 318 ],
- "I2": [ "1" ],
- "I3": [ 2734 ],
- "O": [ 1999 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2734 ],
- "CO": [ 2735 ],
- "I0": [ 318 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 319 ],
- "I2": [ "1" ],
- "I3": [ 2735 ],
- "O": [ 2002 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2735 ],
- "CO": [ 2736 ],
- "I0": [ 319 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 320 ],
- "I2": [ "1" ],
- "I3": [ 2736 ],
- "O": [ 2005 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2736 ],
- "CO": [ 2737 ],
- "I0": [ 320 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 335 ],
- "CO": [ 2738 ],
- "I0": [ 336 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 313 ],
- "I2": [ "1" ],
- "I3": [ 2737 ],
- "O": [ 2008 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2737 ],
- "CO": [ 2739 ],
- "I0": [ 313 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 314 ],
- "I2": [ "1" ],
- "I3": [ 2739 ],
- "O": [ 2011 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2739 ],
- "CO": [ 2740 ],
- "I0": [ 314 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 315 ],
- "I2": [ "1" ],
- "I3": [ 2740 ],
- "O": [ 2014 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2740 ],
- "CO": [ 2741 ],
- "I0": [ 315 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 316 ],
- "I2": [ "1" ],
- "I3": [ 2741 ],
- "O": [ 2017 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2741 ],
- "CO": [ 2742 ],
- "I0": [ 316 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 325 ],
- "I2": [ "1" ],
- "I3": [ 2742 ],
- "O": [ 2020 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2742 ],
- "CO": [ 2743 ],
- "I0": [ 325 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 326 ],
- "I2": [ "1" ],
- "I3": [ 2743 ],
- "O": [ 2023 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2743 ],
- "CO": [ 2744 ],
- "I0": [ 326 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 327 ],
- "I2": [ "1" ],
- "I3": [ 2744 ],
- "O": [ 2026 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2744 ],
- "CO": [ 2745 ],
- "I0": [ 327 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 328 ],
- "I2": [ "1" ],
- "I3": [ 2745 ],
- "O": [ 2029 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2745 ],
- "CO": [ 2746 ],
- "I0": [ 328 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 321 ],
- "I2": [ "1" ],
- "I3": [ 2746 ],
- "O": [ 2032 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2746 ],
- "CO": [ 2747 ],
- "I0": [ 321 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 322 ],
- "I2": [ "1" ],
- "I3": [ 2747 ],
- "O": [ 2035 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2747 ],
- "CO": [ 2748 ],
- "I0": [ 322 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 337 ],
- "I2": [ "1" ],
- "I3": [ 2738 ],
- "O": [ 1954 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2738 ],
- "CO": [ 2749 ],
- "I0": [ 337 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 323 ],
- "I2": [ "1" ],
- "I3": [ 2748 ],
- "O": [ 2038 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2748 ],
- "CO": [ 2750 ],
- "I0": [ 323 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 324 ],
- "I2": [ "1" ],
- "I3": [ 2750 ],
- "O": [ 2041 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 338 ],
- "I2": [ "1" ],
- "I3": [ 2749 ],
- "O": [ 1957 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2749 ],
- "CO": [ 2751 ],
- "I0": [ 338 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 331 ],
- "I2": [ "1" ],
- "I3": [ 2751 ],
- "O": [ 1960 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2751 ],
- "CO": [ 2752 ],
- "I0": [ 331 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 332 ],
- "I2": [ "1" ],
- "I3": [ 2752 ],
- "O": [ 1963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2752 ],
- "CO": [ 2753 ],
- "I0": [ 332 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 333 ],
- "I2": [ "1" ],
- "I3": [ 2753 ],
- "O": [ 1966 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2753 ],
- "CO": [ 2754 ],
- "I0": [ 333 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 334 ],
- "I2": [ "1" ],
- "I3": [ 2754 ],
- "O": [ 1969 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2754 ],
- "CO": [ 2755 ],
- "I0": [ 334 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 343 ],
- "I2": [ "1" ],
- "I3": [ 2755 ],
- "O": [ 1972 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2755 ],
- "CO": [ 2756 ],
- "I0": [ 343 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 344 ],
- "I2": [ "1" ],
- "I3": [ 2756 ],
- "O": [ 1975 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2756 ],
- "CO": [ 2727 ],
- "I0": [ 344 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 484 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1568 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 477 ],
- "I2": [ "1" ],
- "I3": [ 2757 ],
- "O": [ 1585 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2757 ],
- "CO": [ 2758 ],
- "I0": [ 477 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2253 ],
- "I2": [ "1" ],
- "I3": [ 2758 ],
- "O": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2758 ],
- "CO": [ 2759 ],
- "I0": [ 2253 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 478 ],
- "I2": [ "1" ],
- "I3": [ 2759 ],
- "O": [ 1587 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2759 ],
- "CO": [ 2760 ],
- "I0": [ 478 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2254 ],
- "I2": [ "1" ],
- "I3": [ 2760 ],
- "O": [ 64 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2760 ],
- "CO": [ 2761 ],
- "I0": [ 2254 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2255 ],
- "I2": [ "1" ],
- "I3": [ 2761 ],
- "O": [ 66 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2761 ],
- "CO": [ 2762 ],
- "I0": [ 2255 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 479 ],
- "I2": [ "1" ],
- "I3": [ 2762 ],
- "O": [ 1589 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2762 ],
- "CO": [ 2763 ],
- "I0": [ 479 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 472 ],
- "I2": [ "1" ],
- "I3": [ 2763 ],
- "O": [ 1591 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2763 ],
- "CO": [ 2764 ],
- "I0": [ 472 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 473 ],
- "I2": [ "1" ],
- "I3": [ 2764 ],
- "O": [ 1593 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2764 ],
- "CO": [ 2765 ],
- "I0": [ 473 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 474 ],
- "I2": [ "1" ],
- "I3": [ 2765 ],
- "O": [ 1595 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2765 ],
- "CO": [ 2766 ],
- "I0": [ 474 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2256 ],
- "I2": [ "1" ],
- "I3": [ 2766 ],
- "O": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2766 ],
- "CO": [ 2767 ],
- "I0": [ 2256 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 484 ],
- "CO": [ 2768 ],
- "I0": [ 485 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2257 ],
- "I2": [ "1" ],
- "I3": [ 2767 ],
- "O": [ 70 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 486 ],
- "I2": [ "1" ],
- "I3": [ 2768 ],
- "O": [ 1571 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2768 ],
- "CO": [ 2769 ],
- "I0": [ 486 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 487 ],
- "I2": [ "1" ],
- "I3": [ 2769 ],
- "O": [ 1573 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2769 ],
- "CO": [ 2770 ],
- "I0": [ 487 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 480 ],
- "I2": [ "1" ],
- "I3": [ 2770 ],
- "O": [ 1575 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2770 ],
- "CO": [ 2771 ],
- "I0": [ 480 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 481 ],
- "I2": [ "1" ],
- "I3": [ 2771 ],
- "O": [ 1577 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2771 ],
- "CO": [ 2772 ],
- "I0": [ 481 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 482 ],
- "I2": [ "1" ],
- "I3": [ 2772 ],
- "O": [ 1579 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2772 ],
- "CO": [ 2773 ],
- "I0": [ 482 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 483 ],
- "I2": [ "1" ],
- "I3": [ 2773 ],
- "O": [ 1581 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2773 ],
- "CO": [ 2774 ],
- "I0": [ 483 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 476 ],
- "I2": [ "1" ],
- "I3": [ 2774 ],
- "O": [ 1583 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2774 ],
- "CO": [ 2775 ],
- "I0": [ 476 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2252 ],
- "I2": [ "1" ],
- "I3": [ 2775 ],
- "O": [ 57 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2775 ],
- "CO": [ 2757 ],
- "I0": [ 2252 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 164 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1458 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 164 ],
- "CO": [ 2776 ],
- "I0": [ 168 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 169 ],
- "I2": [ "1" ],
- "I3": [ 2776 ],
- "O": [ 1461 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2776 ],
- "CO": [ 2777 ],
- "I0": [ 169 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 170 ],
- "I2": [ "1" ],
- "I3": [ 2777 ],
- "O": [ 1463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2777 ],
- "CO": [ 2778 ],
- "I0": [ 170 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2258 ],
- "I2": [ "1" ],
- "I3": [ 2778 ],
- "O": [ 72 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 85 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 96 ],
- "I2": [ "1" ],
- "I3": [ 2779 ],
- "O": [ 1444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2779 ],
- "CO": [ 2780 ],
- "I0": [ 96 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 97 ],
- "I2": [ "1" ],
- "I3": [ 2780 ],
- "O": [ 1446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2780 ],
- "CO": [ 2781 ],
- "I0": [ 97 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 98 ],
- "I2": [ "1" ],
- "I3": [ 2781 ],
- "O": [ 1448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2781 ],
- "CO": [ 2782 ],
- "I0": [ 98 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 92 ],
- "I2": [ "1" ],
- "I3": [ 2782 ],
- "O": [ 1450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2782 ],
- "CO": [ 2783 ],
- "I0": [ 92 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 93 ],
- "I2": [ "1" ],
- "I3": [ 2783 ],
- "O": [ 1452 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2783 ],
- "CO": [ 2784 ],
- "I0": [ 93 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 94 ],
- "I2": [ "1" ],
- "I3": [ 2784 ],
- "O": [ 1454 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2784 ],
- "CO": [ 2785 ],
- "I0": [ 94 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2259 ],
- "I2": [ "1" ],
- "I3": [ 2785 ],
- "O": [ 77 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 85 ],
- "CO": [ 2786 ],
- "I0": [ 103 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 104 ],
- "I2": [ "1" ],
- "I3": [ 2786 ],
- "O": [ 1428 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2786 ],
- "CO": [ 2787 ],
- "I0": [ 104 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 105 ],
- "I2": [ "1" ],
- "I3": [ 2787 ],
- "O": [ 1430 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2787 ],
- "CO": [ 2788 ],
- "I0": [ 105 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 106 ],
- "I2": [ "1" ],
- "I3": [ 2788 ],
- "O": [ 1432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2788 ],
- "CO": [ 2789 ],
- "I0": [ 106 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 99 ],
- "I2": [ "1" ],
- "I3": [ 2789 ],
- "O": [ 1434 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2789 ],
- "CO": [ 2790 ],
- "I0": [ 99 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 100 ],
- "I2": [ "1" ],
- "I3": [ 2790 ],
- "O": [ 1436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2790 ],
- "CO": [ 2791 ],
- "I0": [ 100 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 101 ],
- "I2": [ "1" ],
- "I3": [ 2791 ],
- "O": [ 1438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2791 ],
- "CO": [ 2792 ],
- "I0": [ 101 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 102 ],
- "I2": [ "1" ],
- "I3": [ 2792 ],
- "O": [ 1440 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2792 ],
- "CO": [ 2793 ],
- "I0": [ 102 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 95 ],
- "I2": [ "1" ],
- "I3": [ 2793 ],
- "O": [ 1442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2793 ],
- "CO": [ 2779 ],
- "I0": [ 95 ],
- "I1": [ "1" ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10170": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2794 ],
- "E": [ 2162 ],
- "Q": [ 1331 ],
- "R": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10171": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2795 ],
- "E": [ 2162 ],
- "Q": [ 1348 ],
- "R": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10260": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2140 ],
- "Q": [ 159 ],
- "R": [ 152 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2346 ],
- "Q": [ 157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1340 ],
- "Q": [ 2308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2328 ],
- "Q": [ 162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1341 ],
- "Q": [ 2305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10285": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2044 ],
- "E": [ 388 ],
- "Q": [ 8 ],
- "S": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10286": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 201 ],
- "Q": [ 1218 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10287": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 201 ],
- "Q": [ 1229 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10288": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 201 ],
- "Q": [ 1230 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10289": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 18 ],
- "E": [ 201 ],
- "Q": [ 1240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10290": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 43 ],
- "E": [ 201 ],
- "Q": [ 1259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10291": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 39 ],
- "E": [ 201 ],
- "Q": [ 1260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10292": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 35 ],
- "E": [ 201 ],
- "Q": [ 1276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10293": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 201 ],
- "Q": [ 1280 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10294": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 213 ],
- "Q": [ 1217 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10295": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 41 ],
- "E": [ 213 ],
- "Q": [ 1224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10296": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 37 ],
- "E": [ 213 ],
- "Q": [ 1233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10297": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 213 ],
- "Q": [ 1243 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10298": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 43 ],
- "E": [ 213 ],
- "Q": [ 1254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10299": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 213 ],
- "Q": [ 1263 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10300": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 35 ],
- "E": [ 213 ],
- "Q": [ 1270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10301": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 213 ],
- "Q": [ 1286 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10302": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 215 ],
- "Q": [ 1210 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10303": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 215 ],
- "Q": [ 1228 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10304": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 37 ],
- "E": [ 215 ],
- "Q": [ 1238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10305": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 215 ],
- "Q": [ 1248 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10306": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 43 ],
- "E": [ 215 ],
- "Q": [ 1258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10307": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 39 ],
- "E": [ 215 ],
- "Q": [ 1269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10308": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 215 ],
- "Q": [ 1279 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10309": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 215 ],
- "Q": [ 1289 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10310": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 217 ],
- "Q": [ 1219 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10311": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 41 ],
- "E": [ 217 ],
- "Q": [ 1227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10312": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 217 ],
- "Q": [ 1239 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10313": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 217 ],
- "Q": [ 1249 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10314": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 43 ],
- "E": [ 217 ],
- "Q": [ 1257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10315": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 217 ],
- "Q": [ 1268 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10316": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 217 ],
- "Q": [ 1278 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10317": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 217 ],
- "Q": [ 1288 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10318": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2413 ],
- "E": [ 262 ],
- "Q": [ 219 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10319": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2279 ],
- "E": [ 220 ],
- "Q": [ 251 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10320": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2456 ],
- "E": [ 262 ],
- "Q": [ 241 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10321": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2461 ],
- "E": [ 262 ],
- "Q": [ 242 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10322": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2463 ],
- "E": [ 262 ],
- "Q": [ 235 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10323": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2465 ],
- "E": [ 262 ],
- "Q": [ 236 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10324": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2467 ],
- "E": [ 262 ],
- "Q": [ 237 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10325": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2469 ],
- "E": [ 262 ],
- "Q": [ 238 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10326": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2471 ],
- "E": [ 262 ],
- "Q": [ 233 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10327": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2473 ],
- "E": [ 262 ],
- "Q": [ 234 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10328": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2415 ],
- "E": [ 262 ],
- "Q": [ 227 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10329": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2417 ],
- "E": [ 262 ],
- "Q": [ 228 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10330": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2419 ],
- "E": [ 262 ],
- "Q": [ 229 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10331": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2421 ],
- "E": [ 262 ],
- "Q": [ 230 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10332": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2423 ],
- "E": [ 262 ],
- "Q": [ 239 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10333": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2425 ],
- "E": [ 262 ],
- "Q": [ 240 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10334": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2427 ],
- "E": [ 262 ],
- "Q": [ 260 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10335": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2429 ],
- "E": [ 262 ],
- "Q": [ 261 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10336": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2431 ],
- "E": [ 262 ],
- "Q": [ 254 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10337": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2433 ],
- "E": [ 262 ],
- "Q": [ 255 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10338": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2436 ],
- "E": [ 262 ],
- "Q": [ 256 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10339": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2438 ],
- "E": [ 262 ],
- "Q": [ 257 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10340": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2440 ],
- "E": [ 262 ],
- "Q": [ 231 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10341": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2442 ],
- "E": [ 262 ],
- "Q": [ 232 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10342": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2444 ],
- "E": [ 262 ],
- "Q": [ 252 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10343": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2446 ],
- "E": [ 262 ],
- "Q": [ 253 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10344": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2448 ],
- "E": [ 262 ],
- "Q": [ 247 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10345": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2450 ],
- "E": [ 262 ],
- "Q": [ 248 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10346": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2452 ],
- "E": [ 262 ],
- "Q": [ 249 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10347": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2454 ],
- "E": [ 262 ],
- "Q": [ 250 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10348": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2458 ],
- "E": [ 262 ],
- "Q": [ 258 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10349": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2460 ],
- "E": [ 262 ],
- "Q": [ 259 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10350": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1846 ],
- "Q": [ 208 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10351": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1848 ],
- "Q": [ 209 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10352": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1850 ],
- "Q": [ 211 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10353": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1852 ],
- "Q": [ 210 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10354": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1854 ],
- "Q": [ 276 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10355": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1856 ],
- "Q": [ 1160 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10359": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1864 ],
- "Q": [ 205 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10360": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1866 ],
- "Q": [ 207 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10361": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1868 ],
- "Q": [ 202 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10362": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1870 ],
- "Q": [ 203 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10363": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1872 ],
- "Q": [ 206 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10364": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1424 ],
- "Q": [ 198 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10365": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1631 ],
- "Q": [ 349 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10366": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1635 ],
- "Q": [ 40 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10367": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1639 ],
- "Q": [ 36 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10368": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1643 ],
- "Q": [ 17 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10369": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1647 ],
- "Q": [ 42 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10370": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1651 ],
- "Q": [ 38 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10371": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1655 ],
- "Q": [ 34 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10372": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1659 ],
- "Q": [ 2796 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10373": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2165 ],
- "Q": [ 1602 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10374": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2176 ],
- "Q": [ 1606 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10375": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2186 ],
- "Q": [ 1610 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10376": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2196 ],
- "Q": [ 1614 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10377": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2208 ],
- "Q": [ 1618 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10378": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2216 ],
- "Q": [ 1622 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10379": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2224 ],
- "Q": [ 1626 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10380": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2232 ],
- "Q": [ 1629 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10405": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 756 ],
- "E": [ 264 ],
- "Q": [ 83 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10406": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2274 ],
- "E": [ 267 ],
- "Q": [ 266 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10407": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2042 ],
- "E": [ 267 ],
- "Q": [ 263 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10408": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 273 ],
- "Q": [ 985 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10409": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 273 ],
- "Q": [ 1004 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10410": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 273 ],
- "Q": [ 1027 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10411": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 273 ],
- "Q": [ 1036 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10412": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 273 ],
- "Q": [ 1053 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10413": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 273 ],
- "Q": [ 1089 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10414": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 273 ],
- "Q": [ 1110 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10415": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 273 ],
- "Q": [ 1125 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10416": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 278 ],
- "Q": [ 984 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10417": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 278 ],
- "Q": [ 1006 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10418": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 278 ],
- "Q": [ 1023 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10419": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 278 ],
- "Q": [ 1046 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10420": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 278 ],
- "Q": [ 1064 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10421": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 278 ],
- "Q": [ 1081 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10422": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 278 ],
- "Q": [ 1104 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10423": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 278 ],
- "Q": [ 1127 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10424": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 280 ],
- "Q": [ 966 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10425": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 280 ],
- "Q": [ 1005 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10426": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 280 ],
- "Q": [ 1017 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10427": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 280 ],
- "Q": [ 1048 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10428": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 280 ],
- "Q": [ 1066 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10429": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 280 ],
- "Q": [ 1073 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10430": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 280 ],
- "Q": [ 1108 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10431": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 280 ],
- "Q": [ 1124 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10432": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 282 ],
- "Q": [ 980 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10433": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 282 ],
- "Q": [ 993 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10434": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 282 ],
- "Q": [ 1019 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10435": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 282 ],
- "Q": [ 1042 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10436": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 282 ],
- "Q": [ 1065 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10437": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 282 ],
- "Q": [ 1088 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10438": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 282 ],
- "Q": [ 1107 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10439": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 282 ],
- "Q": [ 1120 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10440": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 285 ],
- "Q": [ 974 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10441": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 285 ],
- "Q": [ 990 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10442": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 285 ],
- "Q": [ 1018 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10443": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 285 ],
- "Q": [ 1041 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10444": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 285 ],
- "Q": [ 1068 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10445": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 285 ],
- "Q": [ 1085 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10446": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 285 ],
- "Q": [ 1106 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10447": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 285 ],
- "Q": [ 1131 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10448": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 288 ],
- "Q": [ 981 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10449": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 288 ],
- "Q": [ 1001 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10450": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 288 ],
- "Q": [ 1020 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10451": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 288 ],
- "Q": [ 1038 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10452": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 288 ],
- "Q": [ 1063 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10453": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 288 ],
- "Q": [ 1080 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10454": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 288 ],
- "Q": [ 1103 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10455": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 288 ],
- "Q": [ 1128 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10456": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 291 ],
- "Q": [ 971 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10457": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 291 ],
- "Q": [ 1000 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10458": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 291 ],
- "Q": [ 1025 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10459": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 291 ],
- "Q": [ 1032 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10460": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 291 ],
- "Q": [ 1060 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10461": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 291 ],
- "Q": [ 1087 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10462": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 291 ],
- "Q": [ 1098 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10463": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 291 ],
- "Q": [ 1115 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10464": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 294 ],
- "Q": [ 979 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10465": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 294 ],
- "Q": [ 1003 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10466": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 294 ],
- "Q": [ 1026 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10467": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 294 ],
- "Q": [ 1035 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10468": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 294 ],
- "Q": [ 1062 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10469": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 294 ],
- "Q": [ 1079 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10470": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 294 ],
- "Q": [ 1105 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10471": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 294 ],
- "Q": [ 1121 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10472": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 296 ],
- "Q": [ 355 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10473": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 335 ],
- "E": [ 299 ],
- "Q": [ 982 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10474": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 336 ],
- "E": [ 299 ],
- "Q": [ 999 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10475": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 337 ],
- "E": [ 299 ],
- "Q": [ 1014 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10476": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 338 ],
- "E": [ 299 ],
- "Q": [ 1043 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10477": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 331 ],
- "E": [ 299 ],
- "Q": [ 1059 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10478": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 332 ],
- "E": [ 299 ],
- "Q": [ 1086 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10479": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 333 ],
- "E": [ 299 ],
- "Q": [ 1109 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10480": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 334 ],
- "E": [ 299 ],
- "Q": [ 1126 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10481": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 343 ],
- "E": [ 299 ],
- "Q": [ 983 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10482": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 344 ],
- "E": [ 299 ],
- "Q": [ 998 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10483": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 345 ],
- "E": [ 299 ],
- "Q": [ 1024 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10484": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 346 ],
- "E": [ 299 ],
- "Q": [ 1037 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10485": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 339 ],
- "E": [ 299 ],
- "Q": [ 1061 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10486": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 340 ],
- "E": [ 299 ],
- "Q": [ 1078 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10487": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 341 ],
- "E": [ 299 ],
- "Q": [ 1097 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10488": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 342 ],
- "E": [ 299 ],
- "Q": [ 1117 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10489": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 317 ],
- "E": [ 299 ],
- "Q": [ 963 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10490": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 318 ],
- "E": [ 299 ],
- "Q": [ 997 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10491": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 319 ],
- "E": [ 299 ],
- "Q": [ 1011 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10492": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 320 ],
- "E": [ 299 ],
- "Q": [ 1039 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10493": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 313 ],
- "E": [ 299 ],
- "Q": [ 1067 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10494": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 314 ],
- "E": [ 299 ],
- "Q": [ 1084 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10495": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 315 ],
- "E": [ 299 ],
- "Q": [ 1095 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10496": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 316 ],
- "E": [ 299 ],
- "Q": [ 1119 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10497": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 325 ],
- "E": [ 299 ],
- "Q": [ 969 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10498": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 326 ],
- "E": [ 299 ],
- "Q": [ 996 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10499": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 327 ],
- "E": [ 299 ],
- "Q": [ 1016 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10500": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 328 ],
- "E": [ 299 ],
- "Q": [ 1047 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10501": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 321 ],
- "E": [ 299 ],
- "Q": [ 1056 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10502": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 322 ],
- "E": [ 299 ],
- "Q": [ 1076 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10503": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 323 ],
- "E": [ 299 ],
- "Q": [ 1093 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10504": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 324 ],
- "E": [ 299 ],
- "Q": [ 1130 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10505": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 304 ],
- "E": [ 348 ],
- "Q": [ 973 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10506": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 302 ],
- "Q": [ 303 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10507": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 353 ],
- "Q": [ 967 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10508": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1948 ],
- "Q": [ 335 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10509": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1951 ],
- "E": [ 356 ],
- "Q": [ 336 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10510": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1953 ],
- "Q": [ 337 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10511": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1956 ],
- "Q": [ 338 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10512": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1959 ],
- "Q": [ 331 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10513": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1962 ],
- "Q": [ 332 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10514": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1965 ],
- "Q": [ 333 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10515": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1968 ],
- "Q": [ 334 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10516": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1971 ],
- "Q": [ 343 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10517": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1974 ],
- "Q": [ 344 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10518": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1977 ],
- "Q": [ 345 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10519": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1980 ],
- "Q": [ 346 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10520": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1983 ],
- "Q": [ 339 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10521": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1986 ],
- "Q": [ 340 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10522": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1989 ],
- "Q": [ 341 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10523": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1992 ],
- "Q": [ 342 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10524": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1995 ],
- "Q": [ 317 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10525": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1998 ],
- "Q": [ 318 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10526": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2001 ],
- "Q": [ 319 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10527": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2004 ],
- "Q": [ 320 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10528": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2007 ],
- "Q": [ 313 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10529": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2010 ],
- "Q": [ 314 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10530": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2013 ],
- "Q": [ 315 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10531": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2016 ],
- "Q": [ 316 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10532": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2019 ],
- "Q": [ 325 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10533": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2022 ],
- "Q": [ 326 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10534": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2025 ],
- "Q": [ 327 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10535": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2028 ],
- "Q": [ 328 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10536": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2031 ],
- "Q": [ 321 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10537": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2034 ],
- "Q": [ 322 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10538": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2037 ],
- "Q": [ 323 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10539": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2040 ],
- "Q": [ 324 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10540": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 357 ],
- "E": [ 358 ],
- "Q": [ 84 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10541": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 361 ],
- "Q": [ 796 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10542": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 361 ],
- "Q": [ 790 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10543": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 361 ],
- "Q": [ 793 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10544": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 361 ],
- "Q": [ 1137 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10545": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 363 ],
- "Q": [ 792 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10546": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 46 ],
- "E": [ 365 ],
- "Q": [ 794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10547": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2303 ],
- "Q": [ 791 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10548": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2797 ],
- "E": [ 371 ],
- "Q": [ 1600 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10549": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1600 ],
- "E": [ 371 ],
- "Q": [ 1605 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10550": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1605 ],
- "E": [ 371 ],
- "Q": [ 1609 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10551": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1609 ],
- "E": [ 371 ],
- "Q": [ 1613 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10552": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1613 ],
- "E": [ 371 ],
- "Q": [ 1617 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10553": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1617 ],
- "E": [ 371 ],
- "Q": [ 1621 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10554": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1621 ],
- "E": [ 371 ],
- "Q": [ 1625 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10555": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1625 ],
- "E": [ 371 ],
- "Q": [ 1630 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10556": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1844 ],
- "E": [ 373 ],
- "Q": [ 1634 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10557": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1845 ],
- "E": [ 373 ],
- "Q": [ 1638 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10558": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1847 ],
- "E": [ 373 ],
- "Q": [ 1642 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10559": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1849 ],
- "E": [ 373 ],
- "Q": [ 1646 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10560": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1851 ],
- "E": [ 373 ],
- "Q": [ 1650 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10561": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1853 ],
- "E": [ 373 ],
- "Q": [ 1654 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10562": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1855 ],
- "E": [ 373 ],
- "Q": [ 1658 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10563": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1857 ],
- "E": [ 373 ],
- "Q": [ 1662 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10564": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1859 ],
- "E": [ 373 ],
- "Q": [ 1666 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10565": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1861 ],
- "E": [ 373 ],
- "Q": [ 1670 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10566": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1863 ],
- "E": [ 373 ],
- "Q": [ 1674 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10567": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1865 ],
- "E": [ 373 ],
- "Q": [ 1678 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10568": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1867 ],
- "E": [ 373 ],
- "Q": [ 1682 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10569": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1869 ],
- "E": [ 373 ],
- "Q": [ 1686 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10570": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1871 ],
- "E": [ 373 ],
- "Q": [ 1690 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10571": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1873 ],
- "E": [ 373 ],
- "Q": [ 935 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10572": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 937 ],
- "E": [ 373 ],
- "Q": [ 938 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10573": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 940 ],
- "E": [ 373 ],
- "Q": [ 941 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10574": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 943 ],
- "E": [ 373 ],
- "Q": [ 944 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10575": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 946 ],
- "E": [ 373 ],
- "Q": [ 947 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10576": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 949 ],
- "E": [ 373 ],
- "Q": [ 950 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10577": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 952 ],
- "E": [ 373 ],
- "Q": [ 953 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10578": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 955 ],
- "E": [ 373 ],
- "Q": [ 956 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10579": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 958 ],
- "E": [ 373 ],
- "Q": [ 795 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10580": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2273 ],
- "Q": [ 370 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10581": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 6 ],
- "E": [ 374 ],
- "Q": [ 2797 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10582": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1933 ],
- "E": [ 375 ],
- "Q": [ 47 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10583": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1934 ],
- "E": [ 377 ],
- "Q": [ 49 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10584": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1936 ],
- "E": [ 375 ],
- "Q": [ 50 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10585": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1938 ],
- "E": [ 375 ],
- "Q": [ 51 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10586": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1940 ],
- "E": [ 375 ],
- "Q": [ 54 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10587": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1942 ],
- "E": [ 375 ],
- "Q": [ 52 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10588": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1944 ],
- "E": [ 375 ],
- "Q": [ 53 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10589": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1946 ],
- "E": [ 375 ],
- "Q": [ 55 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10590": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "Q": [ 383 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10591": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1728 ],
- "Q": [ 386 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10594": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 379 ],
- "Q": [ 381 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10595": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1875 ],
- "E": [ 380 ],
- "Q": [ 1874 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10596": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1877 ],
- "Q": [ 2534 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10597": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1879 ],
- "Q": [ 2536 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10598": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1881 ],
- "Q": [ 2538 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10599": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1883 ],
- "Q": [ 2540 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10600": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1885 ],
- "Q": [ 2542 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10601": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1887 ],
- "Q": [ 2544 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10602": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1889 ],
- "Q": [ 2488 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10603": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1891 ],
- "Q": [ 2491 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10604": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1893 ],
- "Q": [ 2493 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10605": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1895 ],
- "Q": [ 2495 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10606": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1897 ],
- "Q": [ 2497 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10607": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1899 ],
- "Q": [ 2499 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10608": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1901 ],
- "Q": [ 2501 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10609": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1903 ],
- "Q": [ 2503 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10610": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1905 ],
- "Q": [ 2505 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10611": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1907 ],
- "Q": [ 2507 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10612": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1909 ],
- "Q": [ 2509 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10613": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1911 ],
- "Q": [ 2511 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10614": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1913 ],
- "Q": [ 2513 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10615": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1915 ],
- "Q": [ 2515 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10616": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1917 ],
- "Q": [ 2517 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10617": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1919 ],
- "Q": [ 2519 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10618": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1921 ],
- "Q": [ 2521 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10619": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1923 ],
- "Q": [ 2523 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10620": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1925 ],
- "Q": [ 2525 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10621": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1927 ],
- "Q": [ 2527 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10622": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1929 ],
- "Q": [ 2529 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10623": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1931 ],
- "Q": [ 2531 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10624": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1796 ],
- "E": [ 390 ],
- "Q": [ 2043 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10625": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1805 ],
- "E": [ 390 ],
- "Q": [ 1794 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10626": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1811 ],
- "E": [ 390 ],
- "Q": [ 1803 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10627": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1817 ],
- "E": [ 390 ],
- "Q": [ 1809 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10628": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1823 ],
- "E": [ 390 ],
- "Q": [ 1815 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10629": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1829 ],
- "E": [ 390 ],
- "Q": [ 1821 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10630": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1835 ],
- "E": [ 390 ],
- "Q": [ 1827 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10631": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1841 ],
- "E": [ 390 ],
- "Q": [ 1833 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10632": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1788 ],
- "E": [ 388 ],
- "Q": [ 394 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10633": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1789 ],
- "E": [ 395 ],
- "Q": [ 391 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10634": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1791 ],
- "E": [ 388 ],
- "Q": [ 392 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10635": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1793 ],
- "E": [ 388 ],
- "Q": [ 393 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10636": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 385 ],
- "E": [ 397 ],
- "Q": [ 382 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10637": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 398 ],
- "Q": [ 426 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10638": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2798 ],
- "E": [ 399 ],
- "Q": [ 619 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10639": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2799 ],
- "E": [ 399 ],
- "Q": [ 618 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10640": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2800 ],
- "E": [ 399 ],
- "Q": [ 625 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10641": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2801 ],
- "E": [ 399 ],
- "Q": [ 626 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10642": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2802 ],
- "E": [ 399 ],
- "Q": [ 627 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10643": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2803 ],
- "E": [ 399 ],
- "Q": [ 622 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10644": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2804 ],
- "E": [ 399 ],
- "Q": [ 623 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10645": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2805 ],
- "E": [ 399 ],
- "Q": [ 624 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10646": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1519 ],
- "Q": [ 403 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10649": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "Q": [ 410 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10650": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1730 ],
- "E": [ 409 ],
- "Q": [ 1729 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10651": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1732 ],
- "Q": [ 2593 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10652": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1734 ],
- "Q": [ 2595 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10653": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1736 ],
- "Q": [ 2597 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10654": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1738 ],
- "Q": [ 2599 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10655": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1740 ],
- "Q": [ 2601 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10656": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1742 ],
- "Q": [ 2603 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10657": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1744 ],
- "Q": [ 2547 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10658": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1746 ],
- "Q": [ 2550 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10659": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1748 ],
- "Q": [ 2552 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10660": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1750 ],
- "Q": [ 2554 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10661": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1752 ],
- "Q": [ 2556 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10662": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1754 ],
- "Q": [ 2558 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10663": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1756 ],
- "Q": [ 2560 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10664": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1758 ],
- "Q": [ 2562 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10665": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1760 ],
- "Q": [ 2564 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10666": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1762 ],
- "Q": [ 2566 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10667": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1764 ],
- "Q": [ 2568 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10668": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1766 ],
- "Q": [ 2570 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10669": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1768 ],
- "Q": [ 2572 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10670": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1770 ],
- "Q": [ 2574 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10671": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1772 ],
- "Q": [ 2576 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10672": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1774 ],
- "Q": [ 2578 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10673": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1776 ],
- "Q": [ 2580 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10674": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1778 ],
- "Q": [ 2582 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10675": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1780 ],
- "Q": [ 2584 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10676": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1782 ],
- "Q": [ 2586 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10677": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1784 ],
- "Q": [ 2588 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10678": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1786 ],
- "Q": [ 2590 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10679": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 401 ],
- "Q": [ 416 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10680": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2799 ],
- "E": [ 412 ],
- "Q": [ 2798 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10681": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2800 ],
- "E": [ 412 ],
- "Q": [ 2799 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10682": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2801 ],
- "E": [ 412 ],
- "Q": [ 2800 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10683": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2802 ],
- "E": [ 412 ],
- "Q": [ 2801 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10684": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2803 ],
- "E": [ 412 ],
- "Q": [ 2802 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10685": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2804 ],
- "E": [ 412 ],
- "Q": [ 2803 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10686": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2805 ],
- "E": [ 412 ],
- "Q": [ 2804 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10687": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 401 ],
- "E": [ 412 ],
- "Q": [ 2805 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10688": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1721 ],
- "E": [ 417 ],
- "Q": [ 406 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10689": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1722 ],
- "E": [ 415 ],
- "Q": [ 404 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10690": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1724 ],
- "E": [ 417 ],
- "Q": [ 405 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10691": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1726 ],
- "E": [ 417 ],
- "Q": [ 407 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10692": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1719 ],
- "Q": [ 402 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10693": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2480 ],
- "E": [ 451 ],
- "Q": [ 428 ],
- "R": [ 422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10694": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2280 ],
- "E": [ 452 ],
- "Q": [ 429 ],
- "R": [ 422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10695": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2482 ],
- "E": [ 451 ],
- "Q": [ 427 ],
- "R": [ 422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10696": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2483 ],
- "E": [ 454 ],
- "Q": [ 441 ],
- "R": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10697": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2281 ],
- "E": [ 456 ],
- "Q": [ 438 ],
- "R": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10698": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2485 ],
- "E": [ 454 ],
- "Q": [ 443 ],
- "R": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10699": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 619 ],
- "E": [ 421 ],
- "Q": [ 1407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10700": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 618 ],
- "E": [ 421 ],
- "Q": [ 1406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10701": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 625 ],
- "E": [ 421 ],
- "Q": [ 1409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 626 ],
- "E": [ 421 ],
- "Q": [ 1410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 627 ],
- "E": [ 421 ],
- "Q": [ 1411 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 622 ],
- "E": [ 421 ],
- "Q": [ 1412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 623 ],
- "E": [ 421 ],
- "Q": [ 1413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 624 ],
- "E": [ 421 ],
- "Q": [ 1414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 619 ],
- "E": [ 789 ],
- "Q": [ 440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 618 ],
- "E": [ 789 ],
- "Q": [ 439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 625 ],
- "E": [ 789 ],
- "Q": [ 2692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10710": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 626 ],
- "E": [ 789 ],
- "Q": [ 2694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10711": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 627 ],
- "E": [ 789 ],
- "Q": [ 2696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10712": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 622 ],
- "E": [ 789 ],
- "Q": [ 2698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10713": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 623 ],
- "E": [ 789 ],
- "Q": [ 2700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10714": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 624 ],
- "E": [ 789 ],
- "Q": [ 2702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10715": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 619 ],
- "E": [ 430 ],
- "Q": [ 2604 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10716": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 618 ],
- "E": [ 430 ],
- "Q": [ 2627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10717": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 625 ],
- "E": [ 430 ],
- "Q": [ 2646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10718": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 626 ],
- "E": [ 430 ],
- "Q": [ 2648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10719": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 627 ],
- "E": [ 430 ],
- "Q": [ 2650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10720": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 622 ],
- "E": [ 430 ],
- "Q": [ 2652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10721": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 623 ],
- "E": [ 430 ],
- "Q": [ 2654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10722": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 624 ],
- "E": [ 430 ],
- "Q": [ 2656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10723": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2604 ],
- "E": [ 430 ],
- "Q": [ 2658 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10724": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2627 ],
- "E": [ 430 ],
- "Q": [ 2660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10725": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2646 ],
- "E": [ 430 ],
- "Q": [ 2606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10726": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2648 ],
- "E": [ 430 ],
- "Q": [ 2609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10727": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2650 ],
- "E": [ 430 ],
- "Q": [ 2611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10728": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2652 ],
- "E": [ 430 ],
- "Q": [ 2613 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10729": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2654 ],
- "E": [ 430 ],
- "Q": [ 2615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10730": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2656 ],
- "E": [ 430 ],
- "Q": [ 2617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10731": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2658 ],
- "E": [ 430 ],
- "Q": [ 2619 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10732": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2660 ],
- "E": [ 430 ],
- "Q": [ 2621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10733": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2606 ],
- "E": [ 430 ],
- "Q": [ 2623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10734": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2609 ],
- "E": [ 430 ],
- "Q": [ 2625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10735": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2611 ],
- "E": [ 430 ],
- "Q": [ 2629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10736": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2613 ],
- "E": [ 430 ],
- "Q": [ 2631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10737": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2615 ],
- "E": [ 430 ],
- "Q": [ 2634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10738": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2617 ],
- "E": [ 430 ],
- "Q": [ 2636 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10739": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2619 ],
- "E": [ 430 ],
- "Q": [ 2638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10740": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2621 ],
- "E": [ 430 ],
- "Q": [ 2640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10741": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2623 ],
- "E": [ 430 ],
- "Q": [ 2641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10742": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2625 ],
- "E": [ 430 ],
- "Q": [ 2643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10743": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2629 ],
- "E": [ 430 ],
- "Q": [ 2645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10747": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1598 ],
- "E": [ 458 ],
- "Q": [ 1631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10748": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1604 ],
- "E": [ 458 ],
- "Q": [ 1635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10749": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1608 ],
- "E": [ 458 ],
- "Q": [ 1639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10750": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1612 ],
- "E": [ 458 ],
- "Q": [ 1643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10751": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1616 ],
- "E": [ 458 ],
- "Q": [ 1647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10752": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1620 ],
- "E": [ 458 ],
- "Q": [ 1651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10753": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1624 ],
- "E": [ 458 ],
- "Q": [ 1655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10754": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1628 ],
- "E": [ 458 ],
- "Q": [ 1659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10755": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1633 ],
- "E": [ 458 ],
- "Q": [ 1663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10756": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1637 ],
- "E": [ 458 ],
- "Q": [ 1667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10757": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1641 ],
- "E": [ 458 ],
- "Q": [ 1671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10758": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1645 ],
- "E": [ 458 ],
- "Q": [ 1675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10759": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1649 ],
- "E": [ 458 ],
- "Q": [ 1679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10760": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1653 ],
- "E": [ 458 ],
- "Q": [ 1683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10761": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1657 ],
- "E": [ 458 ],
- "Q": [ 1687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10762": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1661 ],
- "E": [ 458 ],
- "Q": [ 1691 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10763": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1665 ],
- "E": [ 458 ],
- "Q": [ 1694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10764": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1669 ],
- "E": [ 458 ],
- "Q": [ 1697 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10765": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1673 ],
- "E": [ 458 ],
- "Q": [ 1700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10766": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1677 ],
- "E": [ 458 ],
- "Q": [ 1703 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10767": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1681 ],
- "E": [ 458 ],
- "Q": [ 1706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10768": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1685 ],
- "E": [ 458 ],
- "Q": [ 1709 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10769": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1689 ],
- "E": [ 458 ],
- "Q": [ 1712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10770": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1693 ],
- "E": [ 458 ],
- "Q": [ 1715 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10771": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1696 ],
- "E": [ 458 ],
- "Q": [ 1801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10772": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1699 ],
- "E": [ 458 ],
- "Q": [ 1808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10773": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1702 ],
- "E": [ 458 ],
- "Q": [ 1814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10774": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1705 ],
- "E": [ 458 ],
- "Q": [ 1820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10775": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1708 ],
- "E": [ 458 ],
- "Q": [ 1826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10776": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1711 ],
- "E": [ 458 ],
- "Q": [ 1832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10777": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1714 ],
- "E": [ 458 ],
- "Q": [ 1838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10778": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1717 ],
- "E": [ 458 ],
- "Q": [ 1843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10779": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1569 ],
- "E": [ 460 ],
- "Q": [ 484 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10780": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1570 ],
- "E": [ 488 ],
- "Q": [ 485 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10781": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1572 ],
- "E": [ 460 ],
- "Q": [ 486 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10782": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1574 ],
- "E": [ 460 ],
- "Q": [ 487 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10783": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1576 ],
- "E": [ 460 ],
- "Q": [ 480 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10784": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1578 ],
- "E": [ 460 ],
- "Q": [ 481 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10785": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1580 ],
- "E": [ 460 ],
- "Q": [ 482 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10786": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1582 ],
- "E": [ 460 ],
- "Q": [ 483 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10787": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1584 ],
- "E": [ 460 ],
- "Q": [ 476 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10788": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 58 ],
- "E": [ 460 ],
- "Q": [ 466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10789": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1586 ],
- "E": [ 460 ],
- "Q": [ 477 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10790": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 63 ],
- "E": [ 460 ],
- "Q": [ 467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10791": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1588 ],
- "E": [ 460 ],
- "Q": [ 478 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10792": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 65 ],
- "E": [ 460 ],
- "Q": [ 468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10793": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 67 ],
- "E": [ 460 ],
- "Q": [ 469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10794": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1590 ],
- "E": [ 460 ],
- "Q": [ 479 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10795": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1592 ],
- "E": [ 460 ],
- "Q": [ 472 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10796": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1594 ],
- "E": [ 460 ],
- "Q": [ 473 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10797": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1596 ],
- "E": [ 460 ],
- "Q": [ 474 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10798": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 69 ],
- "E": [ 460 ],
- "Q": [ 470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10799": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 71 ],
- "E": [ 460 ],
- "Q": [ 471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10800": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 881 ],
- "Q": [ 507 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10801": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 880 ],
- "Q": [ 786 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10802": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 881 ],
- "Q": [ 787 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10804": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 883 ],
- "Q": [ 1485 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10805": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1566 ],
- "Q": [ 1396 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10806": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1567 ],
- "Q": [ 1395 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10807": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1397 ],
- "Q": [ 514 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10808": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 137 ],
- "Q": [ 2154 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10809": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 136 ],
- "Q": [ 2152 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10810": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 135 ],
- "Q": [ 2806 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10811": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 514 ],
- "Q": [ 588 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10812": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2156 ],
- "Q": [ 861 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10813": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2806 ],
- "Q": [ 2807 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10814": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2237 ],
- "Q": [ 841 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10815": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 861 ],
- "Q": [ 842 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10816": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2807 ],
- "Q": [ 849 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10817": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2238 ],
- "Q": [ 2808 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10818": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 841 ],
- "Q": [ 491 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10819": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 842 ],
- "Q": [ 915 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10820": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2808 ],
- "Q": [ 503 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10821": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 856 ],
- "Q": [ 494 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10822": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 852 ],
- "Q": [ 2809 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10823": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2151 ],
- "Q": [ 2810 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10824": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2809 ],
- "Q": [ 490 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10825": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2810 ],
- "Q": [ 2811 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10826": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1552 ],
- "E": [ 493 ],
- "Q": [ 489 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10827": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1553 ],
- "E": [ 493 ],
- "Q": [ 501 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10828": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1555 ],
- "E": [ 493 ],
- "Q": [ 500 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10829": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1557 ],
- "E": [ 493 ],
- "Q": [ 1554 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10830": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1559 ],
- "E": [ 493 ],
- "Q": [ 1556 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10831": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1561 ],
- "E": [ 493 ],
- "Q": [ 1558 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10832": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1563 ],
- "E": [ 493 ],
- "Q": [ 1560 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10833": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1565 ],
- "E": [ 493 ],
- "Q": [ 1562 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10834": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1516 ],
- "E": [ 493 ],
- "Q": [ 1564 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10835": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1521 ],
- "E": [ 496 ],
- "Q": [ 495 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10836": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1523 ],
- "E": [ 496 ],
- "Q": [ 1520 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10837": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1525 ],
- "E": [ 496 ],
- "Q": [ 1522 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10838": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1527 ],
- "E": [ 496 ],
- "Q": [ 1524 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10839": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1529 ],
- "E": [ 496 ],
- "Q": [ 1526 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10840": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1531 ],
- "E": [ 496 ],
- "Q": [ 1528 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10841": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1533 ],
- "E": [ 496 ],
- "Q": [ 1530 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10842": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1535 ],
- "E": [ 496 ],
- "Q": [ 1532 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10843": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1537 ],
- "E": [ 496 ],
- "Q": [ 1534 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10844": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1539 ],
- "E": [ 496 ],
- "Q": [ 1536 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10845": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1541 ],
- "E": [ 496 ],
- "Q": [ 1538 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10846": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1543 ],
- "E": [ 496 ],
- "Q": [ 1540 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10847": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1545 ],
- "E": [ 496 ],
- "Q": [ 1542 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10848": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1547 ],
- "E": [ 496 ],
- "Q": [ 1544 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10849": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1549 ],
- "E": [ 496 ],
- "Q": [ 1546 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10850": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1551 ],
- "E": [ 496 ],
- "Q": [ 1548 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10851": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1516 ],
- "E": [ 496 ],
- "Q": [ 1550 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10852": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 934 ],
- "E": [ 496 ],
- "Q": [ 757 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10853": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 496 ],
- "Q": [ 758 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10854": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 932 ],
- "E": [ 496 ],
- "Q": [ 763 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10855": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1499 ],
- "E": [ 496 ],
- "Q": [ 762 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10856": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 931 ],
- "E": [ 496 ],
- "Q": [ 761 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10857": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 760 ],
- "Q": [ 2330 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10858": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1501 ],
- "E": [ 499 ],
- "Q": [ 498 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10859": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1503 ],
- "E": [ 499 ],
- "Q": [ 1500 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10860": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1505 ],
- "E": [ 499 ],
- "Q": [ 1502 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10861": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1507 ],
- "E": [ 499 ],
- "Q": [ 1504 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10862": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1509 ],
- "E": [ 499 ],
- "Q": [ 1506 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10863": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1511 ],
- "E": [ 499 ],
- "Q": [ 1508 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10864": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1513 ],
- "E": [ 499 ],
- "Q": [ 1510 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10865": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1515 ],
- "E": [ 499 ],
- "Q": [ 1512 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10866": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1517 ],
- "E": [ 499 ],
- "Q": [ 1514 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10867": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 930 ],
- "E": [ 502 ],
- "Q": [ 779 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10868": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 929 ],
- "E": [ 502 ],
- "Q": [ 773 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10869": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 928 ],
- "E": [ 502 ],
- "Q": [ 778 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10870": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 927 ],
- "E": [ 502 ],
- "Q": [ 777 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10871": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 926 ],
- "E": [ 502 ],
- "Q": [ 774 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10872": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 925 ],
- "E": [ 502 ],
- "Q": [ 775 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10873": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 924 ],
- "E": [ 502 ],
- "Q": [ 776 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10874": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 923 ],
- "E": [ 502 ],
- "Q": [ 769 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10875": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 922 ],
- "E": [ 502 ],
- "Q": [ 770 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10876": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 921 ],
- "E": [ 502 ],
- "Q": [ 771 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10877": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 920 ],
- "E": [ 502 ],
- "Q": [ 772 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10878": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 919 ],
- "E": [ 502 ],
- "Q": [ 781 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10879": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 918 ],
- "E": [ 502 ],
- "Q": [ 782 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10880": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 917 ],
- "E": [ 502 ],
- "Q": [ 783 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10881": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1481 ],
- "E": [ 502 ],
- "Q": [ 784 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10882": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 916 ],
- "E": [ 502 ],
- "Q": [ 780 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10883": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 768 ],
- "Q": [ 2812 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10884": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 494 ],
- "E": [ 504 ],
- "Q": [ 2333 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10885": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 498 ],
- "Q": [ 505 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10886": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2812 ],
- "E": [ 506 ],
- "Q": [ 2239 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10887": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 501 ],
- "Q": [ 2813 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10888": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 500 ],
- "Q": [ 2814 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10889": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1554 ],
- "Q": [ 2815 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10890": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1556 ],
- "Q": [ 2816 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10891": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2334 ],
- "Q": [ 2317 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10892": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2811 ],
- "Q": [ 192 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10893": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1491 ],
- "E": [ 511 ],
- "Q": [ 1387 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10894": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1492 ],
- "E": [ 511 ],
- "Q": [ 524 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10895": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1493 ],
- "E": [ 511 ],
- "Q": [ 525 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10896": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1494 ],
- "E": [ 511 ],
- "Q": [ 526 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10897": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1495 ],
- "E": [ 511 ],
- "Q": [ 527 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10898": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1496 ],
- "E": [ 511 ],
- "Q": [ 528 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10899": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1497 ],
- "E": [ 511 ],
- "Q": [ 521 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10900": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1498 ],
- "E": [ 511 ],
- "Q": [ 522 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10901": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 507 ],
- "E": [ 511 ],
- "Q": [ 523 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10902": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 517 ],
- "E": [ 518 ],
- "Q": [ 834 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10903": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1482 ],
- "E": [ 530 ],
- "Q": [ 1391 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10904": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1483 ],
- "E": [ 530 ],
- "Q": [ 538 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10905": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1484 ],
- "E": [ 530 ],
- "Q": [ 539 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10906": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1486 ],
- "E": [ 530 ],
- "Q": [ 540 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10907": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1487 ],
- "E": [ 530 ],
- "Q": [ 541 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10908": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1488 ],
- "E": [ 530 ],
- "Q": [ 542 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10909": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1489 ],
- "E": [ 530 ],
- "Q": [ 535 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10910": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1490 ],
- "E": [ 530 ],
- "Q": [ 536 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10911": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 507 ],
- "E": [ 530 ],
- "Q": [ 537 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10912": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 531 ],
- "E": [ 532 ],
- "Q": [ 561 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10913": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 552 ],
- "E": [ 544 ],
- "Q": [ 884 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10914": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 553 ],
- "E": [ 544 ],
- "Q": [ 552 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10915": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 554 ],
- "E": [ 544 ],
- "Q": [ 553 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10916": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 555 ],
- "E": [ 544 ],
- "Q": [ 554 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10917": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 556 ],
- "E": [ 544 ],
- "Q": [ 555 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10918": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 549 ],
- "E": [ 544 ],
- "Q": [ 556 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10919": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 550 ],
- "E": [ 544 ],
- "Q": [ 549 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10920": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 551 ],
- "E": [ 544 ],
- "Q": [ 550 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10921": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "0" ],
- "E": [ 544 ],
- "Q": [ 551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10922": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "0" ],
- "E": [ 548 ],
- "Q": [ 564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10923": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 914 ],
- "E": [ 557 ],
- "Q": [ 912 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10924": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 913 ],
- "E": [ 557 ],
- "Q": [ 910 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10925": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 911 ],
- "E": [ 557 ],
- "Q": [ 908 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10926": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 909 ],
- "E": [ 557 ],
- "Q": [ 906 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10927": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 907 ],
- "E": [ 557 ],
- "Q": [ 904 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10928": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 905 ],
- "E": [ 557 ],
- "Q": [ 902 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10929": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 903 ],
- "E": [ 557 ],
- "Q": [ 900 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10930": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 901 ],
- "E": [ 557 ],
- "Q": [ 898 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10931": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 899 ],
- "E": [ 557 ],
- "Q": [ 896 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10932": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 897 ],
- "E": [ 557 ],
- "Q": [ 894 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10933": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 895 ],
- "E": [ 557 ],
- "Q": [ 892 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10934": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 893 ],
- "E": [ 557 ],
- "Q": [ 890 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10935": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 891 ],
- "E": [ 557 ],
- "Q": [ 888 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10936": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 889 ],
- "E": [ 557 ],
- "Q": [ 1456 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10937": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1457 ],
- "E": [ 557 ],
- "Q": [ 886 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10938": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 887 ],
- "E": [ 557 ],
- "Q": [ 885 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10939": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1465 ],
- "E": [ 560 ],
- "Q": [ 1392 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10940": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1466 ],
- "E": [ 560 ],
- "Q": [ 583 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10941": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1467 ],
- "E": [ 560 ],
- "Q": [ 576 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10942": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1468 ],
- "E": [ 560 ],
- "Q": [ 577 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10943": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1469 ],
- "E": [ 560 ],
- "Q": [ 578 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10944": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1470 ],
- "E": [ 560 ],
- "Q": [ 579 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10945": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1471 ],
- "E": [ 560 ],
- "Q": [ 572 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10946": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1472 ],
- "E": [ 560 ],
- "Q": [ 573 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10947": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1473 ],
- "E": [ 560 ],
- "Q": [ 574 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10948": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1474 ],
- "E": [ 560 ],
- "Q": [ 575 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10949": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1475 ],
- "E": [ 560 ],
- "Q": [ 584 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10950": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1476 ],
- "E": [ 560 ],
- "Q": [ 585 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10951": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1477 ],
- "E": [ 560 ],
- "Q": [ 586 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10952": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1478 ],
- "E": [ 560 ],
- "Q": [ 587 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10953": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1479 ],
- "E": [ 560 ],
- "Q": [ 580 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10954": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1480 ],
- "E": [ 560 ],
- "Q": [ 581 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10955": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 558 ],
- "E": [ 560 ],
- "Q": [ 582 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10956": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 565 ],
- "E": [ 566 ],
- "Q": [ 837 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10957": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 788 ],
- "E": [ 508 ],
- "Q": [ 562 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10958": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 141 ],
- "Q": [ 2817 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10959": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1390 ],
- "Q": [ 827 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10960": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1393 ],
- "Q": [ 2818 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10961": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2241 ],
- "E": [ 589 ],
- "Q": [ 821 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10962": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2818 ],
- "E": [ 589 ],
- "Q": [ 819 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10963": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2817 ],
- "E": [ 589 ],
- "Q": [ 629 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10964": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 142 ],
- "Q": [ 2819 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10965": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 144 ],
- "Q": [ 2820 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10966": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 147 ],
- "Q": [ 2821 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10967": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2819 ],
- "Q": [ 2822 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10968": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2820 ],
- "Q": [ 2823 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10969": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2821 ],
- "Q": [ 2824 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10970": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2813 ],
- "E": [ 591 ],
- "Q": [ 631 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10971": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2814 ],
- "E": [ 591 ],
- "Q": [ 638 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10972": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2815 ],
- "E": [ 591 ],
- "Q": [ 636 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10973": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2816 ],
- "E": [ 591 ],
- "Q": [ 637 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10974": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2317 ],
- "Q": [ 590 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10975": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 593 ],
- "Q": [ 12 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10976": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 593 ],
- "Q": [ 11 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10977": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 593 ],
- "Q": [ 1141 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10978": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 593 ],
- "Q": [ 1143 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10979": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 593 ],
- "Q": [ 1145 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10980": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 593 ],
- "Q": [ 1147 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10981": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 593 ],
- "Q": [ 1149 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10982": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 593 ],
- "Q": [ 1151 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10983": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 596 ],
- "Q": [ 1309 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10984": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 598 ],
- "Q": [ 1315 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10985": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 599 ],
- "Q": [ 2346 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10986": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 599 ],
- "Q": [ 1340 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10987": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 600 ],
- "Q": [ 2328 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10988": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 600 ],
- "Q": [ 1341 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10989": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2244 ],
- "Q": [ 1293 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10990": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2245 ],
- "Q": [ 1302 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10991": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2246 ],
- "Q": [ 1304 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10992": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2247 ],
- "Q": [ 1299 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10993": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2150 ],
- "Q": [ 1298 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10994": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2143 ],
- "Q": [ 188 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10995": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2144 ],
- "Q": [ 156 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10996": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2146 ],
- "Q": [ 191 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10997": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2148 ],
- "Q": [ 186 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$10999": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1459 ],
- "E": [ 601 ],
- "Q": [ 164 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11000": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1460 ],
- "E": [ 602 ],
- "Q": [ 168 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11001": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1462 ],
- "E": [ 601 ],
- "Q": [ 169 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11002": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1464 ],
- "E": [ 601 ],
- "Q": [ 170 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11003": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 74 ],
- "E": [ 601 ],
- "Q": [ 165 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11004": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 603 ],
- "Q": [ 1291 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11005": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 603 ],
- "Q": [ 1343 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11006": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 603 ],
- "Q": [ 1354 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11007": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 603 ],
- "Q": [ 1361 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11008": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 603 ],
- "Q": [ 1368 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11009": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 603 ],
- "Q": [ 1373 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11010": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 603 ],
- "Q": [ 1379 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11011": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 603 ],
- "Q": [ 1384 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11012": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 604 ],
- "Q": [ 1314 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11013": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 604 ],
- "Q": [ 1342 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11014": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 604 ],
- "Q": [ 1356 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11015": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 604 ],
- "Q": [ 1363 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11016": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 604 ],
- "Q": [ 1369 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11017": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 604 ],
- "Q": [ 1374 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11018": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 604 ],
- "Q": [ 1380 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11019": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 604 ],
- "Q": [ 1386 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11020": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 605 ],
- "Q": [ 1290 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11021": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 607 ],
- "Q": [ 2292 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11022": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 607 ],
- "Q": [ 803 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11023": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 607 ],
- "Q": [ 1355 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11024": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 607 ],
- "Q": [ 1362 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11025": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 607 ],
- "Q": [ 800 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11026": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 607 ],
- "Q": [ 807 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11027": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 607 ],
- "Q": [ 808 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11028": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 607 ],
- "Q": [ 1385 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11029": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 610 ],
- "Q": [ 2301 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11030": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 612 ],
- "Q": [ 1313 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11031": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 40 ],
- "E": [ 612 ],
- "Q": [ 1339 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11032": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "E": [ 612 ],
- "Q": [ 1353 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11033": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 17 ],
- "E": [ 612 ],
- "Q": [ 1360 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11034": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 42 ],
- "E": [ 612 ],
- "Q": [ 1367 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11035": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 38 ],
- "E": [ 612 ],
- "Q": [ 713 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11036": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "E": [ 612 ],
- "Q": [ 1378 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11037": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2796 ],
- "E": [ 612 ],
- "Q": [ 710 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11038": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 349 ],
- "E": [ 615 ],
- "Q": [ 1312 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11039": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2248 ],
- "Q": [ 1318 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11040": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2249 ],
- "Q": [ 1324 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11041": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2125 ],
- "Q": [ 1321 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11042": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2121 ],
- "Q": [ 2118 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11043": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2123 ],
- "Q": [ 2122 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11045": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1400 ],
- "E": [ 617 ],
- "Q": [ 59 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11046": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1416 ],
- "E": [ 617 ],
- "Q": [ 60 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11047": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1422 ],
- "E": [ 617 ],
- "Q": [ 61 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11048": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 872 ],
- "E": [ "1" ],
- "Q": [ 133 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11049": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 875 ],
- "E": [ "1" ],
- "Q": [ 134 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11050": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 877 ],
- "E": [ "1" ],
- "Q": [ 132 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11051": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2155 ],
- "Q": [ 2153 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11052": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 863 ],
- "Q": [ 862 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11053": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 867 ],
- "Q": [ 864 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11054": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 869 ],
- "Q": [ 865 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11055": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 845 ],
- "Q": [ 847 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11056": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 854 ],
- "Q": [ 850 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11057": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 859 ],
- "Q": [ 851 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11058": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 833 ],
- "E": [ 628 ],
- "Q": [ 138 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11059": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2327 ],
- "E": [ 628 ],
- "Q": [ 139 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11060": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 840 ],
- "E": [ 628 ],
- "Q": [ 140 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11061": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 828 ],
- "Q": [ 512 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11062": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2324 ],
- "Q": [ 515 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11063": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 830 ],
- "Q": [ 516 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11064": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 818 ],
- "E": [ 630 ],
- "Q": [ 143 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11065": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2322 ],
- "E": [ 630 ],
- "Q": [ 146 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11066": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 826 ],
- "E": [ 630 ],
- "Q": [ 145 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11067": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2319 ],
- "E": [ 634 ],
- "Q": [ 639 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11068": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 813 ],
- "E": [ 634 ],
- "Q": [ 640 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11069": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 814 ],
- "E": [ 634 ],
- "Q": [ 641 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11070": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 197 ],
- "E": [ 642 ],
- "Q": [ 193 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11071": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 197 ],
- "E": [ 642 ],
- "Q": [ 194 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11072": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 196 ],
- "E": [ 642 ],
- "Q": [ 195 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11073": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 265 ],
- "Q": [ 1601 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11074": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 369 ],
- "Q": [ 1599 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11075": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1426 ],
- "E": [ "1" ],
- "Q": [ 85 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11076": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1427 ],
- "E": [ 643 ],
- "Q": [ 103 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11077": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1429 ],
- "E": [ "1" ],
- "Q": [ 104 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11078": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1431 ],
- "E": [ "1" ],
- "Q": [ 105 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11079": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1433 ],
- "E": [ "1" ],
- "Q": [ 106 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11080": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1435 ],
- "E": [ "1" ],
- "Q": [ 99 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11081": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1437 ],
- "E": [ "1" ],
- "Q": [ 100 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11082": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1439 ],
- "E": [ "1" ],
- "Q": [ 101 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11083": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1441 ],
- "E": [ "1" ],
- "Q": [ 102 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11084": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1443 ],
- "E": [ "1" ],
- "Q": [ 95 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11085": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1445 ],
- "E": [ "1" ],
- "Q": [ 96 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11086": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1447 ],
- "E": [ "1" ],
- "Q": [ 97 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11087": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1449 ],
- "E": [ "1" ],
- "Q": [ 98 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11088": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1451 ],
- "E": [ "1" ],
- "Q": [ 92 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11089": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1453 ],
- "E": [ "1" ],
- "Q": [ 93 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11090": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1455 ],
- "E": [ "1" ],
- "Q": [ 94 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11091": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 79 ],
- "E": [ "1" ],
- "Q": [ 87 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11092": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2351 ],
- "Q": [ 2172 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11093": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1334 ],
- "Q": [ 2182 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11094": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1352 ],
- "Q": [ 2192 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11095": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1359 ],
- "Q": [ 2202 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11096": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1366 ],
- "Q": [ 2210 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11097": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1372 ],
- "Q": [ 2218 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11098": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1377 ],
- "Q": [ 2226 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11099": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1383 ],
- "Q": [ 2234 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11100": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1212 ],
- "Q": [ 2173 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11101": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1223 ],
- "Q": [ 2183 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11102": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1232 ],
- "Q": [ 2193 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11103": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1242 ],
- "Q": [ 2203 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11104": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1253 ],
- "Q": [ 2211 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11105": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1262 ],
- "Q": [ 2219 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11106": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1272 ],
- "Q": [ 2227 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11107": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1282 ],
- "Q": [ 2235 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11108": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 785 ],
- "Q": [ 2171 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11109": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1157 ],
- "Q": [ 2166 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11110": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1173 ],
- "Q": [ 2177 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11111": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1184 ],
- "Q": [ 2187 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11112": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1193 ],
- "Q": [ 2197 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11113": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1197 ],
- "Q": [ 2204 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11114": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1202 ],
- "Q": [ 2212 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11115": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1207 ],
- "Q": [ 2220 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11116": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1209 ],
- "Q": [ 2228 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11117": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1139 ],
- "Q": [ 2167 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11118": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1140 ],
- "Q": [ 2178 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11119": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1142 ],
- "Q": [ 2188 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11120": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1144 ],
- "Q": [ 2198 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11121": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1146 ],
- "Q": [ 2205 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11122": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1148 ],
- "Q": [ 2213 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11123": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1150 ],
- "Q": [ 2221 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11124": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1152 ],
- "Q": [ 2229 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11125": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1134 ],
- "Q": [ 2168 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11126": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1135 ],
- "Q": [ 2179 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11127": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1136 ],
- "Q": [ 2189 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11128": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1138 ],
- "Q": [ 2199 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11133": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 962 ],
- "Q": [ 2169 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11134": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 989 ],
- "Q": [ 2180 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11135": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1010 ],
- "Q": [ 2190 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11136": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1031 ],
- "Q": [ 2200 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11137": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1052 ],
- "Q": [ 2206 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11138": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1072 ],
- "Q": [ 2214 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11139": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1092 ],
- "Q": [ 2222 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11140": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1114 ],
- "Q": [ 2230 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 7 ],
- "Q": [ 2825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2825 ],
- "Q": [ 401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11143": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2826 ],
- "Q": [ 2827 ],
- "S": [ 2824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2827 ],
- "Q": [ 2828 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2828 ],
- "Q": [ 873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11146": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2829 ],
- "Q": [ 2830 ],
- "R": [ 2824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2830 ],
- "Q": [ 2831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2831 ],
- "Q": [ 871 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 175 ],
- "Q": [ 2832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2832 ],
- "Q": [ 1306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 180 ],
- "Q": [ 2833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 179 ],
- "Q": [ 2834 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 182 ],
- "Q": [ 2835 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11154": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 171 ],
- "Q": [ 2836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11155": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 176 ],
- "Q": [ 2837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2833 ],
- "Q": [ 1294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11157": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2834 ],
- "Q": [ 1303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11158": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2835 ],
- "Q": [ 1305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11159": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2836 ],
- "Q": [ 1300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2837 ],
- "Q": [ 1301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 719 ],
- "Q": [ 2838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2838 ],
- "Q": [ 2344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 722 ],
- "Q": [ 2839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 717 ],
- "Q": [ 2840 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 721 ],
- "Q": [ 2841 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11166": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2839 ],
- "Q": [ 1319 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11167": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2840 ],
- "Q": [ 1323 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11168": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2841 ],
- "Q": [ 1322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11169": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 76 ],
- "Q": [ 75 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11170": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2157 ],
- "Q": [ 180 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11171": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2158 ],
- "Q": [ 179 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11172": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2159 ],
- "Q": [ 182 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11173": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2160 ],
- "Q": [ 171 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11174": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2139 ],
- "Q": [ 176 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11175": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2134 ],
- "Q": [ 154 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11176": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2135 ],
- "Q": [ 155 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11177": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2136 ],
- "Q": [ 190 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11178": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2137 ],
- "Q": [ 185 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11180": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2086 ],
- "E": [ 645 ],
- "Q": [ 703 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11181": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2087 ],
- "E": [ 646 ],
- "Q": [ 691 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11182": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2089 ],
- "E": [ 645 ],
- "Q": [ 695 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11183": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2091 ],
- "E": [ 645 ],
- "Q": [ 669 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11184": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2093 ],
- "E": [ 645 ],
- "Q": [ 673 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11185": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2095 ],
- "E": [ 645 ],
- "Q": [ 693 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11186": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2097 ],
- "E": [ 645 ],
- "Q": [ 671 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11187": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2099 ],
- "E": [ 645 ],
- "Q": [ 679 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11188": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2101 ],
- "E": [ 645 ],
- "Q": [ 697 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11189": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2103 ],
- "E": [ 645 ],
- "Q": [ 699 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11190": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2105 ],
- "E": [ 645 ],
- "Q": [ 705 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11191": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2107 ],
- "E": [ 645 ],
- "Q": [ 683 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11192": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2109 ],
- "E": [ 645 ],
- "Q": [ 675 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11193": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2111 ],
- "E": [ 645 ],
- "Q": [ 681 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11194": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2113 ],
- "E": [ 645 ],
- "Q": [ 685 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11195": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2115 ],
- "E": [ 645 ],
- "Q": [ 701 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11196": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 751 ],
- "Q": [ 752 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11197": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 709 ],
- "E": [ 725 ],
- "Q": [ 708 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11198": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2063 ],
- "E": [ 728 ],
- "Q": [ 659 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11199": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2282 ],
- "E": [ 729 ],
- "Q": [ 660 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11200": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2066 ],
- "E": [ 728 ],
- "Q": [ 661 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11201": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2069 ],
- "E": [ 728 ],
- "Q": [ 662 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11202": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2072 ],
- "E": [ 728 ],
- "Q": [ 655 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11203": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2075 ],
- "E": [ 728 ],
- "Q": [ 656 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11204": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2078 ],
- "E": [ 728 ],
- "Q": [ 657 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11205": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2081 ],
- "E": [ 728 ],
- "Q": [ 658 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11206": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2084 ],
- "E": [ 728 ],
- "Q": [ 652 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11207": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2368 ],
- "E": [ 730 ],
- "Q": [ 731 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11208": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2276 ],
- "E": [ 732 ],
- "Q": [ 2275 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11209": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2371 ],
- "E": [ 730 ],
- "Q": [ 2370 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11210": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2374 ],
- "E": [ 730 ],
- "Q": [ 2373 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11211": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2377 ],
- "E": [ 730 ],
- "Q": [ 2376 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11212": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2380 ],
- "E": [ 730 ],
- "Q": [ 2379 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11213": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2383 ],
- "E": [ 730 ],
- "Q": [ 2382 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11214": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2386 ],
- "E": [ 730 ],
- "Q": [ 2385 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11215": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2387 ],
- "E": [ 733 ],
- "Q": [ 734 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11216": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2278 ],
- "E": [ 735 ],
- "Q": [ 2277 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11217": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2390 ],
- "E": [ 733 ],
- "Q": [ 2389 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11218": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2393 ],
- "E": [ 733 ],
- "Q": [ 2392 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11219": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2396 ],
- "E": [ 733 ],
- "Q": [ 2395 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11220": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2399 ],
- "E": [ 733 ],
- "Q": [ 2398 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11221": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2402 ],
- "E": [ 733 ],
- "Q": [ 2401 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11222": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2405 ],
- "E": [ 733 ],
- "Q": [ 2404 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11223": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2242 ],
- "Q": [ 722 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11224": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2243 ],
- "Q": [ 717 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11225": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2133 ],
- "Q": [ 721 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11226": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2129 ],
- "Q": [ 2126 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11227": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2131 ],
- "Q": [ 2130 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11229": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2046 ],
- "E": [ 737 ],
- "Q": [ 738 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11230": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2047 ],
- "E": [ 750 ],
- "Q": [ 745 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11231": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2049 ],
- "E": [ 737 ],
- "Q": [ 746 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11232": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2051 ],
- "E": [ 737 ],
- "Q": [ 747 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11233": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2053 ],
- "E": [ 737 ],
- "Q": [ 748 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11234": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2055 ],
- "E": [ 737 ],
- "Q": [ 742 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11235": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2057 ],
- "E": [ 737 ],
- "Q": [ 743 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11236": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2059 ],
- "E": [ 737 ],
- "Q": [ 744 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11237": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 110 ],
- "E": [ 737 ],
- "Q": [ 740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11238": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 798 ],
- "E": [ 753 ],
- "Q": [ 109 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11239": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 812 ],
- "E": [ 753 ],
- "Q": [ 107 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1309 ],
- "Q": [ 2842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2842 ],
- "Q": [ 648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1315 ],
- "Q": [ 2843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2843 ],
- "Q": [ 76 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1293 ],
- "Q": [ 2844 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1302 ],
- "Q": [ 2845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1304 ],
- "Q": [ 2846 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1299 ],
- "Q": [ 2847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1298 ],
- "Q": [ 2848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11249": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2844 ],
- "Q": [ 181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2845 ],
- "Q": [ 178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2846 ],
- "Q": [ 183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2847 ],
- "Q": [ 172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2848 ],
- "Q": [ 177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1291 ],
- "Q": [ 2849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1343 ],
- "Q": [ 2850 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1354 ],
- "Q": [ 2851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1361 ],
- "Q": [ 2852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1368 ],
- "Q": [ 2853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1373 ],
- "Q": [ 2854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1379 ],
- "Q": [ 2855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1384 ],
- "Q": [ 2856 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1314 ],
- "Q": [ 2857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1342 ],
- "Q": [ 2858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1356 ],
- "Q": [ 2859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1363 ],
- "Q": [ 2860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1369 ],
- "Q": [ 2861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1374 ],
- "Q": [ 2862 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1380 ],
- "Q": [ 2863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1386 ],
- "Q": [ 2864 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2849 ],
- "Q": [ 704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2850 ],
- "Q": [ 692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2851 ],
- "Q": [ 696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2852 ],
- "Q": [ 670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2853 ],
- "Q": [ 674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2854 ],
- "Q": [ 694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2855 ],
- "Q": [ 672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2856 ],
- "Q": [ 680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2857 ],
- "Q": [ 698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2858 ],
- "Q": [ 700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2859 ],
- "Q": [ 706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2860 ],
- "Q": [ 684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2861 ],
- "Q": [ 676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2862 ],
- "Q": [ 682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2863 ],
- "Q": [ 686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2864 ],
- "Q": [ 702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1290 ],
- "Q": [ 2865 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2865 ],
- "Q": [ 751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1318 ],
- "Q": [ 2866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1324 ],
- "Q": [ 2867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1321 ],
- "Q": [ 2868 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11291": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2866 ],
- "Q": [ 723 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11292": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2867 ],
- "Q": [ 718 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11293": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2868 ],
- "Q": [ 724 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11294": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 112 ],
- "E": [ 754 ],
- "Q": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11295": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 113 ],
- "E": [ 755 ],
- "Q": [ 32 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11296": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 115 ],
- "E": [ 754 ],
- "Q": [ 33 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11297": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 117 ],
- "E": [ 754 ],
- "Q": [ 26 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11298": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 119 ],
- "E": [ 754 ],
- "Q": [ 27 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11299": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 121 ],
- "E": [ 754 ],
- "Q": [ 28 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11300": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 123 ],
- "E": [ 754 ],
- "Q": [ 29 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11301": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 125 ],
- "E": [ 754 ],
- "Q": [ 22 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11302": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 127 ],
- "E": [ 754 ],
- "Q": [ 23 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11303": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 129 ],
- "E": [ 754 ],
- "Q": [ 24 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11304": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 131 ],
- "E": [ 754 ],
- "Q": [ 25 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$11305": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 15 ],
- "E": [ 754 ],
- "Q": [ 30 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5760": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2794 ],
- "E": [ 2161 ],
- "Q": [ 1326 ],
- "R": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5761": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2795 ],
- "E": [ 2161 ],
- "Q": [ 1347 ],
- "R": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6076": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2121 ],
- "Q": [ 1328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6077": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2123 ],
- "Q": [ 1327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6129": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2794 ],
- "E": [ 2250 ],
- "Q": [ 1330 ],
- "R": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6130": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2795 ],
- "E": [ 2250 ],
- "Q": [ 1349 ],
- "R": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6500": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2794 ],
- "E": [ 2236 ],
- "Q": [ 1325 ],
- "R": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6501": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2795 ],
- "E": [ 2236 ],
- "Q": [ 1346 ],
- "R": [ 2272 ]
- }
- },
- "SB_DFFS": {
- "hide_name": 0,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3957"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "0" ],
- "Q": [ 2869 ],
- "S": [ "0" ]
- }
- },
- "SB_DFFS_1": {
- "hide_name": 0,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:3964"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 2869 ],
- "Q": [ 13 ],
- "S": [ "0" ]
- }
- },
- "SB_IO": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41,
- "PULLUP": 0
- },
- "attributes": {
- "src": "top.v:3888"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 2826 ],
- "D_OUT_0": [ 2822 ],
- "OUTPUT_ENABLE": [ 2824 ],
- "PACKAGE_PIN": [ 9 ]
- }
- },
- "SB_IO_1": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41,
- "PULLUP": 0
- },
- "attributes": {
- "src": "top.v:3898"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 2829 ],
- "D_OUT_0": [ 2823 ],
- "OUTPUT_ENABLE": [ 2824 ],
- "PACKAGE_PIN": [ 10 ]
- }
- },
- "mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0x0x0x0x0x0x0x0x0x1x0x0x0x0x1x1x0x1x1x0x1x1x1x1x0x1x0x1x0x0x1x1x0x1x1x1x0x1x0x0x0x1x1x1x0x0x1x1x0x1x1x0x0x1x0x1x0x1x0x1x0x1x0x0x0x1x0x0x0x0x1x0x0x1x0x1x0x0x1x1x0x1x0x1x0x1x0x1",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 208, 209, 211, 210, "0", "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 2170, 2870, 2181, 2871, 2191, 2872, 2201, 2873, 2209, 2874, 2217, 2875, 2225, 2876, 2233, 2877 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
- "WE": [ "1" ]
- }
- },
- "storage.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 2134, 2135, 2136, 2137, "0", "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 158, 2878, 2307, 2879, 161, 2880, 2304, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889 ],
- "RE": [ "1" ],
- "WADDR": [ 188, 156, 191, 186, "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 2140 ],
- "WDATA": [ 2346, "x", 1340, "x", 2328, "x", 1341, "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
- "WE": [ "1" ]
- }
- },
- "storage_1.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 734, 2277, 2389, 2392, 2395, 2398, 2401, 2404, "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ 709 ],
- "RDATA": [ 2890, 2794, 2891, 2892, 2893, 2795, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903 ],
- "RE": [ "1" ],
- "WADDR": [ 731, 2275, 2370, 2373, 2376, 2379, 2382, 2385, "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ 727 ],
- "WDATA": [ "x", 2116, "x", "x", "x", 2117, "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\uartwishbonebridge_data[31:0]": {
- "hide_name": 1,
- "bits": [ 1598, 1604, 1608, 1612, 1616, 1620, 1624, 1628, 1633, 1637, 1641, 1645, 1649, 1653, 1657, 1661, 1665, 1669, 1673, 1677, 1681, 1685, 1689, 1693, 1696, 1699, 1702, 1705, 1708, 1711, 1714, 1717 ],
- "attributes": {
- "src": "top.v:2639"
- }
- },
- "$abc$25143$n1": {
- "hide_name": 1,
- "bits": [ 15 ],
- "attributes": {
- }
- },
- "$abc$25143$n100": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$25143$n102": {
- "hide_name": 1,
- "bits": [ 740 ],
- "attributes": {
- }
- },
- "$abc$25143$n104": {
- "hide_name": 1,
- "bits": [ 31 ],
- "attributes": {
- }
- },
- "$abc$25143$n106": {
- "hide_name": 1,
- "bits": [ 32 ],
- "attributes": {
- }
- },
- "$abc$25143$n108": {
- "hide_name": 1,
- "bits": [ 33 ],
- "attributes": {
- }
- },
- "$abc$25143$n11": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$25143$n110": {
- "hide_name": 1,
- "bits": [ 26 ],
- "attributes": {
- }
- },
- "$abc$25143$n112": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$25143$n114": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$25143$n1158": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$25143$n116": {
- "hide_name": 1,
- "bits": [ 29 ],
- "attributes": {
- }
- },
- "$abc$25143$n1160": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$25143$n1162": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$abc$25143$n1164": {
- "hide_name": 1,
- "bits": [ 217 ],
- "attributes": {
- }
- },
- "$abc$25143$n1174": {
- "hide_name": 1,
- "bits": [ 220 ],
- "attributes": {
- }
- },
- "$abc$25143$n1178": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$25143$n118": {
- "hide_name": 1,
- "bits": [ 22 ],
- "attributes": {
- }
- },
- "$abc$25143$n1182": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$25143$n1186": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$25143$n1188": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$25143$n1190": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$25143$n1192": {
- "hide_name": 1,
- "bits": [ 280 ],
- "attributes": {
- }
- },
- "$abc$25143$n1194": {
- "hide_name": 1,
- "bits": [ 282 ],
- "attributes": {
- }
- },
- "$abc$25143$n1196": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$25143$n1198": {
- "hide_name": 1,
- "bits": [ 288 ],
- "attributes": {
- }
- },
- "$abc$25143$n120": {
- "hide_name": 1,
- "bits": [ 23 ],
- "attributes": {
- }
- },
- "$abc$25143$n1200": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$25143$n1202": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$25143$n1204": {
- "hide_name": 1,
- "bits": [ 296 ],
- "attributes": {
- }
- },
- "$abc$25143$n1206": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$25143$n1209": {
- "hide_name": 1,
- "bits": [ 304 ],
- "attributes": {
- }
- },
- "$abc$25143$n1210": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$25143$n1212": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$25143$n1218": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$25143$n122": {
- "hide_name": 1,
- "bits": [ 24 ],
- "attributes": {
- }
- },
- "$abc$25143$n1222": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$25143$n1224": {
- "hide_name": 1,
- "bits": [ 361 ],
- "attributes": {
- }
- },
- "$abc$25143$n1226": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$25143$n1229": {
- "hide_name": 1,
- "bits": [ 365 ],
- "attributes": {
- }
- },
- "$abc$25143$n1230": {
- "hide_name": 1,
- "bits": [ 371 ],
- "attributes": {
- }
- },
- "$abc$25143$n1232": {
- "hide_name": 1,
- "bits": [ 373 ],
- "attributes": {
- }
- },
- "$abc$25143$n1237": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$25143$n124": {
- "hide_name": 1,
- "bits": [ 25 ],
- "attributes": {
- }
- },
- "$abc$25143$n1244": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$25143$n1245": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "$abc$25143$n1253": {
- "hide_name": 1,
- "bits": [ 380 ],
- "attributes": {
- }
- },
- "$abc$25143$n1254": {
- "hide_name": 1,
- "bits": [ 385 ],
- "attributes": {
- }
- },
- "$abc$25143$n1264": {
- "hide_name": 1,
- "bits": [ 388 ],
- "attributes": {
- }
- },
- "$abc$25143$n1267": {
- "hide_name": 1,
- "bits": [ 390 ],
- "attributes": {
- }
- },
- "$abc$25143$n1273": {
- "hide_name": 1,
- "bits": [ 395 ],
- "attributes": {
- }
- },
- "$abc$25143$n1279": {
- "hide_name": 1,
- "bits": [ 397 ],
- "attributes": {
- }
- },
- "$abc$25143$n1291": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$25143$n13": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$25143$n1306": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$25143$n1313": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$25143$n1321": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$25143$n1323": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$25143$n1328": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$25143$n1329": {
- "hide_name": 1,
- "bits": [ 452 ],
- "attributes": {
- }
- },
- "$abc$25143$n1336": {
- "hide_name": 1,
- "bits": [ 454 ],
- "attributes": {
- }
- },
- "$abc$25143$n1337": {
- "hide_name": 1,
- "bits": [ 456 ],
- "attributes": {
- }
- },
- "$abc$25143$n1341": {
- "hide_name": 1,
- "bits": [ 458 ],
- "attributes": {
- }
- },
- "$abc$25143$n1348": {
- "hide_name": 1,
- "bits": [ 460 ],
- "attributes": {
- }
- },
- "$abc$25143$n1349": {
- "hide_name": 1,
- "bits": [ 488 ],
- "attributes": {
- }
- },
- "$abc$25143$n1354": {
- "hide_name": 1,
- "bits": [ 493 ],
- "attributes": {
- }
- },
- "$abc$25143$n1356": {
- "hide_name": 1,
- "bits": [ 496 ],
- "attributes": {
- }
- },
- "$abc$25143$n1360": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$25143$n1362": {
- "hide_name": 1,
- "bits": [ 502 ],
- "attributes": {
- }
- },
- "$abc$25143$n1365": {
- "hide_name": 1,
- "bits": [ 504 ],
- "attributes": {
- }
- },
- "$abc$25143$n1367": {
- "hide_name": 1,
- "bits": [ 506 ],
- "attributes": {
- }
- },
- "$abc$25143$n1368": {
- "hide_name": 1,
- "bits": [ 508 ],
- "attributes": {
- }
- },
- "$abc$25143$n1370": {
- "hide_name": 1,
- "bits": [ 511 ],
- "attributes": {
- }
- },
- "$abc$25143$n1372": {
- "hide_name": 1,
- "bits": [ 518 ],
- "attributes": {
- }
- },
- "$abc$25143$n1374": {
- "hide_name": 1,
- "bits": [ 530 ],
- "attributes": {
- }
- },
- "$abc$25143$n1376": {
- "hide_name": 1,
- "bits": [ 532 ],
- "attributes": {
- }
- },
- "$abc$25143$n1378": {
- "hide_name": 1,
- "bits": [ 544 ],
- "attributes": {
- }
- },
- "$abc$25143$n1380": {
- "hide_name": 1,
- "bits": [ 548 ],
- "attributes": {
- }
- },
- "$abc$25143$n1381": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$25143$n1385": {
- "hide_name": 1,
- "bits": [ 560 ],
- "attributes": {
- }
- },
- "$abc$25143$n1388": {
- "hide_name": 1,
- "bits": [ 566 ],
- "attributes": {
- }
- },
- "$abc$25143$n1390": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$25143$n1392": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$25143$n1394": {
- "hide_name": 1,
- "bits": [ 593 ],
- "attributes": {
- }
- },
- "$abc$25143$n1396": {
- "hide_name": 1,
- "bits": [ 596 ],
- "attributes": {
- }
- },
- "$abc$25143$n1398": {
- "hide_name": 1,
- "bits": [ 598 ],
- "attributes": {
- }
- },
- "$abc$25143$n1400": {
- "hide_name": 1,
- "bits": [ 599 ],
- "attributes": {
- }
- },
- "$abc$25143$n1402": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$25143$n1409": {
- "hide_name": 1,
- "bits": [ 601 ],
- "attributes": {
- }
- },
- "$abc$25143$n1410": {
- "hide_name": 1,
- "bits": [ 602 ],
- "attributes": {
- }
- },
- "$abc$25143$n1414": {
- "hide_name": 1,
- "bits": [ 603 ],
- "attributes": {
- }
- },
- "$abc$25143$n1416": {
- "hide_name": 1,
- "bits": [ 604 ],
- "attributes": {
- }
- },
- "$abc$25143$n1418": {
- "hide_name": 1,
- "bits": [ 605 ],
- "attributes": {
- }
- },
- "$abc$25143$n1420": {
- "hide_name": 1,
- "bits": [ 607 ],
- "attributes": {
- }
- },
- "$abc$25143$n1422": {
- "hide_name": 1,
- "bits": [ 610 ],
- "attributes": {
- }
- },
- "$abc$25143$n1424": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$25143$n1426": {
- "hide_name": 1,
- "bits": [ 615 ],
- "attributes": {
- }
- },
- "$abc$25143$n1437": {
- "hide_name": 1,
- "bits": [ 617 ],
- "attributes": {
- }
- },
- "$abc$25143$n1441": {
- "hide_name": 1,
- "bits": [ 628 ],
- "attributes": {
- }
- },
- "$abc$25143$n1448": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$25143$n1451": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$25143$n1452": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$25143$n1459": {
- "hide_name": 1,
- "bits": [ 643 ],
- "attributes": {
- }
- },
- "$abc$25143$n1471": {
- "hide_name": 1,
- "bits": [ 646 ],
- "attributes": {
- }
- },
- "$abc$25143$n1474": {
- "hide_name": 1,
- "bits": [ 645 ],
- "attributes": {
- }
- },
- "$abc$25143$n1480": {
- "hide_name": 1,
- "bits": [ 725 ],
- "attributes": {
- }
- },
- "$abc$25143$n1494": {
- "hide_name": 1,
- "bits": [ 728 ],
- "attributes": {
- }
- },
- "$abc$25143$n1495": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$25143$n1498": {
- "hide_name": 1,
- "bits": [ 730 ],
- "attributes": {
- }
- },
- "$abc$25143$n15": {
- "hide_name": 1,
- "bits": [ 46 ],
- "attributes": {
- }
- },
- "$abc$25143$n1503": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$25143$n1507": {
- "hide_name": 1,
- "bits": [ 733 ],
- "attributes": {
- }
- },
- "$abc$25143$n1508": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$25143$n1517": {
- "hide_name": 1,
- "bits": [ 737 ],
- "attributes": {
- }
- },
- "$abc$25143$n1518": {
- "hide_name": 1,
- "bits": [ 750 ],
- "attributes": {
- }
- },
- "$abc$25143$n1520": {
- "hide_name": 1,
- "bits": [ 2272 ],
- "attributes": {
- }
- },
- "$abc$25143$n1521": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$25143$n1528": {
- "hide_name": 1,
- "bits": [ 754 ],
- "attributes": {
- }
- },
- "$abc$25143$n1529": {
- "hide_name": 1,
- "bits": [ 755 ],
- "attributes": {
- }
- },
- "$abc$25143$n1556": {
- "hide_name": 1,
- "bits": [ 449 ],
- "attributes": {
- }
- },
- "$abc$25143$n1558": {
- "hide_name": 1,
- "bits": [ 448 ],
- "attributes": {
- }
- },
- "$abc$25143$n1559": {
- "hide_name": 1,
- "bits": [ 445 ],
- "attributes": {
- }
- },
- "$abc$25143$n1561": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$25143$n1562": {
- "hide_name": 1,
- "bits": [ 447 ],
- "attributes": {
- }
- },
- "$abc$25143$n17": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$25143$n1785": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$25143$n1786": {
- "hide_name": 1,
- "bits": [ 20 ],
- "attributes": {
- }
- },
- "$abc$25143$n1787": {
- "hide_name": 1,
- "bits": [ 21 ],
- "attributes": {
- }
- },
- "$abc$25143$n1794": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$25143$n1795": {
- "hide_name": 1,
- "bits": [ 48 ],
- "attributes": {
- }
- },
- "$abc$25143$n1796": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$25143$n1798": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$25143$n1805": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$25143$n1807": {
- "hide_name": 1,
- "bits": [ 78 ],
- "attributes": {
- }
- },
- "$abc$25143$n1808": {
- "hide_name": 1,
- "bits": [ 80 ],
- "attributes": {
- }
- },
- "$abc$25143$n1809": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$25143$n1810": {
- "hide_name": 1,
- "bits": [ 88 ],
- "attributes": {
- }
- },
- "$abc$25143$n1811": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$25143$n1812": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$25143$n1813": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$25143$n1814": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$25143$n1815": {
- "hide_name": 1,
- "bits": [ 81 ],
- "attributes": {
- }
- },
- "$abc$25143$n1836": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$25143$n1842": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$25143$n1844": {
- "hide_name": 1,
- "bits": [ 163 ],
- "attributes": {
- }
- },
- "$abc$25143$n1845": {
- "hide_name": 1,
- "bits": [ 167 ],
- "attributes": {
- }
- },
- "$abc$25143$n1846": {
- "hide_name": 1,
- "bits": [ 166 ],
- "attributes": {
- }
- },
- "$abc$25143$n1848": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$25143$n1849": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$25143$n1850": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$25143$n1851": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$25143$n1852": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$25143$n1856": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$25143$n1857": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$25143$n1858": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$25143$n1860": {
- "hide_name": 1,
- "bits": [ 212 ],
- "attributes": {
- }
- },
- "$abc$25143$n1862": {
- "hide_name": 1,
- "bits": [ 214 ],
- "attributes": {
- }
- },
- "$abc$25143$n1864": {
- "hide_name": 1,
- "bits": [ 216 ],
- "attributes": {
- }
- },
- "$abc$25143$n1866_1": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$25143$n1867": {
- "hide_name": 1,
- "bits": [ 222 ],
- "attributes": {
- }
- },
- "$abc$25143$n1868_1": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$25143$n1869": {
- "hide_name": 1,
- "bits": [ 224 ],
- "attributes": {
- }
- },
- "$abc$25143$n1870": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$25143$n1871": {
- "hide_name": 1,
- "bits": [ 756 ],
- "attributes": {
- }
- },
- "$abc$25143$n1871_1": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$25143$n1872": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$25143$n1873": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$25143$n1874": {
- "hide_name": 1,
- "bits": [ 244 ],
- "attributes": {
- }
- },
- "$abc$25143$n1875": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$25143$n1876": {
- "hide_name": 1,
- "bits": [ 246 ],
- "attributes": {
- }
- },
- "$abc$25143$n1882": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$25143$n1883": {
- "hide_name": 1,
- "bits": [ 274 ],
- "attributes": {
- }
- },
- "$abc$25143$n1884": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$25143$n1884_1": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$25143$n1885": {
- "hide_name": 1,
- "bits": [ 272 ],
- "attributes": {
- }
- },
- "$abc$25143$n1887": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$25143$n1889_1": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$25143$n1891": {
- "hide_name": 1,
- "bits": [ 281 ],
- "attributes": {
- }
- },
- "$abc$25143$n1892": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$25143$n1894": {
- "hide_name": 1,
- "bits": [ 284 ],
- "attributes": {
- }
- },
- "$abc$25143$n1895_1": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$25143$n1897_1": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$25143$n1898": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$25143$n19": {
- "hide_name": 1,
- "bits": [ 63 ],
- "attributes": {
- }
- },
- "$abc$25143$n1900": {
- "hide_name": 1,
- "bits": [ 290 ],
- "attributes": {
- }
- },
- "$abc$25143$n1901_1": {
- "hide_name": 1,
- "bits": [ 292 ],
- "attributes": {
- }
- },
- "$abc$25143$n1903": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$25143$n1905": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$25143$n1906_1": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$25143$n1908": {
- "hide_name": 1,
- "bits": [ 298 ],
- "attributes": {
- }
- },
- "$abc$25143$n1909_1": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$25143$n1910": {
- "hide_name": 1,
- "bits": [ 300 ],
- "attributes": {
- }
- },
- "$abc$25143$n1913": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$25143$n1914": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$25143$n1915_1": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$25143$n1916": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$25143$n1917": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$25143$n1918": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$25143$n1919": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$25143$n1920": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$25143$n1921": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$25143$n1922": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$25143$n1924": {
- "hide_name": 1,
- "bits": [ 347 ],
- "attributes": {
- }
- },
- "$abc$25143$n1925_1": {
- "hide_name": 1,
- "bits": [ 350 ],
- "attributes": {
- }
- },
- "$abc$25143$n1926": {
- "hide_name": 1,
- "bits": [ 351 ],
- "attributes": {
- }
- },
- "$abc$25143$n1928": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$25143$n1929": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$25143$n1933": {
- "hide_name": 1,
- "bits": [ 359 ],
- "attributes": {
- }
- },
- "$abc$25143$n1935": {
- "hide_name": 1,
- "bits": [ 360 ],
- "attributes": {
- }
- },
- "$abc$25143$n1937": {
- "hide_name": 1,
- "bits": [ 362 ],
- "attributes": {
- }
- },
- "$abc$25143$n1939": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$25143$n1940": {
- "hide_name": 1,
- "bits": [ 366 ],
- "attributes": {
- }
- },
- "$abc$25143$n1941": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$25143$n1942": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$25143$n1946": {
- "hide_name": 1,
- "bits": [ 372 ],
- "attributes": {
- }
- },
- "$abc$25143$n1950": {
- "hide_name": 1,
- "bits": [ 376 ],
- "attributes": {
- }
- },
- "$abc$25143$n1951_1": {
- "hide_name": 1,
- "bits": [ 378 ],
- "attributes": {
- }
- },
- "$abc$25143$n1955_1": {
- "hide_name": 1,
- "bits": [ 384 ],
- "attributes": {
- }
- },
- "$abc$25143$n1957_1": {
- "hide_name": 1,
- "bits": [ 387 ],
- "attributes": {
- }
- },
- "$abc$25143$n1959": {
- "hide_name": 1,
- "bits": [ 389 ],
- "attributes": {
- }
- },
- "$abc$25143$n1965": {
- "hide_name": 1,
- "bits": [ 400 ],
- "attributes": {
- }
- },
- "$abc$25143$n1969_1": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$25143$n1970_1": {
- "hide_name": 1,
- "bits": [ 413 ],
- "attributes": {
- }
- },
- "$abc$25143$n1972_1": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$25143$n1975": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$25143$n1976": {
- "hide_name": 1,
- "bits": [ 423 ],
- "attributes": {
- }
- },
- "$abc$25143$n1977": {
- "hide_name": 1,
- "bits": [ 425 ],
- "attributes": {
- }
- },
- "$abc$25143$n1978": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$25143$n1979_1": {
- "hide_name": 1,
- "bits": [ 419 ],
- "attributes": {
- }
- },
- "$abc$25143$n1981_1": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$25143$n1982_1": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$25143$n1983": {
- "hide_name": 1,
- "bits": [ 432 ],
- "attributes": {
- }
- },
- "$abc$25143$n1984_1": {
- "hide_name": 1,
- "bits": [ 434 ],
- "attributes": {
- }
- },
- "$abc$25143$n1985_1": {
- "hide_name": 1,
- "bits": [ 435 ],
- "attributes": {
- }
- },
- "$abc$25143$n1986": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$25143$n1987_1": {
- "hide_name": 1,
- "bits": [ 437 ],
- "attributes": {
- }
- },
- "$abc$25143$n1988_1": {
- "hide_name": 1,
- "bits": [ 433 ],
- "attributes": {
- }
- },
- "$abc$25143$n1991": {
- "hide_name": 1,
- "bits": [ 450 ],
- "attributes": {
- }
- },
- "$abc$25143$n1994": {
- "hide_name": 1,
- "bits": [ 453 ],
- "attributes": {
- }
- },
- "$abc$25143$n1995": {
- "hide_name": 1,
- "bits": [ 455 ],
- "attributes": {
- }
- },
- "$abc$25143$n1998": {
- "hide_name": 1,
- "bits": [ 457 ],
- "attributes": {
- }
- },
- "$abc$25143$n2000": {
- "hide_name": 1,
- "bits": [ 459 ],
- "attributes": {
- }
- },
- "$abc$25143$n2001": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$25143$n2002": {
- "hide_name": 1,
- "bits": [ 465 ],
- "attributes": {
- }
- },
- "$abc$25143$n2003": {
- "hide_name": 1,
- "bits": [ 462 ],
- "attributes": {
- }
- },
- "$abc$25143$n2004_1": {
- "hide_name": 1,
- "bits": [ 475 ],
- "attributes": {
- }
- },
- "$abc$25143$n2005": {
- "hide_name": 1,
- "bits": [ 463 ],
- "attributes": {
- }
- },
- "$abc$25143$n2006_1": {
- "hide_name": 1,
- "bits": [ 464 ],
- "attributes": {
- }
- },
- "$abc$25143$n2009_1": {
- "hide_name": 1,
- "bits": [ 492 ],
- "attributes": {
- }
- },
- "$abc$25143$n2012_1": {
- "hide_name": 1,
- "bits": [ 497 ],
- "attributes": {
- }
- },
- "$abc$25143$n2018_1": {
- "hide_name": 1,
- "bits": [ 509 ],
- "attributes": {
- }
- },
- "$abc$25143$n2019_1": {
- "hide_name": 1,
- "bits": [ 513 ],
- "attributes": {
- }
- },
- "$abc$25143$n2020": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$25143$n2023": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$25143$n2024_1": {
- "hide_name": 1,
- "bits": [ 520 ],
- "attributes": {
- }
- },
- "$abc$25143$n2026": {
- "hide_name": 1,
- "bits": [ 529 ],
- "attributes": {
- }
- },
- "$abc$25143$n2029": {
- "hide_name": 1,
- "bits": [ 533 ],
- "attributes": {
- }
- },
- "$abc$25143$n2030": {
- "hide_name": 1,
- "bits": [ 534 ],
- "attributes": {
- }
- },
- "$abc$25143$n2032": {
- "hide_name": 1,
- "bits": [ 543 ],
- "attributes": {
- }
- },
- "$abc$25143$n2033": {
- "hide_name": 1,
- "bits": [ 545 ],
- "attributes": {
- }
- },
- "$abc$25143$n2035": {
- "hide_name": 1,
- "bits": [ 547 ],
- "attributes": {
- }
- },
- "$abc$25143$n2036": {
- "hide_name": 1,
- "bits": [ 546 ],
- "attributes": {
- }
- },
- "$abc$25143$n2040": {
- "hide_name": 1,
- "bits": [ 563 ],
- "attributes": {
- }
- },
- "$abc$25143$n2041": {
- "hide_name": 1,
- "bits": [ 559 ],
- "attributes": {
- }
- },
- "$abc$25143$n2044": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$25143$n2045": {
- "hide_name": 1,
- "bits": [ 568 ],
- "attributes": {
- }
- },
- "$abc$25143$n2046": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$25143$n2047": {
- "hide_name": 1,
- "bits": [ 570 ],
- "attributes": {
- }
- },
- "$abc$25143$n2048": {
- "hide_name": 1,
- "bits": [ 571 ],
- "attributes": {
- }
- },
- "$abc$25143$n2052": {
- "hide_name": 1,
- "bits": [ 592 ],
- "attributes": {
- }
- },
- "$abc$25143$n2053": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$25143$n2055": {
- "hide_name": 1,
- "bits": [ 595 ],
- "attributes": {
- }
- },
- "$abc$25143$n2056": {
- "hide_name": 1,
- "bits": [ 597 ],
- "attributes": {
- }
- },
- "$abc$25143$n2066": {
- "hide_name": 1,
- "bits": [ 606 ],
- "attributes": {
- }
- },
- "$abc$25143$n2067": {
- "hide_name": 1,
- "bits": [ 608 ],
- "attributes": {
- }
- },
- "$abc$25143$n2069": {
- "hide_name": 1,
- "bits": [ 609 ],
- "attributes": {
- }
- },
- "$abc$25143$n207": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$25143$n2071": {
- "hide_name": 1,
- "bits": [ 611 ],
- "attributes": {
- }
- },
- "$abc$25143$n2072": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$25143$n2074": {
- "hide_name": 1,
- "bits": [ 614 ],
- "attributes": {
- }
- },
- "$abc$25143$n2076": {
- "hide_name": 1,
- "bits": [ 616 ],
- "attributes": {
- }
- },
- "$abc$25143$n2077": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$25143$n2078": {
- "hide_name": 1,
- "bits": [ 621 ],
- "attributes": {
- }
- },
- "$abc$25143$n2082": {
- "hide_name": 1,
- "bits": [ 632 ],
- "attributes": {
- }
- },
- "$abc$25143$n2083": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$25143$n2084": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$25143$n2089_1": {
- "hide_name": 1,
- "bits": [ 649 ],
- "attributes": {
- }
- },
- "$abc$25143$n2090": {
- "hide_name": 1,
- "bits": [ 650 ],
- "attributes": {
- }
- },
- "$abc$25143$n2091": {
- "hide_name": 1,
- "bits": [ 651 ],
- "attributes": {
- }
- },
- "$abc$25143$n2092_1": {
- "hide_name": 1,
- "bits": [ 653 ],
- "attributes": {
- }
- },
- "$abc$25143$n2093": {
- "hide_name": 1,
- "bits": [ 654 ],
- "attributes": {
- }
- },
- "$abc$25143$n2094": {
- "hide_name": 1,
- "bits": [ 647 ],
- "attributes": {
- }
- },
- "$abc$25143$n2095": {
- "hide_name": 1,
- "bits": [ 663 ],
- "attributes": {
- }
- },
- "$abc$25143$n2096": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$25143$n2097": {
- "hide_name": 1,
- "bits": [ 668 ],
- "attributes": {
- }
- },
- "$abc$25143$n2098": {
- "hide_name": 1,
- "bits": [ 664 ],
- "attributes": {
- }
- },
- "$abc$25143$n2099": {
- "hide_name": 1,
- "bits": [ 677 ],
- "attributes": {
- }
- },
- "$abc$25143$n21": {
- "hide_name": 1,
- "bits": [ 65 ],
- "attributes": {
- }
- },
- "$abc$25143$n2100": {
- "hide_name": 1,
- "bits": [ 678 ],
- "attributes": {
- }
- },
- "$abc$25143$n2101_1": {
- "hide_name": 1,
- "bits": [ 665 ],
- "attributes": {
- }
- },
- "$abc$25143$n2102": {
- "hide_name": 1,
- "bits": [ 687 ],
- "attributes": {
- }
- },
- "$abc$25143$n2103_1": {
- "hide_name": 1,
- "bits": [ 688 ],
- "attributes": {
- }
- },
- "$abc$25143$n2104_1": {
- "hide_name": 1,
- "bits": [ 689 ],
- "attributes": {
- }
- },
- "$abc$25143$n2105_1": {
- "hide_name": 1,
- "bits": [ 690 ],
- "attributes": {
- }
- },
- "$abc$25143$n2106": {
- "hide_name": 1,
- "bits": [ 666 ],
- "attributes": {
- }
- },
- "$abc$25143$n2107": {
- "hide_name": 1,
- "bits": [ 644 ],
- "attributes": {
- }
- },
- "$abc$25143$n2113": {
- "hide_name": 1,
- "bits": [ 712 ],
- "attributes": {
- }
- },
- "$abc$25143$n2120": {
- "hide_name": 1,
- "bits": [ 715 ],
- "attributes": {
- }
- },
- "$abc$25143$n2122_1": {
- "hide_name": 1,
- "bits": [ 720 ],
- "attributes": {
- }
- },
- "$abc$25143$n2124": {
- "hide_name": 1,
- "bits": [ 716 ],
- "attributes": {
- }
- },
- "$abc$25143$n2127": {
- "hide_name": 1,
- "bits": [ 726 ],
- "attributes": {
- }
- },
- "$abc$25143$n2135": {
- "hide_name": 1,
- "bits": [ 736 ],
- "attributes": {
- }
- },
- "$abc$25143$n2136": {
- "hide_name": 1,
- "bits": [ 741 ],
- "attributes": {
- }
- },
- "$abc$25143$n2137": {
- "hide_name": 1,
- "bits": [ 739 ],
- "attributes": {
- }
- },
- "$abc$25143$n2139": {
- "hide_name": 1,
- "bits": [ 749 ],
- "attributes": {
- }
- },
- "$abc$25143$n2145": {
- "hide_name": 1,
- "bits": [ 759 ],
- "attributes": {
- }
- },
- "$abc$25143$n2147": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$25143$n2148": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$25143$n2149": {
- "hide_name": 1,
- "bits": [ 766 ],
- "attributes": {
- }
- },
- "$abc$25143$n2150": {
- "hide_name": 1,
- "bits": [ 767 ],
- "attributes": {
- }
- },
- "$abc$25143$n2158": {
- "hide_name": 1,
- "bits": [ 797 ],
- "attributes": {
- }
- },
- "$abc$25143$n2164": {
- "hide_name": 1,
- "bits": [ 802 ],
- "attributes": {
- }
- },
- "$abc$25143$n2167": {
- "hide_name": 1,
- "bits": [ 806 ],
- "attributes": {
- }
- },
- "$abc$25143$n2168_1": {
- "hide_name": 1,
- "bits": [ 805 ],
- "attributes": {
- }
- },
- "$abc$25143$n2169_1": {
- "hide_name": 1,
- "bits": [ 811 ],
- "attributes": {
- }
- },
- "$abc$25143$n2177": {
- "hide_name": 1,
- "bits": [ 815 ],
- "attributes": {
- }
- },
- "$abc$25143$n2178": {
- "hide_name": 1,
- "bits": [ 820 ],
- "attributes": {
- }
- },
- "$abc$25143$n2179": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$25143$n2180": {
- "hide_name": 1,
- "bits": [ 822 ],
- "attributes": {
- }
- },
- "$abc$25143$n2181": {
- "hide_name": 1,
- "bits": [ 817 ],
- "attributes": {
- }
- },
- "$abc$25143$n2182": {
- "hide_name": 1,
- "bits": [ 823 ],
- "attributes": {
- }
- },
- "$abc$25143$n2187": {
- "hide_name": 1,
- "bits": [ 824 ],
- "attributes": {
- }
- },
- "$abc$25143$n2189": {
- "hide_name": 1,
- "bits": [ 825 ],
- "attributes": {
- }
- },
- "$abc$25143$n2195": {
- "hide_name": 1,
- "bits": [ 829 ],
- "attributes": {
- }
- },
- "$abc$25143$n2197": {
- "hide_name": 1,
- "bits": [ 832 ],
- "attributes": {
- }
- },
- "$abc$25143$n2198": {
- "hide_name": 1,
- "bits": [ 836 ],
- "attributes": {
- }
- },
- "$abc$25143$n2199": {
- "hide_name": 1,
- "bits": [ 835 ],
- "attributes": {
- }
- },
- "$abc$25143$n2200_1": {
- "hide_name": 1,
- "bits": [ 831 ],
- "attributes": {
- }
- },
- "$abc$25143$n2205": {
- "hide_name": 1,
- "bits": [ 838 ],
- "attributes": {
- }
- },
- "$abc$25143$n2207_1": {
- "hide_name": 1,
- "bits": [ 839 ],
- "attributes": {
- }
- },
- "$abc$25143$n2209": {
- "hide_name": 1,
- "bits": [ 844 ],
- "attributes": {
- }
- },
- "$abc$25143$n2210": {
- "hide_name": 1,
- "bits": [ 846 ],
- "attributes": {
- }
- },
- "$abc$25143$n2211": {
- "hide_name": 1,
- "bits": [ 848 ],
- "attributes": {
- }
- },
- "$abc$25143$n2212": {
- "hide_name": 1,
- "bits": [ 843 ],
- "attributes": {
- }
- },
- "$abc$25143$n2215": {
- "hide_name": 1,
- "bits": [ 855 ],
- "attributes": {
- }
- },
- "$abc$25143$n2218": {
- "hide_name": 1,
- "bits": [ 853 ],
- "attributes": {
- }
- },
- "$abc$25143$n2222": {
- "hide_name": 1,
- "bits": [ 858 ],
- "attributes": {
- }
- },
- "$abc$25143$n2223": {
- "hide_name": 1,
- "bits": [ 857 ],
- "attributes": {
- }
- },
- "$abc$25143$n2226_1": {
- "hide_name": 1,
- "bits": [ 860 ],
- "attributes": {
- }
- },
- "$abc$25143$n2228_1": {
- "hide_name": 1,
- "bits": [ 866 ],
- "attributes": {
- }
- },
- "$abc$25143$n2230": {
- "hide_name": 1,
- "bits": [ 868 ],
- "attributes": {
- }
- },
- "$abc$25143$n2232_1": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$25143$n2234_1": {
- "hide_name": 1,
- "bits": [ 874 ],
- "attributes": {
- }
- },
- "$abc$25143$n2236": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$25143$n2238": {
- "hide_name": 1,
- "bits": [ 879 ],
- "attributes": {
- }
- },
- "$abc$25143$n2239_1": {
- "hide_name": 1,
- "bits": [ 878 ],
- "attributes": {
- }
- },
- "$abc$25143$n2242": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$25143$n2286": {
- "hide_name": 1,
- "bits": [ 959 ],
- "attributes": {
- }
- },
- "$abc$25143$n2287_1": {
- "hide_name": 1,
- "bits": [ 965 ],
- "attributes": {
- }
- },
- "$abc$25143$n2288_1": {
- "hide_name": 1,
- "bits": [ 964 ],
- "attributes": {
- }
- },
- "$abc$25143$n2289": {
- "hide_name": 1,
- "bits": [ 968 ],
- "attributes": {
- }
- },
- "$abc$25143$n2290_1": {
- "hide_name": 1,
- "bits": [ 960 ],
- "attributes": {
- }
- },
- "$abc$25143$n2291_1": {
- "hide_name": 1,
- "bits": [ 970 ],
- "attributes": {
- }
- },
- "$abc$25143$n2292": {
- "hide_name": 1,
- "bits": [ 972 ],
- "attributes": {
- }
- },
- "$abc$25143$n2293_1": {
- "hide_name": 1,
- "bits": [ 961 ],
- "attributes": {
- }
- },
- "$abc$25143$n2294_1": {
- "hide_name": 1,
- "bits": [ 975 ],
- "attributes": {
- }
- },
- "$abc$25143$n2295": {
- "hide_name": 1,
- "bits": [ 976 ],
- "attributes": {
- }
- },
- "$abc$25143$n2296_1": {
- "hide_name": 1,
- "bits": [ 977 ],
- "attributes": {
- }
- },
- "$abc$25143$n2297_1": {
- "hide_name": 1,
- "bits": [ 978 ],
- "attributes": {
- }
- },
- "$abc$25143$n2299_1": {
- "hide_name": 1,
- "bits": [ 986 ],
- "attributes": {
- }
- },
- "$abc$25143$n23": {
- "hide_name": 1,
- "bits": [ 67 ],
- "attributes": {
- }
- },
- "$abc$25143$n2300_1": {
- "hide_name": 1,
- "bits": [ 991 ],
- "attributes": {
- }
- },
- "$abc$25143$n2301": {
- "hide_name": 1,
- "bits": [ 994 ],
- "attributes": {
- }
- },
- "$abc$25143$n2302_1": {
- "hide_name": 1,
- "bits": [ 995 ],
- "attributes": {
- }
- },
- "$abc$25143$n2303_1": {
- "hide_name": 1,
- "bits": [ 992 ],
- "attributes": {
- }
- },
- "$abc$25143$n2304": {
- "hide_name": 1,
- "bits": [ 987 ],
- "attributes": {
- }
- },
- "$abc$25143$n2305": {
- "hide_name": 1,
- "bits": [ 1002 ],
- "attributes": {
- }
- },
- "$abc$25143$n2306": {
- "hide_name": 1,
- "bits": [ 988 ],
- "attributes": {
- }
- },
- "$abc$25143$n2308": {
- "hide_name": 1,
- "bits": [ 1007 ],
- "attributes": {
- }
- },
- "$abc$25143$n2309": {
- "hide_name": 1,
- "bits": [ 1012 ],
- "attributes": {
- }
- },
- "$abc$25143$n2310": {
- "hide_name": 1,
- "bits": [ 1015 ],
- "attributes": {
- }
- },
- "$abc$25143$n2311": {
- "hide_name": 1,
- "bits": [ 1013 ],
- "attributes": {
- }
- },
- "$abc$25143$n2312": {
- "hide_name": 1,
- "bits": [ 1008 ],
- "attributes": {
- }
- },
- "$abc$25143$n2313": {
- "hide_name": 1,
- "bits": [ 1021 ],
- "attributes": {
- }
- },
- "$abc$25143$n2314": {
- "hide_name": 1,
- "bits": [ 1022 ],
- "attributes": {
- }
- },
- "$abc$25143$n2315": {
- "hide_name": 1,
- "bits": [ 1009 ],
- "attributes": {
- }
- },
- "$abc$25143$n2317_1": {
- "hide_name": 1,
- "bits": [ 1028 ],
- "attributes": {
- }
- },
- "$abc$25143$n2318": {
- "hide_name": 1,
- "bits": [ 1033 ],
- "attributes": {
- }
- },
- "$abc$25143$n2319_1": {
- "hide_name": 1,
- "bits": [ 1034 ],
- "attributes": {
- }
- },
- "$abc$25143$n2320_1": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$25143$n2321": {
- "hide_name": 1,
- "bits": [ 1040 ],
- "attributes": {
- }
- },
- "$abc$25143$n2322_1": {
- "hide_name": 1,
- "bits": [ 1030 ],
- "attributes": {
- }
- },
- "$abc$25143$n2323_1": {
- "hide_name": 1,
- "bits": [ 1044 ],
- "attributes": {
- }
- },
- "$abc$25143$n2324": {
- "hide_name": 1,
- "bits": [ 1045 ],
- "attributes": {
- }
- },
- "$abc$25143$n2326_1": {
- "hide_name": 1,
- "bits": [ 1049 ],
- "attributes": {
- }
- },
- "$abc$25143$n2327": {
- "hide_name": 1,
- "bits": [ 1054 ],
- "attributes": {
- }
- },
- "$abc$25143$n2328_1": {
- "hide_name": 1,
- "bits": [ 1057 ],
- "attributes": {
- }
- },
- "$abc$25143$n2329_1": {
- "hide_name": 1,
- "bits": [ 1058 ],
- "attributes": {
- }
- },
- "$abc$25143$n2330": {
- "hide_name": 1,
- "bits": [ 1055 ],
- "attributes": {
- }
- },
- "$abc$25143$n2331_1": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$25143$n2332_1": {
- "hide_name": 1,
- "bits": [ 1051 ],
- "attributes": {
- }
- },
- "$abc$25143$n2334_1": {
- "hide_name": 1,
- "bits": [ 1069 ],
- "attributes": {
- }
- },
- "$abc$25143$n2335_1": {
- "hide_name": 1,
- "bits": [ 1074 ],
- "attributes": {
- }
- },
- "$abc$25143$n2336": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$25143$n2337_1": {
- "hide_name": 1,
- "bits": [ 1075 ],
- "attributes": {
- }
- },
- "$abc$25143$n2338_1": {
- "hide_name": 1,
- "bits": [ 1070 ],
- "attributes": {
- }
- },
- "$abc$25143$n2339": {
- "hide_name": 1,
- "bits": [ 1082 ],
- "attributes": {
- }
- },
- "$abc$25143$n2340_1": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$25143$n2341_1": {
- "hide_name": 1,
- "bits": [ 1071 ],
- "attributes": {
- }
- },
- "$abc$25143$n2343_1": {
- "hide_name": 1,
- "bits": [ 1091 ],
- "attributes": {
- }
- },
- "$abc$25143$n2344_1": {
- "hide_name": 1,
- "bits": [ 1094 ],
- "attributes": {
- }
- },
- "$abc$25143$n2345": {
- "hide_name": 1,
- "bits": [ 1096 ],
- "attributes": {
- }
- },
- "$abc$25143$n2346_1": {
- "hide_name": 1,
- "bits": [ 1090 ],
- "attributes": {
- }
- },
- "$abc$25143$n2347_1": {
- "hide_name": 1,
- "bits": [ 1099 ],
- "attributes": {
- }
- },
- "$abc$25143$n2348": {
- "hide_name": 1,
- "bits": [ 1100 ],
- "attributes": {
- }
- },
- "$abc$25143$n2349_1": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$25143$n2350_1": {
- "hide_name": 1,
- "bits": [ 1102 ],
- "attributes": {
- }
- },
- "$abc$25143$n2352_1": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$25143$n2353_1": {
- "hide_name": 1,
- "bits": [ 1116 ],
- "attributes": {
- }
- },
- "$abc$25143$n2354": {
- "hide_name": 1,
- "bits": [ 1118 ],
- "attributes": {
- }
- },
- "$abc$25143$n2355_1": {
- "hide_name": 1,
- "bits": [ 1112 ],
- "attributes": {
- }
- },
- "$abc$25143$n2356_1": {
- "hide_name": 1,
- "bits": [ 1122 ],
- "attributes": {
- }
- },
- "$abc$25143$n2357": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$25143$n2358_1": {
- "hide_name": 1,
- "bits": [ 1113 ],
- "attributes": {
- }
- },
- "$abc$25143$n2359_1": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$25143$n2361_1": {
- "hide_name": 1,
- "bits": [ 1133 ],
- "attributes": {
- }
- },
- "$abc$25143$n2362_1": {
- "hide_name": 1,
- "bits": [ 1132 ],
- "attributes": {
- }
- },
- "$abc$25143$n2375": {
- "hide_name": 1,
- "bits": [ 1153 ],
- "attributes": {
- }
- },
- "$abc$25143$n2376": {
- "hide_name": 1,
- "bits": [ 1159 ],
- "attributes": {
- }
- },
- "$abc$25143$n2377": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$25143$n2378_1": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$25143$n2379": {
- "hide_name": 1,
- "bits": [ 1162 ],
- "attributes": {
- }
- },
- "$abc$25143$n2380_1": {
- "hide_name": 1,
- "bits": [ 1154 ],
- "attributes": {
- }
- },
- "$abc$25143$n2381": {
- "hide_name": 1,
- "bits": [ 1163 ],
- "attributes": {
- }
- },
- "$abc$25143$n2382": {
- "hide_name": 1,
- "bits": [ 1166 ],
- "attributes": {
- }
- },
- "$abc$25143$n2383": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$25143$n2384": {
- "hide_name": 1,
- "bits": [ 1168 ],
- "attributes": {
- }
- },
- "$abc$25143$n2385": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$25143$n2386": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$25143$n2387": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$25143$n2388_1": {
- "hide_name": 1,
- "bits": [ 1169 ],
- "attributes": {
- }
- },
- "$abc$25143$n2389_1": {
- "hide_name": 1,
- "bits": [ 1156 ],
- "attributes": {
- }
- },
- "$abc$25143$n2391": {
- "hide_name": 1,
- "bits": [ 1170 ],
- "attributes": {
- }
- },
- "$abc$25143$n2392": {
- "hide_name": 1,
- "bits": [ 1174 ],
- "attributes": {
- }
- },
- "$abc$25143$n2393": {
- "hide_name": 1,
- "bits": [ 1178 ],
- "attributes": {
- }
- },
- "$abc$25143$n2394": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$25143$n2395": {
- "hide_name": 1,
- "bits": [ 1177 ],
- "attributes": {
- }
- },
- "$abc$25143$n2396": {
- "hide_name": 1,
- "bits": [ 1175 ],
- "attributes": {
- }
- },
- "$abc$25143$n2397": {
- "hide_name": 1,
- "bits": [ 1176 ],
- "attributes": {
- }
- },
- "$abc$25143$n2398": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$25143$n2399": {
- "hide_name": 1,
- "bits": [ 1180 ],
- "attributes": {
- }
- },
- "$abc$25143$n2400_1": {
- "hide_name": 1,
- "bits": [ 1181 ],
- "attributes": {
- }
- },
- "$abc$25143$n2401_1": {
- "hide_name": 1,
- "bits": [ 1172 ],
- "attributes": {
- }
- },
- "$abc$25143$n2403": {
- "hide_name": 1,
- "bits": [ 1182 ],
- "attributes": {
- }
- },
- "$abc$25143$n2404_1": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$25143$n2405": {
- "hide_name": 1,
- "bits": [ 1186 ],
- "attributes": {
- }
- },
- "$abc$25143$n2406": {
- "hide_name": 1,
- "bits": [ 1187 ],
- "attributes": {
- }
- },
- "$abc$25143$n2407": {
- "hide_name": 1,
- "bits": [ 1188 ],
- "attributes": {
- }
- },
- "$abc$25143$n2408": {
- "hide_name": 1,
- "bits": [ 1189 ],
- "attributes": {
- }
- },
- "$abc$25143$n2409": {
- "hide_name": 1,
- "bits": [ 1183 ],
- "attributes": {
- }
- },
- "$abc$25143$n2410": {
- "hide_name": 1,
- "bits": [ 1190 ],
- "attributes": {
- }
- },
- "$abc$25143$n2411": {
- "hide_name": 1,
- "bits": [ 1191 ],
- "attributes": {
- }
- },
- "$abc$25143$n2413": {
- "hide_name": 1,
- "bits": [ 1192 ],
- "attributes": {
- }
- },
- "$abc$25143$n2414": {
- "hide_name": 1,
- "bits": [ 788 ],
- "attributes": {
- }
- },
- "$abc$25143$n2414_1": {
- "hide_name": 1,
- "bits": [ 1194 ],
- "attributes": {
- }
- },
- "$abc$25143$n2416": {
- "hide_name": 1,
- "bits": [ 1195 ],
- "attributes": {
- }
- },
- "$abc$25143$n2417": {
- "hide_name": 1,
- "bits": [ 1198 ],
- "attributes": {
- }
- },
- "$abc$25143$n2418": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$25143$n2419": {
- "hide_name": 1,
- "bits": [ 1196 ],
- "attributes": {
- }
- },
- "$abc$25143$n2421": {
- "hide_name": 1,
- "bits": [ 1200 ],
- "attributes": {
- }
- },
- "$abc$25143$n2422": {
- "hide_name": 1,
- "bits": [ 1203 ],
- "attributes": {
- }
- },
- "$abc$25143$n2423": {
- "hide_name": 1,
- "bits": [ 1204 ],
- "attributes": {
- }
- },
- "$abc$25143$n2424": {
- "hide_name": 1,
- "bits": [ 1201 ],
- "attributes": {
- }
- },
- "$abc$25143$n2425": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$25143$n2427": {
- "hide_name": 1,
- "bits": [ 1206 ],
- "attributes": {
- }
- },
- "$abc$25143$n2428": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$25143$n2431": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$25143$n2432": {
- "hide_name": 1,
- "bits": [ 1213 ],
- "attributes": {
- }
- },
- "$abc$25143$n2433": {
- "hide_name": 1,
- "bits": [ 1216 ],
- "attributes": {
- }
- },
- "$abc$25143$n2434": {
- "hide_name": 1,
- "bits": [ 1214 ],
- "attributes": {
- }
- },
- "$abc$25143$n2435": {
- "hide_name": 1,
- "bits": [ 1215 ],
- "attributes": {
- }
- },
- "$abc$25143$n2437": {
- "hide_name": 1,
- "bits": [ 1221 ],
- "attributes": {
- }
- },
- "$abc$25143$n2438": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$25143$n2439": {
- "hide_name": 1,
- "bits": [ 1225 ],
- "attributes": {
- }
- },
- "$abc$25143$n2440": {
- "hide_name": 1,
- "bits": [ 1222 ],
- "attributes": {
- }
- },
- "$abc$25143$n2441": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$25143$n2443": {
- "hide_name": 1,
- "bits": [ 1231 ],
- "attributes": {
- }
- },
- "$abc$25143$n2444": {
- "hide_name": 1,
- "bits": [ 1234 ],
- "attributes": {
- }
- },
- "$abc$25143$n2445": {
- "hide_name": 1,
- "bits": [ 1236 ],
- "attributes": {
- }
- },
- "$abc$25143$n2446": {
- "hide_name": 1,
- "bits": [ 1237 ],
- "attributes": {
- }
- },
- "$abc$25143$n2447": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$25143$n2449": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$25143$n2450": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$25143$n2451": {
- "hide_name": 1,
- "bits": [ 1246 ],
- "attributes": {
- }
- },
- "$abc$25143$n2452": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$25143$n2453": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$25143$n2455": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$25143$n2456": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$25143$n2457": {
- "hide_name": 1,
- "bits": [ 1255 ],
- "attributes": {
- }
- },
- "$abc$25143$n2458": {
- "hide_name": 1,
- "bits": [ 1252 ],
- "attributes": {
- }
- },
- "$abc$25143$n2459_1": {
- "hide_name": 1,
- "bits": [ 1250 ],
- "attributes": {
- }
- },
- "$abc$25143$n2461": {
- "hide_name": 1,
- "bits": [ 1261 ],
- "attributes": {
- }
- },
- "$abc$25143$n2462": {
- "hide_name": 1,
- "bits": [ 1264 ],
- "attributes": {
- }
- },
- "$abc$25143$n2463": {
- "hide_name": 1,
- "bits": [ 1266 ],
- "attributes": {
- }
- },
- "$abc$25143$n2464": {
- "hide_name": 1,
- "bits": [ 1267 ],
- "attributes": {
- }
- },
- "$abc$25143$n2465_1": {
- "hide_name": 1,
- "bits": [ 1265 ],
- "attributes": {
- }
- },
- "$abc$25143$n2467_1": {
- "hide_name": 1,
- "bits": [ 1271 ],
- "attributes": {
- }
- },
- "$abc$25143$n2468_1": {
- "hide_name": 1,
- "bits": [ 1273 ],
- "attributes": {
- }
- },
- "$abc$25143$n2469_1": {
- "hide_name": 1,
- "bits": [ 1277 ],
- "attributes": {
- }
- },
- "$abc$25143$n2470_1": {
- "hide_name": 1,
- "bits": [ 1274 ],
- "attributes": {
- }
- },
- "$abc$25143$n2471_1": {
- "hide_name": 1,
- "bits": [ 1275 ],
- "attributes": {
- }
- },
- "$abc$25143$n2473_1": {
- "hide_name": 1,
- "bits": [ 1281 ],
- "attributes": {
- }
- },
- "$abc$25143$n2474_1": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$25143$n2475": {
- "hide_name": 1,
- "bits": [ 1287 ],
- "attributes": {
- }
- },
- "$abc$25143$n2476": {
- "hide_name": 1,
- "bits": [ 1284 ],
- "attributes": {
- }
- },
- "$abc$25143$n2477": {
- "hide_name": 1,
- "bits": [ 158 ],
- "attributes": {
- }
- },
- "$abc$25143$n2477_1": {
- "hide_name": 1,
- "bits": [ 1285 ],
- "attributes": {
- }
- },
- "$abc$25143$n2478": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$25143$n2479": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$25143$n2481": {
- "hide_name": 1,
- "bits": [ 2307 ],
- "attributes": {
- }
- },
- "$abc$25143$n2481_1": {
- "hide_name": 1,
- "bits": [ 1292 ],
- "attributes": {
- }
- },
- "$abc$25143$n2482": {
- "hide_name": 1,
- "bits": [ 2308 ],
- "attributes": {
- }
- },
- "$abc$25143$n2484": {
- "hide_name": 1,
- "bits": [ 161 ],
- "attributes": {
- }
- },
- "$abc$25143$n2485": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$25143$n2485_1": {
- "hide_name": 1,
- "bits": [ 1297 ],
- "attributes": {
- }
- },
- "$abc$25143$n2486": {
- "hide_name": 1,
- "bits": [ 1295 ],
- "attributes": {
- }
- },
- "$abc$25143$n2487": {
- "hide_name": 1,
- "bits": [ 2304 ],
- "attributes": {
- }
- },
- "$abc$25143$n2487_1": {
- "hide_name": 1,
- "bits": [ 1296 ],
- "attributes": {
- }
- },
- "$abc$25143$n2488": {
- "hide_name": 1,
- "bits": [ 2305 ],
- "attributes": {
- }
- },
- "$abc$25143$n2488_1": {
- "hide_name": 1,
- "bits": [ 1308 ],
- "attributes": {
- }
- },
- "$abc$25143$n2489": {
- "hide_name": 1,
- "bits": [ 1307 ],
- "attributes": {
- }
- },
- "$abc$25143$n2490": {
- "hide_name": 1,
- "bits": [ 1310 ],
- "attributes": {
- }
- },
- "$abc$25143$n2491": {
- "hide_name": 1,
- "bits": [ 1311 ],
- "attributes": {
- }
- },
- "$abc$25143$n2493": {
- "hide_name": 1,
- "bits": [ 1317 ],
- "attributes": {
- }
- },
- "$abc$25143$n2494": {
- "hide_name": 1,
- "bits": [ 1316 ],
- "attributes": {
- }
- },
- "$abc$25143$n2495": {
- "hide_name": 1,
- "bits": [ 1320 ],
- "attributes": {
- }
- },
- "$abc$25143$n2499": {
- "hide_name": 1,
- "bits": [ 1329 ],
- "attributes": {
- }
- },
- "$abc$25143$n25": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$25143$n2500": {
- "hide_name": 1,
- "bits": [ 1332 ],
- "attributes": {
- }
- },
- "$abc$25143$n2502": {
- "hide_name": 1,
- "bits": [ 1333 ],
- "attributes": {
- }
- },
- "$abc$25143$n2503": {
- "hide_name": 1,
- "bits": [ 1338 ],
- "attributes": {
- }
- },
- "$abc$25143$n2504": {
- "hide_name": 1,
- "bits": [ 1336 ],
- "attributes": {
- }
- },
- "$abc$25143$n2505": {
- "hide_name": 1,
- "bits": [ 1337 ],
- "attributes": {
- }
- },
- "$abc$25143$n2506": {
- "hide_name": 1,
- "bits": [ 1335 ],
- "attributes": {
- }
- },
- "$abc$25143$n2507": {
- "hide_name": 1,
- "bits": [ 1344 ],
- "attributes": {
- }
- },
- "$abc$25143$n2508": {
- "hide_name": 1,
- "bits": [ 1345 ],
- "attributes": {
- }
- },
- "$abc$25143$n2510": {
- "hide_name": 1,
- "bits": [ 1351 ],
- "attributes": {
- }
- },
- "$abc$25143$n2511": {
- "hide_name": 1,
- "bits": [ 1350 ],
- "attributes": {
- }
- },
- "$abc$25143$n2513": {
- "hide_name": 1,
- "bits": [ 1358 ],
- "attributes": {
- }
- },
- "$abc$25143$n2514": {
- "hide_name": 1,
- "bits": [ 1357 ],
- "attributes": {
- }
- },
- "$abc$25143$n2516": {
- "hide_name": 1,
- "bits": [ 1365 ],
- "attributes": {
- }
- },
- "$abc$25143$n2517": {
- "hide_name": 1,
- "bits": [ 1364 ],
- "attributes": {
- }
- },
- "$abc$25143$n2519": {
- "hide_name": 1,
- "bits": [ 1371 ],
- "attributes": {
- }
- },
- "$abc$25143$n2520": {
- "hide_name": 1,
- "bits": [ 1370 ],
- "attributes": {
- }
- },
- "$abc$25143$n2522": {
- "hide_name": 1,
- "bits": [ 1376 ],
- "attributes": {
- }
- },
- "$abc$25143$n2523": {
- "hide_name": 1,
- "bits": [ 1375 ],
- "attributes": {
- }
- },
- "$abc$25143$n2525": {
- "hide_name": 1,
- "bits": [ 1382 ],
- "attributes": {
- }
- },
- "$abc$25143$n2526": {
- "hide_name": 1,
- "bits": [ 1381 ],
- "attributes": {
- }
- },
- "$abc$25143$n2528": {
- "hide_name": 1,
- "bits": [ 1389 ],
- "attributes": {
- }
- },
- "$abc$25143$n2529_1": {
- "hide_name": 1,
- "bits": [ 1388 ],
- "attributes": {
- }
- },
- "$abc$25143$n2532": {
- "hide_name": 1,
- "bits": [ 1394 ],
- "attributes": {
- }
- },
- "$abc$25143$n2534_1": {
- "hide_name": 1,
- "bits": [ 1399 ],
- "attributes": {
- }
- },
- "$abc$25143$n2535_1": {
- "hide_name": 1,
- "bits": [ 1401 ],
- "attributes": {
- }
- },
- "$abc$25143$n2536_1": {
- "hide_name": 1,
- "bits": [ 1398 ],
- "attributes": {
- }
- },
- "$abc$25143$n2537": {
- "hide_name": 1,
- "bits": [ 1402 ],
- "attributes": {
- }
- },
- "$abc$25143$n2538_1": {
- "hide_name": 1,
- "bits": [ 1403 ],
- "attributes": {
- }
- },
- "$abc$25143$n2539_1": {
- "hide_name": 1,
- "bits": [ 1408 ],
- "attributes": {
- }
- },
- "$abc$25143$n2540_1": {
- "hide_name": 1,
- "bits": [ 1404 ],
- "attributes": {
- }
- },
- "$abc$25143$n2541": {
- "hide_name": 1,
- "bits": [ 1405 ],
- "attributes": {
- }
- },
- "$abc$25143$n2543": {
- "hide_name": 1,
- "bits": [ 1415 ],
- "attributes": {
- }
- },
- "$abc$25143$n2544_1": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$25143$n2545": {
- "hide_name": 1,
- "bits": [ 1418 ],
- "attributes": {
- }
- },
- "$abc$25143$n2546_1": {
- "hide_name": 1,
- "bits": [ 1420 ],
- "attributes": {
- }
- },
- "$abc$25143$n2547_1": {
- "hide_name": 1,
- "bits": [ 1419 ],
- "attributes": {
- }
- },
- "$abc$25143$n2549_1": {
- "hide_name": 1,
- "bits": [ 1421 ],
- "attributes": {
- }
- },
- "$abc$25143$n2550": {
- "hide_name": 1,
- "bits": [ 1423 ],
- "attributes": {
- }
- },
- "$abc$25143$n2587": {
- "hide_name": 1,
- "bits": [ 1328 ],
- "attributes": {
- }
- },
- "$abc$25143$n2592": {
- "hide_name": 1,
- "bits": [ 880 ],
- "attributes": {
- }
- },
- "$abc$25143$n2593": {
- "hide_name": 1,
- "bits": [ 881 ],
- "attributes": {
- }
- },
- "$abc$25143$n2594": {
- "hide_name": 1,
- "bits": [ 883 ],
- "attributes": {
- }
- },
- "$abc$25143$n2596": {
- "hide_name": 1,
- "bits": [ 1327 ],
- "attributes": {
- }
- },
- "$abc$25143$n2610": {
- "hide_name": 1,
- "bits": [ 887 ],
- "attributes": {
- }
- },
- "$abc$25143$n2612": {
- "hide_name": 1,
- "bits": [ 889 ],
- "attributes": {
- }
- },
- "$abc$25143$n2614": {
- "hide_name": 1,
- "bits": [ 891 ],
- "attributes": {
- }
- },
- "$abc$25143$n2616": {
- "hide_name": 1,
- "bits": [ 893 ],
- "attributes": {
- }
- },
- "$abc$25143$n2618": {
- "hide_name": 1,
- "bits": [ 895 ],
- "attributes": {
- }
- },
- "$abc$25143$n2620": {
- "hide_name": 1,
- "bits": [ 897 ],
- "attributes": {
- }
- },
- "$abc$25143$n2622": {
- "hide_name": 1,
- "bits": [ 899 ],
- "attributes": {
- }
- },
- "$abc$25143$n2624": {
- "hide_name": 1,
- "bits": [ 901 ],
- "attributes": {
- }
- },
- "$abc$25143$n2626": {
- "hide_name": 1,
- "bits": [ 903 ],
- "attributes": {
- }
- },
- "$abc$25143$n2628": {
- "hide_name": 1,
- "bits": [ 905 ],
- "attributes": {
- }
- },
- "$abc$25143$n2630": {
- "hide_name": 1,
- "bits": [ 907 ],
- "attributes": {
- }
- },
- "$abc$25143$n2632": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$25143$n2634": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$25143$n2636": {
- "hide_name": 1,
- "bits": [ 913 ],
- "attributes": {
- }
- },
- "$abc$25143$n2638": {
- "hide_name": 1,
- "bits": [ 914 ],
- "attributes": {
- }
- },
- "$abc$25143$n2640": {
- "hide_name": 1,
- "bits": [ 916 ],
- "attributes": {
- }
- },
- "$abc$25143$n2641": {
- "hide_name": 1,
- "bits": [ 917 ],
- "attributes": {
- }
- },
- "$abc$25143$n2642": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$25143$n2643": {
- "hide_name": 1,
- "bits": [ 919 ],
- "attributes": {
- }
- },
- "$abc$25143$n2644": {
- "hide_name": 1,
- "bits": [ 920 ],
- "attributes": {
- }
- },
- "$abc$25143$n2645": {
- "hide_name": 1,
- "bits": [ 921 ],
- "attributes": {
- }
- },
- "$abc$25143$n2646": {
- "hide_name": 1,
- "bits": [ 922 ],
- "attributes": {
- }
- },
- "$abc$25143$n2647": {
- "hide_name": 1,
- "bits": [ 923 ],
- "attributes": {
- }
- },
- "$abc$25143$n2648": {
- "hide_name": 1,
- "bits": [ 924 ],
- "attributes": {
- }
- },
- "$abc$25143$n2649": {
- "hide_name": 1,
- "bits": [ 925 ],
- "attributes": {
- }
- },
- "$abc$25143$n2651": {
- "hide_name": 1,
- "bits": [ 926 ],
- "attributes": {
- }
- },
- "$abc$25143$n2653": {
- "hide_name": 1,
- "bits": [ 927 ],
- "attributes": {
- }
- },
- "$abc$25143$n2655": {
- "hide_name": 1,
- "bits": [ 928 ],
- "attributes": {
- }
- },
- "$abc$25143$n2657": {
- "hide_name": 1,
- "bits": [ 929 ],
- "attributes": {
- }
- },
- "$abc$25143$n2659": {
- "hide_name": 1,
- "bits": [ 930 ],
- "attributes": {
- }
- },
- "$abc$25143$n2661": {
- "hide_name": 1,
- "bits": [ 931 ],
- "attributes": {
- }
- },
- "$abc$25143$n2661_1": {
- "hide_name": 1,
- "bits": [ 1597 ],
- "attributes": {
- }
- },
- "$abc$25143$n2663": {
- "hide_name": 1,
- "bits": [ 932 ],
- "attributes": {
- }
- },
- "$abc$25143$n2663_1": {
- "hide_name": 1,
- "bits": [ 1603 ],
- "attributes": {
- }
- },
- "$abc$25143$n2664": {
- "hide_name": 1,
- "bits": [ 933 ],
- "attributes": {
- }
- },
- "$abc$25143$n2665": {
- "hide_name": 1,
- "bits": [ 1607 ],
- "attributes": {
- }
- },
- "$abc$25143$n2666": {
- "hide_name": 1,
- "bits": [ 934 ],
- "attributes": {
- }
- },
- "$abc$25143$n2667_1": {
- "hide_name": 1,
- "bits": [ 1611 ],
- "attributes": {
- }
- },
- "$abc$25143$n2669_1": {
- "hide_name": 1,
- "bits": [ 1615 ],
- "attributes": {
- }
- },
- "$abc$25143$n2671_1": {
- "hide_name": 1,
- "bits": [ 1619 ],
- "attributes": {
- }
- },
- "$abc$25143$n2673_1": {
- "hide_name": 1,
- "bits": [ 1623 ],
- "attributes": {
- }
- },
- "$abc$25143$n2675_1": {
- "hide_name": 1,
- "bits": [ 1627 ],
- "attributes": {
- }
- },
- "$abc$25143$n2677_1": {
- "hide_name": 1,
- "bits": [ 1632 ],
- "attributes": {
- }
- },
- "$abc$25143$n2679_1": {
- "hide_name": 1,
- "bits": [ 1636 ],
- "attributes": {
- }
- },
- "$abc$25143$n2681_1": {
- "hide_name": 1,
- "bits": [ 1640 ],
- "attributes": {
- }
- },
- "$abc$25143$n2683_1": {
- "hide_name": 1,
- "bits": [ 1644 ],
- "attributes": {
- }
- },
- "$abc$25143$n2684": {
- "hide_name": 1,
- "bits": [ 937 ],
- "attributes": {
- }
- },
- "$abc$25143$n2685_1": {
- "hide_name": 1,
- "bits": [ 1648 ],
- "attributes": {
- }
- },
- "$abc$25143$n2686": {
- "hide_name": 1,
- "bits": [ 940 ],
- "attributes": {
- }
- },
- "$abc$25143$n2687_1": {
- "hide_name": 1,
- "bits": [ 1652 ],
- "attributes": {
- }
- },
- "$abc$25143$n2688": {
- "hide_name": 1,
- "bits": [ 943 ],
- "attributes": {
- }
- },
- "$abc$25143$n2689_1": {
- "hide_name": 1,
- "bits": [ 1656 ],
- "attributes": {
- }
- },
- "$abc$25143$n2690": {
- "hide_name": 1,
- "bits": [ 946 ],
- "attributes": {
- }
- },
- "$abc$25143$n2691_1": {
- "hide_name": 1,
- "bits": [ 1660 ],
- "attributes": {
- }
- },
- "$abc$25143$n2692": {
- "hide_name": 1,
- "bits": [ 949 ],
- "attributes": {
- }
- },
- "$abc$25143$n2693_1": {
- "hide_name": 1,
- "bits": [ 1664 ],
- "attributes": {
- }
- },
- "$abc$25143$n2694": {
- "hide_name": 1,
- "bits": [ 952 ],
- "attributes": {
- }
- },
- "$abc$25143$n2695_1": {
- "hide_name": 1,
- "bits": [ 1668 ],
- "attributes": {
- }
- },
- "$abc$25143$n2696": {
- "hide_name": 1,
- "bits": [ 955 ],
- "attributes": {
- }
- },
- "$abc$25143$n2697_1": {
- "hide_name": 1,
- "bits": [ 1672 ],
- "attributes": {
- }
- },
- "$abc$25143$n2698": {
- "hide_name": 1,
- "bits": [ 958 ],
- "attributes": {
- }
- },
- "$abc$25143$n2699": {
- "hide_name": 1,
- "bits": [ 962 ],
- "attributes": {
- }
- },
- "$abc$25143$n2699_1": {
- "hide_name": 1,
- "bits": [ 1676 ],
- "attributes": {
- }
- },
- "$abc$25143$n27": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$25143$n2700": {
- "hide_name": 1,
- "bits": [ 989 ],
- "attributes": {
- }
- },
- "$abc$25143$n2701": {
- "hide_name": 1,
- "bits": [ 1010 ],
- "attributes": {
- }
- },
- "$abc$25143$n2701_1": {
- "hide_name": 1,
- "bits": [ 1680 ],
- "attributes": {
- }
- },
- "$abc$25143$n2702": {
- "hide_name": 1,
- "bits": [ 1031 ],
- "attributes": {
- }
- },
- "$abc$25143$n2703": {
- "hide_name": 1,
- "bits": [ 1052 ],
- "attributes": {
- }
- },
- "$abc$25143$n2703_1": {
- "hide_name": 1,
- "bits": [ 1684 ],
- "attributes": {
- }
- },
- "$abc$25143$n2704": {
- "hide_name": 1,
- "bits": [ 1072 ],
- "attributes": {
- }
- },
- "$abc$25143$n2705": {
- "hide_name": 1,
- "bits": [ 1092 ],
- "attributes": {
- }
- },
- "$abc$25143$n2705_1": {
- "hide_name": 1,
- "bits": [ 1688 ],
- "attributes": {
- }
- },
- "$abc$25143$n2706": {
- "hide_name": 1,
- "bits": [ 1114 ],
- "attributes": {
- }
- },
- "$abc$25143$n2707": {
- "hide_name": 1,
- "bits": [ 1134 ],
- "attributes": {
- }
- },
- "$abc$25143$n2707_1": {
- "hide_name": 1,
- "bits": [ 1692 ],
- "attributes": {
- }
- },
- "$abc$25143$n2708": {
- "hide_name": 1,
- "bits": [ 1135 ],
- "attributes": {
- }
- },
- "$abc$25143$n2709": {
- "hide_name": 1,
- "bits": [ 1136 ],
- "attributes": {
- }
- },
- "$abc$25143$n2709_1": {
- "hide_name": 1,
- "bits": [ 1695 ],
- "attributes": {
- }
- },
- "$abc$25143$n2710": {
- "hide_name": 1,
- "bits": [ 1138 ],
- "attributes": {
- }
- },
- "$abc$25143$n2711_1": {
- "hide_name": 1,
- "bits": [ 1698 ],
- "attributes": {
- }
- },
- "$abc$25143$n2713_1": {
- "hide_name": 1,
- "bits": [ 1701 ],
- "attributes": {
- }
- },
- "$abc$25143$n2715_1": {
- "hide_name": 1,
- "bits": [ 1704 ],
- "attributes": {
- }
- },
- "$abc$25143$n2717_1": {
- "hide_name": 1,
- "bits": [ 1707 ],
- "attributes": {
- }
- },
- "$abc$25143$n2719_1": {
- "hide_name": 1,
- "bits": [ 1710 ],
- "attributes": {
- }
- },
- "$abc$25143$n2721_1": {
- "hide_name": 1,
- "bits": [ 1713 ],
- "attributes": {
- }
- },
- "$abc$25143$n2723_1": {
- "hide_name": 1,
- "bits": [ 1716 ],
- "attributes": {
- }
- },
- "$abc$25143$n2725_1": {
- "hide_name": 1,
- "bits": [ 1718 ],
- "attributes": {
- }
- },
- "$abc$25143$n2727": {
- "hide_name": 1,
- "bits": [ 1139 ],
- "attributes": {
- }
- },
- "$abc$25143$n2728": {
- "hide_name": 1,
- "bits": [ 1140 ],
- "attributes": {
- }
- },
- "$abc$25143$n2729": {
- "hide_name": 1,
- "bits": [ 1142 ],
- "attributes": {
- }
- },
- "$abc$25143$n2730": {
- "hide_name": 1,
- "bits": [ 1144 ],
- "attributes": {
- }
- },
- "$abc$25143$n2731": {
- "hide_name": 1,
- "bits": [ 1146 ],
- "attributes": {
- }
- },
- "$abc$25143$n2732": {
- "hide_name": 1,
- "bits": [ 1148 ],
- "attributes": {
- }
- },
- "$abc$25143$n2733": {
- "hide_name": 1,
- "bits": [ 1150 ],
- "attributes": {
- }
- },
- "$abc$25143$n2734": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$25143$n2735": {
- "hide_name": 1,
- "bits": [ 1157 ],
- "attributes": {
- }
- },
- "$abc$25143$n2736": {
- "hide_name": 1,
- "bits": [ 1173 ],
- "attributes": {
- }
- },
- "$abc$25143$n2737": {
- "hide_name": 1,
- "bits": [ 1184 ],
- "attributes": {
- }
- },
- "$abc$25143$n2738": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$25143$n2739": {
- "hide_name": 1,
- "bits": [ 1197 ],
- "attributes": {
- }
- },
- "$abc$25143$n2740": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$25143$n2741": {
- "hide_name": 1,
- "bits": [ 1207 ],
- "attributes": {
- }
- },
- "$abc$25143$n2742": {
- "hide_name": 1,
- "bits": [ 1209 ],
- "attributes": {
- }
- },
- "$abc$25143$n2743": {
- "hide_name": 1,
- "bits": [ 1212 ],
- "attributes": {
- }
- },
- "$abc$25143$n2744": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$25143$n2745": {
- "hide_name": 1,
- "bits": [ 1232 ],
- "attributes": {
- }
- },
- "$abc$25143$n2746": {
- "hide_name": 1,
- "bits": [ 1242 ],
- "attributes": {
- }
- },
- "$abc$25143$n2747": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$25143$n2748": {
- "hide_name": 1,
- "bits": [ 1262 ],
- "attributes": {
- }
- },
- "$abc$25143$n2749": {
- "hide_name": 1,
- "bits": [ 1272 ],
- "attributes": {
- }
- },
- "$abc$25143$n2750": {
- "hide_name": 1,
- "bits": [ 1282 ],
- "attributes": {
- }
- },
- "$abc$25143$n2751": {
- "hide_name": 1,
- "bits": [ 2351 ],
- "attributes": {
- }
- },
- "$abc$25143$n2752": {
- "hide_name": 1,
- "bits": [ 1334 ],
- "attributes": {
- }
- },
- "$abc$25143$n2753": {
- "hide_name": 1,
- "bits": [ 1352 ],
- "attributes": {
- }
- },
- "$abc$25143$n2754": {
- "hide_name": 1,
- "bits": [ 1359 ],
- "attributes": {
- }
- },
- "$abc$25143$n2755": {
- "hide_name": 1,
- "bits": [ 1366 ],
- "attributes": {
- }
- },
- "$abc$25143$n2756": {
- "hide_name": 1,
- "bits": [ 1372 ],
- "attributes": {
- }
- },
- "$abc$25143$n2757": {
- "hide_name": 1,
- "bits": [ 1377 ],
- "attributes": {
- }
- },
- "$abc$25143$n2758": {
- "hide_name": 1,
- "bits": [ 1383 ],
- "attributes": {
- }
- },
- "$abc$25143$n2765_1": {
- "hide_name": 1,
- "bits": [ 1795 ],
- "attributes": {
- }
- },
- "$abc$25143$n2766": {
- "hide_name": 1,
- "bits": [ 1390 ],
- "attributes": {
- }
- },
- "$abc$25143$n2766_1": {
- "hide_name": 1,
- "bits": [ 1799 ],
- "attributes": {
- }
- },
- "$abc$25143$n2767": {
- "hide_name": 1,
- "bits": [ 1802 ],
- "attributes": {
- }
- },
- "$abc$25143$n2768": {
- "hide_name": 1,
- "bits": [ 1800 ],
- "attributes": {
- }
- },
- "$abc$25143$n2769": {
- "hide_name": 1,
- "bits": [ 1797 ],
- "attributes": {
- }
- },
- "$abc$25143$n2770": {
- "hide_name": 1,
- "bits": [ 1393 ],
- "attributes": {
- }
- },
- "$abc$25143$n2770_1": {
- "hide_name": 1,
- "bits": [ 1798 ],
- "attributes": {
- }
- },
- "$abc$25143$n2771": {
- "hide_name": 1,
- "bits": [ 1397 ],
- "attributes": {
- }
- },
- "$abc$25143$n2772": {
- "hide_name": 1,
- "bits": [ 1400 ],
- "attributes": {
- }
- },
- "$abc$25143$n2772_1": {
- "hide_name": 1,
- "bits": [ 1804 ],
- "attributes": {
- }
- },
- "$abc$25143$n2773": {
- "hide_name": 1,
- "bits": [ 1416 ],
- "attributes": {
- }
- },
- "$abc$25143$n2773_1": {
- "hide_name": 1,
- "bits": [ 1807 ],
- "attributes": {
- }
- },
- "$abc$25143$n2774": {
- "hide_name": 1,
- "bits": [ 1422 ],
- "attributes": {
- }
- },
- "$abc$25143$n2774_1": {
- "hide_name": 1,
- "bits": [ 1806 ],
- "attributes": {
- }
- },
- "$abc$25143$n2776": {
- "hide_name": 1,
- "bits": [ 1810 ],
- "attributes": {
- }
- },
- "$abc$25143$n2777": {
- "hide_name": 1,
- "bits": [ 1813 ],
- "attributes": {
- }
- },
- "$abc$25143$n2778": {
- "hide_name": 1,
- "bits": [ 398 ],
- "attributes": {
- }
- },
- "$abc$25143$n2778_1": {
- "hide_name": 1,
- "bits": [ 1812 ],
- "attributes": {
- }
- },
- "$abc$25143$n2780": {
- "hide_name": 1,
- "bits": [ 1816 ],
- "attributes": {
- }
- },
- "$abc$25143$n2781": {
- "hide_name": 1,
- "bits": [ 396 ],
- "attributes": {
- }
- },
- "$abc$25143$n2781_1": {
- "hide_name": 1,
- "bits": [ 1819 ],
- "attributes": {
- }
- },
- "$abc$25143$n2782": {
- "hide_name": 1,
- "bits": [ 1424 ],
- "attributes": {
- }
- },
- "$abc$25143$n2782_1": {
- "hide_name": 1,
- "bits": [ 1818 ],
- "attributes": {
- }
- },
- "$abc$25143$n2784": {
- "hide_name": 1,
- "bits": [ 1425 ],
- "attributes": {
- }
- },
- "$abc$25143$n2784_1": {
- "hide_name": 1,
- "bits": [ 1822 ],
- "attributes": {
- }
- },
- "$abc$25143$n2785": {
- "hide_name": 1,
- "bits": [ 1426 ],
- "attributes": {
- }
- },
- "$abc$25143$n2785_1": {
- "hide_name": 1,
- "bits": [ 1825 ],
- "attributes": {
- }
- },
- "$abc$25143$n2786": {
- "hide_name": 1,
- "bits": [ 1824 ],
- "attributes": {
- }
- },
- "$abc$25143$n2787": {
- "hide_name": 1,
- "bits": [ 1427 ],
- "attributes": {
- }
- },
- "$abc$25143$n2788": {
- "hide_name": 1,
- "bits": [ 1428 ],
- "attributes": {
- }
- },
- "$abc$25143$n2788_1": {
- "hide_name": 1,
- "bits": [ 1828 ],
- "attributes": {
- }
- },
- "$abc$25143$n2789": {
- "hide_name": 1,
- "bits": [ 1429 ],
- "attributes": {
- }
- },
- "$abc$25143$n2789_1": {
- "hide_name": 1,
- "bits": [ 1831 ],
- "attributes": {
- }
- },
- "$abc$25143$n2790": {
- "hide_name": 1,
- "bits": [ 1430 ],
- "attributes": {
- }
- },
- "$abc$25143$n2790_1": {
- "hide_name": 1,
- "bits": [ 1830 ],
- "attributes": {
- }
- },
- "$abc$25143$n2791": {
- "hide_name": 1,
- "bits": [ 1431 ],
- "attributes": {
- }
- },
- "$abc$25143$n2792": {
- "hide_name": 1,
- "bits": [ 1432 ],
- "attributes": {
- }
- },
- "$abc$25143$n2792_1": {
- "hide_name": 1,
- "bits": [ 1834 ],
- "attributes": {
- }
- },
- "$abc$25143$n2793": {
- "hide_name": 1,
- "bits": [ 1433 ],
- "attributes": {
- }
- },
- "$abc$25143$n2793_1": {
- "hide_name": 1,
- "bits": [ 1837 ],
- "attributes": {
- }
- },
- "$abc$25143$n2794": {
- "hide_name": 1,
- "bits": [ 1434 ],
- "attributes": {
- }
- },
- "$abc$25143$n2794_1": {
- "hide_name": 1,
- "bits": [ 1836 ],
- "attributes": {
- }
- },
- "$abc$25143$n2795": {
- "hide_name": 1,
- "bits": [ 1435 ],
- "attributes": {
- }
- },
- "$abc$25143$n2796": {
- "hide_name": 1,
- "bits": [ 1436 ],
- "attributes": {
- }
- },
- "$abc$25143$n2796_1": {
- "hide_name": 1,
- "bits": [ 1840 ],
- "attributes": {
- }
- },
- "$abc$25143$n2797": {
- "hide_name": 1,
- "bits": [ 1437 ],
- "attributes": {
- }
- },
- "$abc$25143$n2797_1": {
- "hide_name": 1,
- "bits": [ 1842 ],
- "attributes": {
- }
- },
- "$abc$25143$n2798": {
- "hide_name": 1,
- "bits": [ 1438 ],
- "attributes": {
- }
- },
- "$abc$25143$n2798_1": {
- "hide_name": 1,
- "bits": [ 1839 ],
- "attributes": {
- }
- },
- "$abc$25143$n2799": {
- "hide_name": 1,
- "bits": [ 1439 ],
- "attributes": {
- }
- },
- "$abc$25143$n2800": {
- "hide_name": 1,
- "bits": [ 1440 ],
- "attributes": {
- }
- },
- "$abc$25143$n2801": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$abc$25143$n2802": {
- "hide_name": 1,
- "bits": [ 1442 ],
- "attributes": {
- }
- },
- "$abc$25143$n2803": {
- "hide_name": 1,
- "bits": [ 1443 ],
- "attributes": {
- }
- },
- "$abc$25143$n2804": {
- "hide_name": 1,
- "bits": [ 1444 ],
- "attributes": {
- }
- },
- "$abc$25143$n2805": {
- "hide_name": 1,
- "bits": [ 1445 ],
- "attributes": {
- }
- },
- "$abc$25143$n2806": {
- "hide_name": 1,
- "bits": [ 1446 ],
- "attributes": {
- }
- },
- "$abc$25143$n2807": {
- "hide_name": 1,
- "bits": [ 1447 ],
- "attributes": {
- }
- },
- "$abc$25143$n2808": {
- "hide_name": 1,
- "bits": [ 1448 ],
- "attributes": {
- }
- },
- "$abc$25143$n2809": {
- "hide_name": 1,
- "bits": [ 1449 ],
- "attributes": {
- }
- },
- "$abc$25143$n2810": {
- "hide_name": 1,
- "bits": [ 1450 ],
- "attributes": {
- }
- },
- "$abc$25143$n2811": {
- "hide_name": 1,
- "bits": [ 1451 ],
- "attributes": {
- }
- },
- "$abc$25143$n2812": {
- "hide_name": 1,
- "bits": [ 1452 ],
- "attributes": {
- }
- },
- "$abc$25143$n2813": {
- "hide_name": 1,
- "bits": [ 1453 ],
- "attributes": {
- }
- },
- "$abc$25143$n2814": {
- "hide_name": 1,
- "bits": [ 1454 ],
- "attributes": {
- }
- },
- "$abc$25143$n2815": {
- "hide_name": 1,
- "bits": [ 1455 ],
- "attributes": {
- }
- },
- "$abc$25143$n2816": {
- "hide_name": 1,
- "bits": [ 77 ],
- "attributes": {
- }
- },
- "$abc$25143$n2819": {
- "hide_name": 1,
- "bits": [ 1457 ],
- "attributes": {
- }
- },
- "$abc$25143$n2820": {
- "hide_name": 1,
- "bits": [ 1458 ],
- "attributes": {
- }
- },
- "$abc$25143$n2821": {
- "hide_name": 1,
- "bits": [ 1459 ],
- "attributes": {
- }
- },
- "$abc$25143$n2823": {
- "hide_name": 1,
- "bits": [ 1460 ],
- "attributes": {
- }
- },
- "$abc$25143$n2824": {
- "hide_name": 1,
- "bits": [ 1461 ],
- "attributes": {
- }
- },
- "$abc$25143$n2825": {
- "hide_name": 1,
- "bits": [ 1462 ],
- "attributes": {
- }
- },
- "$abc$25143$n2826": {
- "hide_name": 1,
- "bits": [ 1463 ],
- "attributes": {
- }
- },
- "$abc$25143$n2827": {
- "hide_name": 1,
- "bits": [ 1464 ],
- "attributes": {
- }
- },
- "$abc$25143$n2828": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$25143$n2830": {
- "hide_name": 1,
- "bits": [ 565 ],
- "attributes": {
- }
- },
- "$abc$25143$n2833": {
- "hide_name": 1,
- "bits": [ 1465 ],
- "attributes": {
- }
- },
- "$abc$25143$n2835": {
- "hide_name": 1,
- "bits": [ 1466 ],
- "attributes": {
- }
- },
- "$abc$25143$n2837": {
- "hide_name": 1,
- "bits": [ 1467 ],
- "attributes": {
- }
- },
- "$abc$25143$n2839": {
- "hide_name": 1,
- "bits": [ 1468 ],
- "attributes": {
- }
- },
- "$abc$25143$n2841": {
- "hide_name": 1,
- "bits": [ 1469 ],
- "attributes": {
- }
- },
- "$abc$25143$n2843": {
- "hide_name": 1,
- "bits": [ 1470 ],
- "attributes": {
- }
- },
- "$abc$25143$n2845": {
- "hide_name": 1,
- "bits": [ 1471 ],
- "attributes": {
- }
- },
- "$abc$25143$n2847": {
- "hide_name": 1,
- "bits": [ 1472 ],
- "attributes": {
- }
- },
- "$abc$25143$n2849": {
- "hide_name": 1,
- "bits": [ 1473 ],
- "attributes": {
- }
- },
- "$abc$25143$n2851": {
- "hide_name": 1,
- "bits": [ 1474 ],
- "attributes": {
- }
- },
- "$abc$25143$n2853": {
- "hide_name": 1,
- "bits": [ 1475 ],
- "attributes": {
- }
- },
- "$abc$25143$n2853_1": {
- "hide_name": 1,
- "bits": [ 1947 ],
- "attributes": {
- }
- },
- "$abc$25143$n2855": {
- "hide_name": 1,
- "bits": [ 1476 ],
- "attributes": {
- }
- },
- "$abc$25143$n2855_1": {
- "hide_name": 1,
- "bits": [ 1950 ],
- "attributes": {
- }
- },
- "$abc$25143$n2857": {
- "hide_name": 1,
- "bits": [ 1477 ],
- "attributes": {
- }
- },
- "$abc$25143$n2857_1": {
- "hide_name": 1,
- "bits": [ 1952 ],
- "attributes": {
- }
- },
- "$abc$25143$n2859": {
- "hide_name": 1,
- "bits": [ 1478 ],
- "attributes": {
- }
- },
- "$abc$25143$n2859_1": {
- "hide_name": 1,
- "bits": [ 1955 ],
- "attributes": {
- }
- },
- "$abc$25143$n2861": {
- "hide_name": 1,
- "bits": [ 1479 ],
- "attributes": {
- }
- },
- "$abc$25143$n2861_1": {
- "hide_name": 1,
- "bits": [ 1958 ],
- "attributes": {
- }
- },
- "$abc$25143$n2863": {
- "hide_name": 1,
- "bits": [ 1480 ],
- "attributes": {
- }
- },
- "$abc$25143$n2863_1": {
- "hide_name": 1,
- "bits": [ 1961 ],
- "attributes": {
- }
- },
- "$abc$25143$n2864": {
- "hide_name": 1,
- "bits": [ 1481 ],
- "attributes": {
- }
- },
- "$abc$25143$n2865": {
- "hide_name": 1,
- "bits": [ 531 ],
- "attributes": {
- }
- },
- "$abc$25143$n2865_1": {
- "hide_name": 1,
- "bits": [ 1964 ],
- "attributes": {
- }
- },
- "$abc$25143$n2867_1": {
- "hide_name": 1,
- "bits": [ 1967 ],
- "attributes": {
- }
- },
- "$abc$25143$n2868": {
- "hide_name": 1,
- "bits": [ 1482 ],
- "attributes": {
- }
- },
- "$abc$25143$n2869_1": {
- "hide_name": 1,
- "bits": [ 1970 ],
- "attributes": {
- }
- },
- "$abc$25143$n2870": {
- "hide_name": 1,
- "bits": [ 1483 ],
- "attributes": {
- }
- },
- "$abc$25143$n2871": {
- "hide_name": 1,
- "bits": [ 1484 ],
- "attributes": {
- }
- },
- "$abc$25143$n2871_1": {
- "hide_name": 1,
- "bits": [ 1973 ],
- "attributes": {
- }
- },
- "$abc$25143$n2873": {
- "hide_name": 1,
- "bits": [ 1486 ],
- "attributes": {
- }
- },
- "$abc$25143$n2873_1": {
- "hide_name": 1,
- "bits": [ 1976 ],
- "attributes": {
- }
- },
- "$abc$25143$n2874": {
- "hide_name": 1,
- "bits": [ 1487 ],
- "attributes": {
- }
- },
- "$abc$25143$n2875": {
- "hide_name": 1,
- "bits": [ 1488 ],
- "attributes": {
- }
- },
- "$abc$25143$n2875_1": {
- "hide_name": 1,
- "bits": [ 1979 ],
- "attributes": {
- }
- },
- "$abc$25143$n2876": {
- "hide_name": 1,
- "bits": [ 1489 ],
- "attributes": {
- }
- },
- "$abc$25143$n2877": {
- "hide_name": 1,
- "bits": [ 1982 ],
- "attributes": {
- }
- },
- "$abc$25143$n2878": {
- "hide_name": 1,
- "bits": [ 1490 ],
- "attributes": {
- }
- },
- "$abc$25143$n2879": {
- "hide_name": 1,
- "bits": [ 517 ],
- "attributes": {
- }
- },
- "$abc$25143$n2879_1": {
- "hide_name": 1,
- "bits": [ 1985 ],
- "attributes": {
- }
- },
- "$abc$25143$n2881": {
- "hide_name": 1,
- "bits": [ 1491 ],
- "attributes": {
- }
- },
- "$abc$25143$n2881_1": {
- "hide_name": 1,
- "bits": [ 1988 ],
- "attributes": {
- }
- },
- "$abc$25143$n2882": {
- "hide_name": 1,
- "bits": [ 1492 ],
- "attributes": {
- }
- },
- "$abc$25143$n2883": {
- "hide_name": 1,
- "bits": [ 1493 ],
- "attributes": {
- }
- },
- "$abc$25143$n2883_1": {
- "hide_name": 1,
- "bits": [ 1991 ],
- "attributes": {
- }
- },
- "$abc$25143$n2884": {
- "hide_name": 1,
- "bits": [ 1494 ],
- "attributes": {
- }
- },
- "$abc$25143$n2885": {
- "hide_name": 1,
- "bits": [ 1495 ],
- "attributes": {
- }
- },
- "$abc$25143$n2885_1": {
- "hide_name": 1,
- "bits": [ 1994 ],
- "attributes": {
- }
- },
- "$abc$25143$n2886": {
- "hide_name": 1,
- "bits": [ 1496 ],
- "attributes": {
- }
- },
- "$abc$25143$n2887": {
- "hide_name": 1,
- "bits": [ 1497 ],
- "attributes": {
- }
- },
- "$abc$25143$n2887_1": {
- "hide_name": 1,
- "bits": [ 1997 ],
- "attributes": {
- }
- },
- "$abc$25143$n2888": {
- "hide_name": 1,
- "bits": [ 1498 ],
- "attributes": {
- }
- },
- "$abc$25143$n2889_1": {
- "hide_name": 1,
- "bits": [ 2000 ],
- "attributes": {
- }
- },
- "$abc$25143$n2890": {
- "hide_name": 1,
- "bits": [ 1499 ],
- "attributes": {
- }
- },
- "$abc$25143$n2891_1": {
- "hide_name": 1,
- "bits": [ 2003 ],
- "attributes": {
- }
- },
- "$abc$25143$n2892": {
- "hide_name": 1,
- "bits": [ 1501 ],
- "attributes": {
- }
- },
- "$abc$25143$n2893_1": {
- "hide_name": 1,
- "bits": [ 2006 ],
- "attributes": {
- }
- },
- "$abc$25143$n2894": {
- "hide_name": 1,
- "bits": [ 1503 ],
- "attributes": {
- }
- },
- "$abc$25143$n2895_1": {
- "hide_name": 1,
- "bits": [ 2009 ],
- "attributes": {
- }
- },
- "$abc$25143$n2896": {
- "hide_name": 1,
- "bits": [ 1505 ],
- "attributes": {
- }
- },
- "$abc$25143$n2897_1": {
- "hide_name": 1,
- "bits": [ 2012 ],
- "attributes": {
- }
- },
- "$abc$25143$n2898": {
- "hide_name": 1,
- "bits": [ 1507 ],
- "attributes": {
- }
- },
- "$abc$25143$n2899_1": {
- "hide_name": 1,
- "bits": [ 2015 ],
- "attributes": {
- }
- },
- "$abc$25143$n29": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$25143$n2900": {
- "hide_name": 1,
- "bits": [ 1509 ],
- "attributes": {
- }
- },
- "$abc$25143$n2901_1": {
- "hide_name": 1,
- "bits": [ 2018 ],
- "attributes": {
- }
- },
- "$abc$25143$n2902": {
- "hide_name": 1,
- "bits": [ 1511 ],
- "attributes": {
- }
- },
- "$abc$25143$n2903_1": {
- "hide_name": 1,
- "bits": [ 2021 ],
- "attributes": {
- }
- },
- "$abc$25143$n2904": {
- "hide_name": 1,
- "bits": [ 1513 ],
- "attributes": {
- }
- },
- "$abc$25143$n2905_1": {
- "hide_name": 1,
- "bits": [ 2024 ],
- "attributes": {
- }
- },
- "$abc$25143$n2906": {
- "hide_name": 1,
- "bits": [ 1515 ],
- "attributes": {
- }
- },
- "$abc$25143$n2907_1": {
- "hide_name": 1,
- "bits": [ 2027 ],
- "attributes": {
- }
- },
- "$abc$25143$n2908": {
- "hide_name": 1,
- "bits": [ 1517 ],
- "attributes": {
- }
- },
- "$abc$25143$n2909": {
- "hide_name": 1,
- "bits": [ 1518 ],
- "attributes": {
- }
- },
- "$abc$25143$n2909_1": {
- "hide_name": 1,
- "bits": [ 2030 ],
- "attributes": {
- }
- },
- "$abc$25143$n2910": {
- "hide_name": 1,
- "bits": [ 1519 ],
- "attributes": {
- }
- },
- "$abc$25143$n2911_1": {
- "hide_name": 1,
- "bits": [ 2033 ],
- "attributes": {
- }
- },
- "$abc$25143$n2912": {
- "hide_name": 1,
- "bits": [ 1521 ],
- "attributes": {
- }
- },
- "$abc$25143$n2913_1": {
- "hide_name": 1,
- "bits": [ 2036 ],
- "attributes": {
- }
- },
- "$abc$25143$n2914": {
- "hide_name": 1,
- "bits": [ 1523 ],
- "attributes": {
- }
- },
- "$abc$25143$n2915_1": {
- "hide_name": 1,
- "bits": [ 2039 ],
- "attributes": {
- }
- },
- "$abc$25143$n2916": {
- "hide_name": 1,
- "bits": [ 1525 ],
- "attributes": {
- }
- },
- "$abc$25143$n2918": {
- "hide_name": 1,
- "bits": [ 1527 ],
- "attributes": {
- }
- },
- "$abc$25143$n2920": {
- "hide_name": 1,
- "bits": [ 1529 ],
- "attributes": {
- }
- },
- "$abc$25143$n2922": {
- "hide_name": 1,
- "bits": [ 1531 ],
- "attributes": {
- }
- },
- "$abc$25143$n2924": {
- "hide_name": 1,
- "bits": [ 1533 ],
- "attributes": {
- }
- },
- "$abc$25143$n2926": {
- "hide_name": 1,
- "bits": [ 1535 ],
- "attributes": {
- }
- },
- "$abc$25143$n2927_1": {
- "hide_name": 1,
- "bits": [ 2062 ],
- "attributes": {
- }
- },
- "$abc$25143$n2928": {
- "hide_name": 1,
- "bits": [ 1537 ],
- "attributes": {
- }
- },
- "$abc$25143$n2930": {
- "hide_name": 1,
- "bits": [ 1539 ],
- "attributes": {
- }
- },
- "$abc$25143$n2932": {
- "hide_name": 1,
- "bits": [ 1541 ],
- "attributes": {
- }
- },
- "$abc$25143$n2934": {
- "hide_name": 1,
- "bits": [ 1543 ],
- "attributes": {
- }
- },
- "$abc$25143$n2936": {
- "hide_name": 1,
- "bits": [ 1545 ],
- "attributes": {
- }
- },
- "$abc$25143$n2938": {
- "hide_name": 1,
- "bits": [ 1547 ],
- "attributes": {
- }
- },
- "$abc$25143$n2940": {
- "hide_name": 1,
- "bits": [ 1549 ],
- "attributes": {
- }
- },
- "$abc$25143$n2942": {
- "hide_name": 1,
- "bits": [ 1551 ],
- "attributes": {
- }
- },
- "$abc$25143$n2943": {
- "hide_name": 1,
- "bits": [ 1516 ],
- "attributes": {
- }
- },
- "$abc$25143$n2945": {
- "hide_name": 1,
- "bits": [ 1552 ],
- "attributes": {
- }
- },
- "$abc$25143$n2946": {
- "hide_name": 1,
- "bits": [ 1553 ],
- "attributes": {
- }
- },
- "$abc$25143$n2948": {
- "hide_name": 1,
- "bits": [ 1555 ],
- "attributes": {
- }
- },
- "$abc$25143$n2950": {
- "hide_name": 1,
- "bits": [ 1557 ],
- "attributes": {
- }
- },
- "$abc$25143$n2952": {
- "hide_name": 1,
- "bits": [ 1559 ],
- "attributes": {
- }
- },
- "$abc$25143$n2954": {
- "hide_name": 1,
- "bits": [ 1561 ],
- "attributes": {
- }
- },
- "$abc$25143$n2954_1": {
- "hide_name": 1,
- "bits": [ 2120 ],
- "attributes": {
- }
- },
- "$abc$25143$n2956": {
- "hide_name": 1,
- "bits": [ 1563 ],
- "attributes": {
- }
- },
- "$abc$25143$n2958": {
- "hide_name": 1,
- "bits": [ 1565 ],
- "attributes": {
- }
- },
- "$abc$25143$n2958_1": {
- "hide_name": 1,
- "bits": [ 2128 ],
- "attributes": {
- }
- },
- "$abc$25143$n2959": {
- "hide_name": 1,
- "bits": [ 1566 ],
- "attributes": {
- }
- },
- "$abc$25143$n2961": {
- "hide_name": 1,
- "bits": [ 1567 ],
- "attributes": {
- }
- },
- "$abc$25143$n2962": {
- "hide_name": 1,
- "bits": [ 1568 ],
- "attributes": {
- }
- },
- "$abc$25143$n2963": {
- "hide_name": 1,
- "bits": [ 1569 ],
- "attributes": {
- }
- },
- "$abc$25143$n2965": {
- "hide_name": 1,
- "bits": [ 1570 ],
- "attributes": {
- }
- },
- "$abc$25143$n2966": {
- "hide_name": 1,
- "bits": [ 1571 ],
- "attributes": {
- }
- },
- "$abc$25143$n2967": {
- "hide_name": 1,
- "bits": [ 1572 ],
- "attributes": {
- }
- },
- "$abc$25143$n2968": {
- "hide_name": 1,
- "bits": [ 1573 ],
- "attributes": {
- }
- },
- "$abc$25143$n2968_1": {
- "hide_name": 1,
- "bits": [ 2142 ],
- "attributes": {
- }
- },
- "$abc$25143$n2969": {
- "hide_name": 1,
- "bits": [ 1574 ],
- "attributes": {
- }
- },
- "$abc$25143$n2970": {
- "hide_name": 1,
- "bits": [ 1575 ],
- "attributes": {
- }
- },
- "$abc$25143$n2971": {
- "hide_name": 1,
- "bits": [ 1576 ],
- "attributes": {
- }
- },
- "$abc$25143$n2972": {
- "hide_name": 1,
- "bits": [ 1577 ],
- "attributes": {
- }
- },
- "$abc$25143$n2973": {
- "hide_name": 1,
- "bits": [ 1578 ],
- "attributes": {
- }
- },
- "$abc$25143$n2974": {
- "hide_name": 1,
- "bits": [ 1579 ],
- "attributes": {
- }
- },
- "$abc$25143$n2975": {
- "hide_name": 1,
- "bits": [ 1580 ],
- "attributes": {
- }
- },
- "$abc$25143$n2976": {
- "hide_name": 1,
- "bits": [ 1581 ],
- "attributes": {
- }
- },
- "$abc$25143$n2977": {
- "hide_name": 1,
- "bits": [ 1582 ],
- "attributes": {
- }
- },
- "$abc$25143$n2978": {
- "hide_name": 1,
- "bits": [ 1583 ],
- "attributes": {
- }
- },
- "$abc$25143$n2979": {
- "hide_name": 1,
- "bits": [ 1584 ],
- "attributes": {
- }
- },
- "$abc$25143$n2980": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$25143$n2982": {
- "hide_name": 1,
- "bits": [ 1585 ],
- "attributes": {
- }
- },
- "$abc$25143$n2983": {
- "hide_name": 1,
- "bits": [ 1586 ],
- "attributes": {
- }
- },
- "$abc$25143$n2983_1": {
- "hide_name": 1,
- "bits": [ 2163 ],
- "attributes": {
- }
- },
- "$abc$25143$n2984": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$25143$n2984_1": {
- "hide_name": 1,
- "bits": [ 2164 ],
- "attributes": {
- }
- },
- "$abc$25143$n2986": {
- "hide_name": 1,
- "bits": [ 1587 ],
- "attributes": {
- }
- },
- "$abc$25143$n2986_1": {
- "hide_name": 1,
- "bits": [ 2174 ],
- "attributes": {
- }
- },
- "$abc$25143$n2987": {
- "hide_name": 1,
- "bits": [ 1588 ],
- "attributes": {
- }
- },
- "$abc$25143$n2987_1": {
- "hide_name": 1,
- "bits": [ 2175 ],
- "attributes": {
- }
- },
- "$abc$25143$n2988": {
- "hide_name": 1,
- "bits": [ 64 ],
- "attributes": {
- }
- },
- "$abc$25143$n2989": {
- "hide_name": 1,
- "bits": [ 2184 ],
- "attributes": {
- }
- },
- "$abc$25143$n2990": {
- "hide_name": 1,
- "bits": [ 66 ],
- "attributes": {
- }
- },
- "$abc$25143$n2990_1": {
- "hide_name": 1,
- "bits": [ 2185 ],
- "attributes": {
- }
- },
- "$abc$25143$n2992": {
- "hide_name": 1,
- "bits": [ 1589 ],
- "attributes": {
- }
- },
- "$abc$25143$n2992_1": {
- "hide_name": 1,
- "bits": [ 2194 ],
- "attributes": {
- }
- },
- "$abc$25143$n2993": {
- "hide_name": 1,
- "bits": [ 1590 ],
- "attributes": {
- }
- },
- "$abc$25143$n2993_1": {
- "hide_name": 1,
- "bits": [ 2195 ],
- "attributes": {
- }
- },
- "$abc$25143$n2994": {
- "hide_name": 1,
- "bits": [ 1591 ],
- "attributes": {
- }
- },
- "$abc$25143$n2995": {
- "hide_name": 1,
- "bits": [ 1592 ],
- "attributes": {
- }
- },
- "$abc$25143$n2995_1": {
- "hide_name": 1,
- "bits": [ 2207 ],
- "attributes": {
- }
- },
- "$abc$25143$n2996": {
- "hide_name": 1,
- "bits": [ 1593 ],
- "attributes": {
- }
- },
- "$abc$25143$n2997": {
- "hide_name": 1,
- "bits": [ 1594 ],
- "attributes": {
- }
- },
- "$abc$25143$n2997_1": {
- "hide_name": 1,
- "bits": [ 2215 ],
- "attributes": {
- }
- },
- "$abc$25143$n2998": {
- "hide_name": 1,
- "bits": [ 1595 ],
- "attributes": {
- }
- },
- "$abc$25143$n2999": {
- "hide_name": 1,
- "bits": [ 1596 ],
- "attributes": {
- }
- },
- "$abc$25143$n2999_1": {
- "hide_name": 1,
- "bits": [ 2223 ],
- "attributes": {
- }
- },
- "$abc$25143$n3": {
- "hide_name": 1,
- "bits": [ 18 ],
- "attributes": {
- }
- },
- "$abc$25143$n3000": {
- "hide_name": 1,
- "bits": [ 68 ],
- "attributes": {
- }
- },
- "$abc$25143$n3001": {
- "hide_name": 1,
- "bits": [ 2231 ],
- "attributes": {
- }
- },
- "$abc$25143$n3002": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$25143$n3009_1": {
- "hide_name": 1,
- "bits": [ 2240 ],
- "attributes": {
- }
- },
- "$abc$25143$n3075": {
- "hide_name": 1,
- "bits": [ 2306 ],
- "attributes": {
- }
- },
- "$abc$25143$n3076_1": {
- "hide_name": 1,
- "bits": [ 2309 ],
- "attributes": {
- }
- },
- "$abc$25143$n3077_1": {
- "hide_name": 1,
- "bits": [ 2310 ],
- "attributes": {
- }
- },
- "$abc$25143$n3078": {
- "hide_name": 1,
- "bits": [ 2311 ],
- "attributes": {
- }
- },
- "$abc$25143$n3079_1": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$25143$n3080_1": {
- "hide_name": 1,
- "bits": [ 2312 ],
- "attributes": {
- }
- },
- "$abc$25143$n3081": {
- "hide_name": 1,
- "bits": [ 2314 ],
- "attributes": {
- }
- },
- "$abc$25143$n3085": {
- "hide_name": 1,
- "bits": [ 2316 ],
- "attributes": {
- }
- },
- "$abc$25143$n3087": {
- "hide_name": 1,
- "bits": [ 1719 ],
- "attributes": {
- }
- },
- "$abc$25143$n3088": {
- "hide_name": 1,
- "bits": [ 1720 ],
- "attributes": {
- }
- },
- "$abc$25143$n3089": {
- "hide_name": 1,
- "bits": [ 1721 ],
- "attributes": {
- }
- },
- "$abc$25143$n3091": {
- "hide_name": 1,
- "bits": [ 1722 ],
- "attributes": {
- }
- },
- "$abc$25143$n3091_1": {
- "hide_name": 1,
- "bits": [ 2318 ],
- "attributes": {
- }
- },
- "$abc$25143$n3092": {
- "hide_name": 1,
- "bits": [ 1723 ],
- "attributes": {
- }
- },
- "$abc$25143$n3093": {
- "hide_name": 1,
- "bits": [ 1724 ],
- "attributes": {
- }
- },
- "$abc$25143$n3093_1": {
- "hide_name": 1,
- "bits": [ 2320 ],
- "attributes": {
- }
- },
- "$abc$25143$n3094": {
- "hide_name": 1,
- "bits": [ 1725 ],
- "attributes": {
- }
- },
- "$abc$25143$n3094_1": {
- "hide_name": 1,
- "bits": [ 2321 ],
- "attributes": {
- }
- },
- "$abc$25143$n3095": {
- "hide_name": 1,
- "bits": [ 1726 ],
- "attributes": {
- }
- },
- "$abc$25143$n3096_1": {
- "hide_name": 1,
- "bits": [ 2323 ],
- "attributes": {
- }
- },
- "$abc$25143$n3097": {
- "hide_name": 1,
- "bits": [ 1727 ],
- "attributes": {
- }
- },
- "$abc$25143$n3098": {
- "hide_name": 1,
- "bits": [ 1728 ],
- "attributes": {
- }
- },
- "$abc$25143$n3098_1": {
- "hide_name": 1,
- "bits": [ 2325 ],
- "attributes": {
- }
- },
- "$abc$25143$n3099": {
- "hide_name": 1,
- "bits": [ 2326 ],
- "attributes": {
- }
- },
- "$abc$25143$n31": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$25143$n3100": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$25143$n3101": {
- "hide_name": 1,
- "bits": [ 2329 ],
- "attributes": {
- }
- },
- "$abc$25143$n3102": {
- "hide_name": 1,
- "bits": [ 1730 ],
- "attributes": {
- }
- },
- "$abc$25143$n3103": {
- "hide_name": 1,
- "bits": [ 1731 ],
- "attributes": {
- }
- },
- "$abc$25143$n3104": {
- "hide_name": 1,
- "bits": [ 1732 ],
- "attributes": {
- }
- },
- "$abc$25143$n3104_1": {
- "hide_name": 1,
- "bits": [ 2331 ],
- "attributes": {
- }
- },
- "$abc$25143$n3105": {
- "hide_name": 1,
- "bits": [ 1733 ],
- "attributes": {
- }
- },
- "$abc$25143$n3105_1": {
- "hide_name": 1,
- "bits": [ 2332 ],
- "attributes": {
- }
- },
- "$abc$25143$n3106": {
- "hide_name": 1,
- "bits": [ 1734 ],
- "attributes": {
- }
- },
- "$abc$25143$n3107": {
- "hide_name": 1,
- "bits": [ 1735 ],
- "attributes": {
- }
- },
- "$abc$25143$n3107_1": {
- "hide_name": 1,
- "bits": [ 2335 ],
- "attributes": {
- }
- },
- "$abc$25143$n3108": {
- "hide_name": 1,
- "bits": [ 1736 ],
- "attributes": {
- }
- },
- "$abc$25143$n3108_1": {
- "hide_name": 1,
- "bits": [ 2338 ],
- "attributes": {
- }
- },
- "$abc$25143$n3109": {
- "hide_name": 1,
- "bits": [ 1737 ],
- "attributes": {
- }
- },
- "$abc$25143$n3109_1": {
- "hide_name": 1,
- "bits": [ 2340 ],
- "attributes": {
- }
- },
- "$abc$25143$n3110": {
- "hide_name": 1,
- "bits": [ 1738 ],
- "attributes": {
- }
- },
- "$abc$25143$n3110_1": {
- "hide_name": 1,
- "bits": [ 707 ],
- "attributes": {
- }
- },
- "$abc$25143$n3111": {
- "hide_name": 1,
- "bits": [ 1739 ],
- "attributes": {
- }
- },
- "$abc$25143$n3112": {
- "hide_name": 1,
- "bits": [ 1740 ],
- "attributes": {
- }
- },
- "$abc$25143$n3112_1": {
- "hide_name": 1,
- "bits": [ 2341 ],
- "attributes": {
- }
- },
- "$abc$25143$n3113": {
- "hide_name": 1,
- "bits": [ 1741 ],
- "attributes": {
- }
- },
- "$abc$25143$n3114": {
- "hide_name": 1,
- "bits": [ 1742 ],
- "attributes": {
- }
- },
- "$abc$25143$n3114_1": {
- "hide_name": 1,
- "bits": [ 2342 ],
- "attributes": {
- }
- },
- "$abc$25143$n3115": {
- "hide_name": 1,
- "bits": [ 1743 ],
- "attributes": {
- }
- },
- "$abc$25143$n3115_1": {
- "hide_name": 1,
- "bits": [ 2343 ],
- "attributes": {
- }
- },
- "$abc$25143$n3116": {
- "hide_name": 1,
- "bits": [ 1744 ],
- "attributes": {
- }
- },
- "$abc$25143$n3116_1": {
- "hide_name": 1,
- "bits": [ 799 ],
- "attributes": {
- }
- },
- "$abc$25143$n3117": {
- "hide_name": 1,
- "bits": [ 1745 ],
- "attributes": {
- }
- },
- "$abc$25143$n3117_1": {
- "hide_name": 1,
- "bits": [ 2345 ],
- "attributes": {
- }
- },
- "$abc$25143$n3118": {
- "hide_name": 1,
- "bits": [ 1746 ],
- "attributes": {
- }
- },
- "$abc$25143$n3118_1": {
- "hide_name": 1,
- "bits": [ 2347 ],
- "attributes": {
- }
- },
- "$abc$25143$n3119": {
- "hide_name": 1,
- "bits": [ 1747 ],
- "attributes": {
- }
- },
- "$abc$25143$n3119_1": {
- "hide_name": 1,
- "bits": [ 2348 ],
- "attributes": {
- }
- },
- "$abc$25143$n3120": {
- "hide_name": 1,
- "bits": [ 1748 ],
- "attributes": {
- }
- },
- "$abc$25143$n3121": {
- "hide_name": 1,
- "bits": [ 1749 ],
- "attributes": {
- }
- },
- "$abc$25143$n3122": {
- "hide_name": 1,
- "bits": [ 1750 ],
- "attributes": {
- }
- },
- "$abc$25143$n3122_1": {
- "hide_name": 1,
- "bits": [ 2349 ],
- "attributes": {
- }
- },
- "$abc$25143$n3123": {
- "hide_name": 1,
- "bits": [ 1751 ],
- "attributes": {
- }
- },
- "$abc$25143$n3123_1": {
- "hide_name": 1,
- "bits": [ 2350 ],
- "attributes": {
- }
- },
- "$abc$25143$n3124": {
- "hide_name": 1,
- "bits": [ 1752 ],
- "attributes": {
- }
- },
- "$abc$25143$n3125": {
- "hide_name": 1,
- "bits": [ 1753 ],
- "attributes": {
- }
- },
- "$abc$25143$n3126": {
- "hide_name": 1,
- "bits": [ 1754 ],
- "attributes": {
- }
- },
- "$abc$25143$n3127": {
- "hide_name": 1,
- "bits": [ 1755 ],
- "attributes": {
- }
- },
- "$abc$25143$n3128": {
- "hide_name": 1,
- "bits": [ 1756 ],
- "attributes": {
- }
- },
- "$abc$25143$n3129": {
- "hide_name": 1,
- "bits": [ 1757 ],
- "attributes": {
- }
- },
- "$abc$25143$n3130": {
- "hide_name": 1,
- "bits": [ 1758 ],
- "attributes": {
- }
- },
- "$abc$25143$n3131": {
- "hide_name": 1,
- "bits": [ 1759 ],
- "attributes": {
- }
- },
- "$abc$25143$n3132": {
- "hide_name": 1,
- "bits": [ 1760 ],
- "attributes": {
- }
- },
- "$abc$25143$n3133": {
- "hide_name": 1,
- "bits": [ 1761 ],
- "attributes": {
- }
- },
- "$abc$25143$n3134": {
- "hide_name": 1,
- "bits": [ 1762 ],
- "attributes": {
- }
- },
- "$abc$25143$n3135": {
- "hide_name": 1,
- "bits": [ 1763 ],
- "attributes": {
- }
- },
- "$abc$25143$n3136": {
- "hide_name": 1,
- "bits": [ 1764 ],
- "attributes": {
- }
- },
- "$abc$25143$n3137": {
- "hide_name": 1,
- "bits": [ 1765 ],
- "attributes": {
- }
- },
- "$abc$25143$n3138": {
- "hide_name": 1,
- "bits": [ 1766 ],
- "attributes": {
- }
- },
- "$abc$25143$n3139": {
- "hide_name": 1,
- "bits": [ 1767 ],
- "attributes": {
- }
- },
- "$abc$25143$n3140": {
- "hide_name": 1,
- "bits": [ 1768 ],
- "attributes": {
- }
- },
- "$abc$25143$n3141": {
- "hide_name": 1,
- "bits": [ 1769 ],
- "attributes": {
- }
- },
- "$abc$25143$n3142": {
- "hide_name": 1,
- "bits": [ 1770 ],
- "attributes": {
- }
- },
- "$abc$25143$n3143": {
- "hide_name": 1,
- "bits": [ 1771 ],
- "attributes": {
- }
- },
- "$abc$25143$n3144": {
- "hide_name": 1,
- "bits": [ 1772 ],
- "attributes": {
- }
- },
- "$abc$25143$n3145": {
- "hide_name": 1,
- "bits": [ 1773 ],
- "attributes": {
- }
- },
- "$abc$25143$n3146": {
- "hide_name": 1,
- "bits": [ 1774 ],
- "attributes": {
- }
- },
- "$abc$25143$n3147": {
- "hide_name": 1,
- "bits": [ 1775 ],
- "attributes": {
- }
- },
- "$abc$25143$n3148": {
- "hide_name": 1,
- "bits": [ 1776 ],
- "attributes": {
- }
- },
- "$abc$25143$n3149": {
- "hide_name": 1,
- "bits": [ 1777 ],
- "attributes": {
- }
- },
- "$abc$25143$n3150": {
- "hide_name": 1,
- "bits": [ 1778 ],
- "attributes": {
- }
- },
- "$abc$25143$n3151": {
- "hide_name": 1,
- "bits": [ 1779 ],
- "attributes": {
- }
- },
- "$abc$25143$n3152": {
- "hide_name": 1,
- "bits": [ 1780 ],
- "attributes": {
- }
- },
- "$abc$25143$n3153": {
- "hide_name": 1,
- "bits": [ 1781 ],
- "attributes": {
- }
- },
- "$abc$25143$n3154": {
- "hide_name": 1,
- "bits": [ 1782 ],
- "attributes": {
- }
- },
- "$abc$25143$n3155": {
- "hide_name": 1,
- "bits": [ 1783 ],
- "attributes": {
- }
- },
- "$abc$25143$n3156": {
- "hide_name": 1,
- "bits": [ 1784 ],
- "attributes": {
- }
- },
- "$abc$25143$n3157": {
- "hide_name": 1,
- "bits": [ 1785 ],
- "attributes": {
- }
- },
- "$abc$25143$n3158": {
- "hide_name": 1,
- "bits": [ 1786 ],
- "attributes": {
- }
- },
- "$abc$25143$n3159": {
- "hide_name": 1,
- "bits": [ 1787 ],
- "attributes": {
- }
- },
- "$abc$25143$n3160": {
- "hide_name": 1,
- "bits": [ 1788 ],
- "attributes": {
- }
- },
- "$abc$25143$n3162": {
- "hide_name": 1,
- "bits": [ 1789 ],
- "attributes": {
- }
- },
- "$abc$25143$n3163": {
- "hide_name": 1,
- "bits": [ 1790 ],
- "attributes": {
- }
- },
- "$abc$25143$n3164": {
- "hide_name": 1,
- "bits": [ 1791 ],
- "attributes": {
- }
- },
- "$abc$25143$n3165": {
- "hide_name": 1,
- "bits": [ 1792 ],
- "attributes": {
- }
- },
- "$abc$25143$n3166": {
- "hide_name": 1,
- "bits": [ 1793 ],
- "attributes": {
- }
- },
- "$abc$25143$n3168": {
- "hide_name": 1,
- "bits": [ 1796 ],
- "attributes": {
- }
- },
- "$abc$25143$n3170": {
- "hide_name": 1,
- "bits": [ 1805 ],
- "attributes": {
- }
- },
- "$abc$25143$n3172": {
- "hide_name": 1,
- "bits": [ 1811 ],
- "attributes": {
- }
- },
- "$abc$25143$n3174": {
- "hide_name": 1,
- "bits": [ 1817 ],
- "attributes": {
- }
- },
- "$abc$25143$n3176": {
- "hide_name": 1,
- "bits": [ 1823 ],
- "attributes": {
- }
- },
- "$abc$25143$n3178": {
- "hide_name": 1,
- "bits": [ 1829 ],
- "attributes": {
- }
- },
- "$abc$25143$n3180": {
- "hide_name": 1,
- "bits": [ 1835 ],
- "attributes": {
- }
- },
- "$abc$25143$n3181": {
- "hide_name": 1,
- "bits": [ 1841 ],
- "attributes": {
- }
- },
- "$abc$25143$n3183": {
- "hide_name": 1,
- "bits": [ 1844 ],
- "attributes": {
- }
- },
- "$abc$25143$n3185": {
- "hide_name": 1,
- "bits": [ 1845 ],
- "attributes": {
- }
- },
- "$abc$25143$n3188": {
- "hide_name": 1,
- "bits": [ 1847 ],
- "attributes": {
- }
- },
- "$abc$25143$n3191": {
- "hide_name": 1,
- "bits": [ 1849 ],
- "attributes": {
- }
- },
- "$abc$25143$n3194": {
- "hide_name": 1,
- "bits": [ 1851 ],
- "attributes": {
- }
- },
- "$abc$25143$n3197": {
- "hide_name": 1,
- "bits": [ 1853 ],
- "attributes": {
- }
- },
- "$abc$25143$n3200": {
- "hide_name": 1,
- "bits": [ 1855 ],
- "attributes": {
- }
- },
- "$abc$25143$n3203": {
- "hide_name": 1,
- "bits": [ 1857 ],
- "attributes": {
- }
- },
- "$abc$25143$n3206": {
- "hide_name": 1,
- "bits": [ 1859 ],
- "attributes": {
- }
- },
- "$abc$25143$n3209": {
- "hide_name": 1,
- "bits": [ 1861 ],
- "attributes": {
- }
- },
- "$abc$25143$n3212": {
- "hide_name": 1,
- "bits": [ 1863 ],
- "attributes": {
- }
- },
- "$abc$25143$n3215": {
- "hide_name": 1,
- "bits": [ 1865 ],
- "attributes": {
- }
- },
- "$abc$25143$n3218": {
- "hide_name": 1,
- "bits": [ 1867 ],
- "attributes": {
- }
- },
- "$abc$25143$n3221": {
- "hide_name": 1,
- "bits": [ 1869 ],
- "attributes": {
- }
- },
- "$abc$25143$n3224": {
- "hide_name": 1,
- "bits": [ 1871 ],
- "attributes": {
- }
- },
- "$abc$25143$n3227": {
- "hide_name": 1,
- "bits": [ 1873 ],
- "attributes": {
- }
- },
- "$abc$25143$n3229": {
- "hide_name": 1,
- "bits": [ 379 ],
- "attributes": {
- }
- },
- "$abc$25143$n3231": {
- "hide_name": 1,
- "bits": [ 1875 ],
- "attributes": {
- }
- },
- "$abc$25143$n3232": {
- "hide_name": 1,
- "bits": [ 1876 ],
- "attributes": {
- }
- },
- "$abc$25143$n3233": {
- "hide_name": 1,
- "bits": [ 1877 ],
- "attributes": {
- }
- },
- "$abc$25143$n3234": {
- "hide_name": 1,
- "bits": [ 1878 ],
- "attributes": {
- }
- },
- "$abc$25143$n3235": {
- "hide_name": 1,
- "bits": [ 1879 ],
- "attributes": {
- }
- },
- "$abc$25143$n3236": {
- "hide_name": 1,
- "bits": [ 1880 ],
- "attributes": {
- }
- },
- "$abc$25143$n3237": {
- "hide_name": 1,
- "bits": [ 1881 ],
- "attributes": {
- }
- },
- "$abc$25143$n3238": {
- "hide_name": 1,
- "bits": [ 1882 ],
- "attributes": {
- }
- },
- "$abc$25143$n3239": {
- "hide_name": 1,
- "bits": [ 1883 ],
- "attributes": {
- }
- },
- "$abc$25143$n3240": {
- "hide_name": 1,
- "bits": [ 1884 ],
- "attributes": {
- }
- },
- "$abc$25143$n3241": {
- "hide_name": 1,
- "bits": [ 1885 ],
- "attributes": {
- }
- },
- "$abc$25143$n3242": {
- "hide_name": 1,
- "bits": [ 1886 ],
- "attributes": {
- }
- },
- "$abc$25143$n3243": {
- "hide_name": 1,
- "bits": [ 1887 ],
- "attributes": {
- }
- },
- "$abc$25143$n3244": {
- "hide_name": 1,
- "bits": [ 1888 ],
- "attributes": {
- }
- },
- "$abc$25143$n3245": {
- "hide_name": 1,
- "bits": [ 1889 ],
- "attributes": {
- }
- },
- "$abc$25143$n3246": {
- "hide_name": 1,
- "bits": [ 1890 ],
- "attributes": {
- }
- },
- "$abc$25143$n3247": {
- "hide_name": 1,
- "bits": [ 1891 ],
- "attributes": {
- }
- },
- "$abc$25143$n3248": {
- "hide_name": 1,
- "bits": [ 1892 ],
- "attributes": {
- }
- },
- "$abc$25143$n3249": {
- "hide_name": 1,
- "bits": [ 1893 ],
- "attributes": {
- }
- },
- "$abc$25143$n3250": {
- "hide_name": 1,
- "bits": [ 1894 ],
- "attributes": {
- }
- },
- "$abc$25143$n3251": {
- "hide_name": 1,
- "bits": [ 1895 ],
- "attributes": {
- }
- },
- "$abc$25143$n3252": {
- "hide_name": 1,
- "bits": [ 1896 ],
- "attributes": {
- }
- },
- "$abc$25143$n3253": {
- "hide_name": 1,
- "bits": [ 1897 ],
- "attributes": {
- }
- },
- "$abc$25143$n3254": {
- "hide_name": 1,
- "bits": [ 1898 ],
- "attributes": {
- }
- },
- "$abc$25143$n3255": {
- "hide_name": 1,
- "bits": [ 1899 ],
- "attributes": {
- }
- },
- "$abc$25143$n3256": {
- "hide_name": 1,
- "bits": [ 1900 ],
- "attributes": {
- }
- },
- "$abc$25143$n3257": {
- "hide_name": 1,
- "bits": [ 1901 ],
- "attributes": {
- }
- },
- "$abc$25143$n3258": {
- "hide_name": 1,
- "bits": [ 1902 ],
- "attributes": {
- }
- },
- "$abc$25143$n3259": {
- "hide_name": 1,
- "bits": [ 1903 ],
- "attributes": {
- }
- },
- "$abc$25143$n3260": {
- "hide_name": 1,
- "bits": [ 1904 ],
- "attributes": {
- }
- },
- "$abc$25143$n3261": {
- "hide_name": 1,
- "bits": [ 1905 ],
- "attributes": {
- }
- },
- "$abc$25143$n3262": {
- "hide_name": 1,
- "bits": [ 1906 ],
- "attributes": {
- }
- },
- "$abc$25143$n3263": {
- "hide_name": 1,
- "bits": [ 1907 ],
- "attributes": {
- }
- },
- "$abc$25143$n3264": {
- "hide_name": 1,
- "bits": [ 1908 ],
- "attributes": {
- }
- },
- "$abc$25143$n3265": {
- "hide_name": 1,
- "bits": [ 1909 ],
- "attributes": {
- }
- },
- "$abc$25143$n3266": {
- "hide_name": 1,
- "bits": [ 1910 ],
- "attributes": {
- }
- },
- "$abc$25143$n3267": {
- "hide_name": 1,
- "bits": [ 1911 ],
- "attributes": {
- }
- },
- "$abc$25143$n3268": {
- "hide_name": 1,
- "bits": [ 1912 ],
- "attributes": {
- }
- },
- "$abc$25143$n3269": {
- "hide_name": 1,
- "bits": [ 1913 ],
- "attributes": {
- }
- },
- "$abc$25143$n3270": {
- "hide_name": 1,
- "bits": [ 1914 ],
- "attributes": {
- }
- },
- "$abc$25143$n3271": {
- "hide_name": 1,
- "bits": [ 1915 ],
- "attributes": {
- }
- },
- "$abc$25143$n3272": {
- "hide_name": 1,
- "bits": [ 1916 ],
- "attributes": {
- }
- },
- "$abc$25143$n3273": {
- "hide_name": 1,
- "bits": [ 1917 ],
- "attributes": {
- }
- },
- "$abc$25143$n3274": {
- "hide_name": 1,
- "bits": [ 1918 ],
- "attributes": {
- }
- },
- "$abc$25143$n3275": {
- "hide_name": 1,
- "bits": [ 1919 ],
- "attributes": {
- }
- },
- "$abc$25143$n3276": {
- "hide_name": 1,
- "bits": [ 1920 ],
- "attributes": {
- }
- },
- "$abc$25143$n3277": {
- "hide_name": 1,
- "bits": [ 1921 ],
- "attributes": {
- }
- },
- "$abc$25143$n3278": {
- "hide_name": 1,
- "bits": [ 1922 ],
- "attributes": {
- }
- },
- "$abc$25143$n3279": {
- "hide_name": 1,
- "bits": [ 1923 ],
- "attributes": {
- }
- },
- "$abc$25143$n3280": {
- "hide_name": 1,
- "bits": [ 1924 ],
- "attributes": {
- }
- },
- "$abc$25143$n3281": {
- "hide_name": 1,
- "bits": [ 1925 ],
- "attributes": {
- }
- },
- "$abc$25143$n3282": {
- "hide_name": 1,
- "bits": [ 1926 ],
- "attributes": {
- }
- },
- "$abc$25143$n3283": {
- "hide_name": 1,
- "bits": [ 1927 ],
- "attributes": {
- }
- },
- "$abc$25143$n3284": {
- "hide_name": 1,
- "bits": [ 1928 ],
- "attributes": {
- }
- },
- "$abc$25143$n3285": {
- "hide_name": 1,
- "bits": [ 1929 ],
- "attributes": {
- }
- },
- "$abc$25143$n3286": {
- "hide_name": 1,
- "bits": [ 1930 ],
- "attributes": {
- }
- },
- "$abc$25143$n3287": {
- "hide_name": 1,
- "bits": [ 1931 ],
- "attributes": {
- }
- },
- "$abc$25143$n3288": {
- "hide_name": 1,
- "bits": [ 1932 ],
- "attributes": {
- }
- },
- "$abc$25143$n3292": {
- "hide_name": 1,
- "bits": [ 1935 ],
- "attributes": {
- }
- },
- "$abc$25143$n3294": {
- "hide_name": 1,
- "bits": [ 1937 ],
- "attributes": {
- }
- },
- "$abc$25143$n3296": {
- "hide_name": 1,
- "bits": [ 1939 ],
- "attributes": {
- }
- },
- "$abc$25143$n3298": {
- "hide_name": 1,
- "bits": [ 1941 ],
- "attributes": {
- }
- },
- "$abc$25143$n33": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$25143$n3300": {
- "hide_name": 1,
- "bits": [ 1943 ],
- "attributes": {
- }
- },
- "$abc$25143$n3302": {
- "hide_name": 1,
- "bits": [ 1945 ],
- "attributes": {
- }
- },
- "$abc$25143$n3304": {
- "hide_name": 1,
- "bits": [ 1933 ],
- "attributes": {
- }
- },
- "$abc$25143$n3305": {
- "hide_name": 1,
- "bits": [ 1934 ],
- "attributes": {
- }
- },
- "$abc$25143$n3306": {
- "hide_name": 1,
- "bits": [ 1936 ],
- "attributes": {
- }
- },
- "$abc$25143$n3307": {
- "hide_name": 1,
- "bits": [ 1938 ],
- "attributes": {
- }
- },
- "$abc$25143$n3308": {
- "hide_name": 1,
- "bits": [ 1940 ],
- "attributes": {
- }
- },
- "$abc$25143$n3309": {
- "hide_name": 1,
- "bits": [ 1942 ],
- "attributes": {
- }
- },
- "$abc$25143$n3310": {
- "hide_name": 1,
- "bits": [ 1944 ],
- "attributes": {
- }
- },
- "$abc$25143$n3311": {
- "hide_name": 1,
- "bits": [ 1946 ],
- "attributes": {
- }
- },
- "$abc$25143$n3312": {
- "hide_name": 1,
- "bits": [ 2273 ],
- "attributes": {
- }
- },
- "$abc$25143$n3315": {
- "hide_name": 1,
- "bits": [ 1949 ],
- "attributes": {
- }
- },
- "$abc$25143$n3321": {
- "hide_name": 1,
- "bits": [ 1954 ],
- "attributes": {
- }
- },
- "$abc$25143$n3324": {
- "hide_name": 1,
- "bits": [ 1957 ],
- "attributes": {
- }
- },
- "$abc$25143$n3327": {
- "hide_name": 1,
- "bits": [ 1960 ],
- "attributes": {
- }
- },
- "$abc$25143$n3330": {
- "hide_name": 1,
- "bits": [ 1963 ],
- "attributes": {
- }
- },
- "$abc$25143$n3333": {
- "hide_name": 1,
- "bits": [ 1966 ],
- "attributes": {
- }
- },
- "$abc$25143$n3336": {
- "hide_name": 1,
- "bits": [ 1969 ],
- "attributes": {
- }
- },
- "$abc$25143$n3339": {
- "hide_name": 1,
- "bits": [ 1972 ],
- "attributes": {
- }
- },
- "$abc$25143$n3342": {
- "hide_name": 1,
- "bits": [ 1975 ],
- "attributes": {
- }
- },
- "$abc$25143$n3345": {
- "hide_name": 1,
- "bits": [ 1978 ],
- "attributes": {
- }
- },
- "$abc$25143$n3348": {
- "hide_name": 1,
- "bits": [ 1981 ],
- "attributes": {
- }
- },
- "$abc$25143$n3351": {
- "hide_name": 1,
- "bits": [ 1984 ],
- "attributes": {
- }
- },
- "$abc$25143$n3354": {
- "hide_name": 1,
- "bits": [ 1987 ],
- "attributes": {
- }
- },
- "$abc$25143$n3357": {
- "hide_name": 1,
- "bits": [ 1990 ],
- "attributes": {
- }
- },
- "$abc$25143$n3360": {
- "hide_name": 1,
- "bits": [ 1993 ],
- "attributes": {
- }
- },
- "$abc$25143$n3363": {
- "hide_name": 1,
- "bits": [ 1996 ],
- "attributes": {
- }
- },
- "$abc$25143$n3366": {
- "hide_name": 1,
- "bits": [ 1999 ],
- "attributes": {
- }
- },
- "$abc$25143$n3369": {
- "hide_name": 1,
- "bits": [ 2002 ],
- "attributes": {
- }
- },
- "$abc$25143$n3372": {
- "hide_name": 1,
- "bits": [ 2005 ],
- "attributes": {
- }
- },
- "$abc$25143$n3375": {
- "hide_name": 1,
- "bits": [ 2008 ],
- "attributes": {
- }
- },
- "$abc$25143$n3378": {
- "hide_name": 1,
- "bits": [ 2011 ],
- "attributes": {
- }
- },
- "$abc$25143$n3381": {
- "hide_name": 1,
- "bits": [ 2014 ],
- "attributes": {
- }
- },
- "$abc$25143$n3384": {
- "hide_name": 1,
- "bits": [ 2017 ],
- "attributes": {
- }
- },
- "$abc$25143$n3387": {
- "hide_name": 1,
- "bits": [ 2020 ],
- "attributes": {
- }
- },
- "$abc$25143$n3390": {
- "hide_name": 1,
- "bits": [ 2023 ],
- "attributes": {
- }
- },
- "$abc$25143$n3393": {
- "hide_name": 1,
- "bits": [ 2026 ],
- "attributes": {
- }
- },
- "$abc$25143$n3396": {
- "hide_name": 1,
- "bits": [ 2029 ],
- "attributes": {
- }
- },
- "$abc$25143$n3399": {
- "hide_name": 1,
- "bits": [ 2032 ],
- "attributes": {
- }
- },
- "$abc$25143$n3402": {
- "hide_name": 1,
- "bits": [ 2035 ],
- "attributes": {
- }
- },
- "$abc$25143$n3405": {
- "hide_name": 1,
- "bits": [ 2038 ],
- "attributes": {
- }
- },
- "$abc$25143$n3408": {
- "hide_name": 1,
- "bits": [ 2041 ],
- "attributes": {
- }
- },
- "$abc$25143$n3412": {
- "hide_name": 1,
- "bits": [ 1948 ],
- "attributes": {
- }
- },
- "$abc$25143$n3414": {
- "hide_name": 1,
- "bits": [ 1951 ],
- "attributes": {
- }
- },
- "$abc$25143$n3416": {
- "hide_name": 1,
- "bits": [ 1953 ],
- "attributes": {
- }
- },
- "$abc$25143$n3418": {
- "hide_name": 1,
- "bits": [ 1956 ],
- "attributes": {
- }
- },
- "$abc$25143$n3420": {
- "hide_name": 1,
- "bits": [ 1959 ],
- "attributes": {
- }
- },
- "$abc$25143$n3422": {
- "hide_name": 1,
- "bits": [ 1962 ],
- "attributes": {
- }
- },
- "$abc$25143$n3424": {
- "hide_name": 1,
- "bits": [ 1965 ],
- "attributes": {
- }
- },
- "$abc$25143$n3426": {
- "hide_name": 1,
- "bits": [ 1968 ],
- "attributes": {
- }
- },
- "$abc$25143$n3428": {
- "hide_name": 1,
- "bits": [ 1971 ],
- "attributes": {
- }
- },
- "$abc$25143$n3430": {
- "hide_name": 1,
- "bits": [ 1974 ],
- "attributes": {
- }
- },
- "$abc$25143$n3432": {
- "hide_name": 1,
- "bits": [ 1977 ],
- "attributes": {
- }
- },
- "$abc$25143$n3434": {
- "hide_name": 1,
- "bits": [ 1980 ],
- "attributes": {
- }
- },
- "$abc$25143$n3436": {
- "hide_name": 1,
- "bits": [ 1983 ],
- "attributes": {
- }
- },
- "$abc$25143$n3438": {
- "hide_name": 1,
- "bits": [ 1986 ],
- "attributes": {
- }
- },
- "$abc$25143$n3440": {
- "hide_name": 1,
- "bits": [ 1989 ],
- "attributes": {
- }
- },
- "$abc$25143$n3442": {
- "hide_name": 1,
- "bits": [ 1992 ],
- "attributes": {
- }
- },
- "$abc$25143$n3444": {
- "hide_name": 1,
- "bits": [ 1995 ],
- "attributes": {
- }
- },
- "$abc$25143$n3446": {
- "hide_name": 1,
- "bits": [ 1998 ],
- "attributes": {
- }
- },
- "$abc$25143$n3448": {
- "hide_name": 1,
- "bits": [ 2001 ],
- "attributes": {
- }
- },
- "$abc$25143$n3450": {
- "hide_name": 1,
- "bits": [ 2004 ],
- "attributes": {
- }
- },
- "$abc$25143$n3452": {
- "hide_name": 1,
- "bits": [ 2007 ],
- "attributes": {
- }
- },
- "$abc$25143$n3454": {
- "hide_name": 1,
- "bits": [ 2010 ],
- "attributes": {
- }
- },
- "$abc$25143$n3456": {
- "hide_name": 1,
- "bits": [ 2013 ],
- "attributes": {
- }
- },
- "$abc$25143$n3458": {
- "hide_name": 1,
- "bits": [ 2016 ],
- "attributes": {
- }
- },
- "$abc$25143$n3460": {
- "hide_name": 1,
- "bits": [ 2019 ],
- "attributes": {
- }
- },
- "$abc$25143$n3462": {
- "hide_name": 1,
- "bits": [ 2022 ],
- "attributes": {
- }
- },
- "$abc$25143$n3464": {
- "hide_name": 1,
- "bits": [ 2025 ],
- "attributes": {
- }
- },
- "$abc$25143$n3466": {
- "hide_name": 1,
- "bits": [ 2028 ],
- "attributes": {
- }
- },
- "$abc$25143$n3468": {
- "hide_name": 1,
- "bits": [ 2031 ],
- "attributes": {
- }
- },
- "$abc$25143$n3470": {
- "hide_name": 1,
- "bits": [ 2034 ],
- "attributes": {
- }
- },
- "$abc$25143$n3472": {
- "hide_name": 1,
- "bits": [ 2037 ],
- "attributes": {
- }
- },
- "$abc$25143$n3474": {
- "hide_name": 1,
- "bits": [ 2040 ],
- "attributes": {
- }
- },
- "$abc$25143$n3476": {
- "hide_name": 1,
- "bits": [ 2274 ],
- "attributes": {
- }
- },
- "$abc$25143$n3478": {
- "hide_name": 1,
- "bits": [ 2042 ],
- "attributes": {
- }
- },
- "$abc$25143$n3482": {
- "hide_name": 1,
- "bits": [ 2044 ],
- "attributes": {
- }
- },
- "$abc$25143$n3483": {
- "hide_name": 1,
- "bits": [ 2045 ],
- "attributes": {
- }
- },
- "$abc$25143$n3484": {
- "hide_name": 1,
- "bits": [ 2046 ],
- "attributes": {
- }
- },
- "$abc$25143$n3486": {
- "hide_name": 1,
- "bits": [ 2047 ],
- "attributes": {
- }
- },
- "$abc$25143$n3487": {
- "hide_name": 1,
- "bits": [ 2048 ],
- "attributes": {
- }
- },
- "$abc$25143$n3488": {
- "hide_name": 1,
- "bits": [ 2049 ],
- "attributes": {
- }
- },
- "$abc$25143$n3489": {
- "hide_name": 1,
- "bits": [ 2050 ],
- "attributes": {
- }
- },
- "$abc$25143$n3490": {
- "hide_name": 1,
- "bits": [ 2051 ],
- "attributes": {
- }
- },
- "$abc$25143$n3491": {
- "hide_name": 1,
- "bits": [ 2052 ],
- "attributes": {
- }
- },
- "$abc$25143$n3492": {
- "hide_name": 1,
- "bits": [ 2053 ],
- "attributes": {
- }
- },
- "$abc$25143$n3493": {
- "hide_name": 1,
- "bits": [ 2054 ],
- "attributes": {
- }
- },
- "$abc$25143$n3494": {
- "hide_name": 1,
- "bits": [ 2055 ],
- "attributes": {
- }
- },
- "$abc$25143$n3495": {
- "hide_name": 1,
- "bits": [ 2056 ],
- "attributes": {
- }
- },
- "$abc$25143$n3496": {
- "hide_name": 1,
- "bits": [ 2057 ],
- "attributes": {
- }
- },
- "$abc$25143$n3497": {
- "hide_name": 1,
- "bits": [ 2058 ],
- "attributes": {
- }
- },
- "$abc$25143$n3498": {
- "hide_name": 1,
- "bits": [ 2059 ],
- "attributes": {
- }
- },
- "$abc$25143$n3499": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$25143$n35": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$25143$n3501": {
- "hide_name": 1,
- "bits": [ 2060 ],
- "attributes": {
- }
- },
- "$abc$25143$n3502": {
- "hide_name": 1,
- "bits": [ 2061 ],
- "attributes": {
- }
- },
- "$abc$25143$n3503": {
- "hide_name": 1,
- "bits": [ 2063 ],
- "attributes": {
- }
- },
- "$abc$25143$n3504": {
- "hide_name": 1,
- "bits": [ 2064 ],
- "attributes": {
- }
- },
- "$abc$25143$n3505": {
- "hide_name": 1,
- "bits": [ 2065 ],
- "attributes": {
- }
- },
- "$abc$25143$n3506": {
- "hide_name": 1,
- "bits": [ 2066 ],
- "attributes": {
- }
- },
- "$abc$25143$n3507": {
- "hide_name": 1,
- "bits": [ 2067 ],
- "attributes": {
- }
- },
- "$abc$25143$n3508": {
- "hide_name": 1,
- "bits": [ 2068 ],
- "attributes": {
- }
- },
- "$abc$25143$n3509": {
- "hide_name": 1,
- "bits": [ 2069 ],
- "attributes": {
- }
- },
- "$abc$25143$n3510": {
- "hide_name": 1,
- "bits": [ 2070 ],
- "attributes": {
- }
- },
- "$abc$25143$n3511": {
- "hide_name": 1,
- "bits": [ 2071 ],
- "attributes": {
- }
- },
- "$abc$25143$n3512": {
- "hide_name": 1,
- "bits": [ 2072 ],
- "attributes": {
- }
- },
- "$abc$25143$n3513": {
- "hide_name": 1,
- "bits": [ 2073 ],
- "attributes": {
- }
- },
- "$abc$25143$n3514": {
- "hide_name": 1,
- "bits": [ 2074 ],
- "attributes": {
- }
- },
- "$abc$25143$n3515": {
- "hide_name": 1,
- "bits": [ 2075 ],
- "attributes": {
- }
- },
- "$abc$25143$n3516": {
- "hide_name": 1,
- "bits": [ 2076 ],
- "attributes": {
- }
- },
- "$abc$25143$n3517": {
- "hide_name": 1,
- "bits": [ 2077 ],
- "attributes": {
- }
- },
- "$abc$25143$n3518": {
- "hide_name": 1,
- "bits": [ 2078 ],
- "attributes": {
- }
- },
- "$abc$25143$n3519": {
- "hide_name": 1,
- "bits": [ 2079 ],
- "attributes": {
- }
- },
- "$abc$25143$n3520": {
- "hide_name": 1,
- "bits": [ 2080 ],
- "attributes": {
- }
- },
- "$abc$25143$n3521": {
- "hide_name": 1,
- "bits": [ 2081 ],
- "attributes": {
- }
- },
- "$abc$25143$n3522": {
- "hide_name": 1,
- "bits": [ 2082 ],
- "attributes": {
- }
- },
- "$abc$25143$n3523": {
- "hide_name": 1,
- "bits": [ 2083 ],
- "attributes": {
- }
- },
- "$abc$25143$n3524": {
- "hide_name": 1,
- "bits": [ 2084 ],
- "attributes": {
- }
- },
- "$abc$25143$n3525": {
- "hide_name": 1,
- "bits": [ 2085 ],
- "attributes": {
- }
- },
- "$abc$25143$n3526": {
- "hide_name": 1,
- "bits": [ 2086 ],
- "attributes": {
- }
- },
- "$abc$25143$n3528": {
- "hide_name": 1,
- "bits": [ 2087 ],
- "attributes": {
- }
- },
- "$abc$25143$n3529": {
- "hide_name": 1,
- "bits": [ 2088 ],
- "attributes": {
- }
- },
- "$abc$25143$n3530": {
- "hide_name": 1,
- "bits": [ 2089 ],
- "attributes": {
- }
- },
- "$abc$25143$n3531": {
- "hide_name": 1,
- "bits": [ 2090 ],
- "attributes": {
- }
- },
- "$abc$25143$n3532": {
- "hide_name": 1,
- "bits": [ 2091 ],
- "attributes": {
- }
- },
- "$abc$25143$n3533": {
- "hide_name": 1,
- "bits": [ 2092 ],
- "attributes": {
- }
- },
- "$abc$25143$n3534": {
- "hide_name": 1,
- "bits": [ 2093 ],
- "attributes": {
- }
- },
- "$abc$25143$n3535": {
- "hide_name": 1,
- "bits": [ 2094 ],
- "attributes": {
- }
- },
- "$abc$25143$n3536": {
- "hide_name": 1,
- "bits": [ 2095 ],
- "attributes": {
- }
- },
- "$abc$25143$n3537": {
- "hide_name": 1,
- "bits": [ 2096 ],
- "attributes": {
- }
- },
- "$abc$25143$n3538": {
- "hide_name": 1,
- "bits": [ 2097 ],
- "attributes": {
- }
- },
- "$abc$25143$n3539": {
- "hide_name": 1,
- "bits": [ 2098 ],
- "attributes": {
- }
- },
- "$abc$25143$n3540": {
- "hide_name": 1,
- "bits": [ 2099 ],
- "attributes": {
- }
- },
- "$abc$25143$n3541": {
- "hide_name": 1,
- "bits": [ 2100 ],
- "attributes": {
- }
- },
- "$abc$25143$n3542": {
- "hide_name": 1,
- "bits": [ 2101 ],
- "attributes": {
- }
- },
- "$abc$25143$n3543": {
- "hide_name": 1,
- "bits": [ 2102 ],
- "attributes": {
- }
- },
- "$abc$25143$n3544": {
- "hide_name": 1,
- "bits": [ 2103 ],
- "attributes": {
- }
- },
- "$abc$25143$n3545": {
- "hide_name": 1,
- "bits": [ 2104 ],
- "attributes": {
- }
- },
- "$abc$25143$n3546": {
- "hide_name": 1,
- "bits": [ 2105 ],
- "attributes": {
- }
- },
- "$abc$25143$n3547": {
- "hide_name": 1,
- "bits": [ 2106 ],
- "attributes": {
- }
- },
- "$abc$25143$n3548": {
- "hide_name": 1,
- "bits": [ 2107 ],
- "attributes": {
- }
- },
- "$abc$25143$n3549": {
- "hide_name": 1,
- "bits": [ 2108 ],
- "attributes": {
- }
- },
- "$abc$25143$n3550": {
- "hide_name": 1,
- "bits": [ 2109 ],
- "attributes": {
- }
- },
- "$abc$25143$n3551": {
- "hide_name": 1,
- "bits": [ 2110 ],
- "attributes": {
- }
- },
- "$abc$25143$n3552": {
- "hide_name": 1,
- "bits": [ 2111 ],
- "attributes": {
- }
- },
- "$abc$25143$n3553": {
- "hide_name": 1,
- "bits": [ 2112 ],
- "attributes": {
- }
- },
- "$abc$25143$n3554": {
- "hide_name": 1,
- "bits": [ 2113 ],
- "attributes": {
- }
- },
- "$abc$25143$n3555": {
- "hide_name": 1,
- "bits": [ 2114 ],
- "attributes": {
- }
- },
- "$abc$25143$n3556": {
- "hide_name": 1,
- "bits": [ 2115 ],
- "attributes": {
- }
- },
- "$abc$25143$n3557": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$25143$n3558": {
- "hide_name": 1,
- "bits": [ 114 ],
- "attributes": {
- }
- },
- "$abc$25143$n3559": {
- "hide_name": 1,
- "bits": [ 116 ],
- "attributes": {
- }
- },
- "$abc$25143$n3560": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$25143$n3561": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$25143$n3562": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$25143$n3563": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$25143$n3564": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$25143$n3565": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$25143$n3566": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$25143$n3567": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$25143$n3635": {
- "hide_name": 1,
- "bits": [ 2119 ],
- "attributes": {
- }
- },
- "$abc$25143$n3642": {
- "hide_name": 1,
- "bits": [ 2124 ],
- "attributes": {
- }
- },
- "$abc$25143$n3645": {
- "hide_name": 1,
- "bits": [ 2127 ],
- "attributes": {
- }
- },
- "$abc$25143$n3652": {
- "hide_name": 1,
- "bits": [ 2132 ],
- "attributes": {
- }
- },
- "$abc$25143$n3655": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$25143$n3662": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$25143$n3665": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$25143$n3668": {
- "hide_name": 1,
- "bits": [ 2138 ],
- "attributes": {
- }
- },
- "$abc$25143$n3671": {
- "hide_name": 1,
- "bits": [ 2141 ],
- "attributes": {
- }
- },
- "$abc$25143$n3678": {
- "hide_name": 1,
- "bits": [ 2145 ],
- "attributes": {
- }
- },
- "$abc$25143$n3681": {
- "hide_name": 1,
- "bits": [ 2147 ],
- "attributes": {
- }
- },
- "$abc$25143$n3684": {
- "hide_name": 1,
- "bits": [ 2149 ],
- "attributes": {
- }
- },
- "$abc$25143$n37": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$25143$n3796": {
- "hide_name": 1,
- "bits": [ 2276 ],
- "attributes": {
- }
- },
- "$abc$25143$n3798": {
- "hide_name": 1,
- "bits": [ 2278 ],
- "attributes": {
- }
- },
- "$abc$25143$n3800": {
- "hide_name": 1,
- "bits": [ 2279 ],
- "attributes": {
- }
- },
- "$abc$25143$n3801": {
- "hide_name": 1,
- "bits": [ 2280 ],
- "attributes": {
- }
- },
- "$abc$25143$n3803": {
- "hide_name": 1,
- "bits": [ 2281 ],
- "attributes": {
- }
- },
- "$abc$25143$n3813": {
- "hide_name": 1,
- "bits": [ 2282 ],
- "attributes": {
- }
- },
- "$abc$25143$n3817": {
- "hide_name": 1,
- "bits": [ 2303 ],
- "attributes": {
- }
- },
- "$abc$25143$n3819": {
- "hide_name": 1,
- "bits": [ 2283 ],
- "attributes": {
- }
- },
- "$abc$25143$n3821": {
- "hide_name": 1,
- "bits": [ 2284 ],
- "attributes": {
- }
- },
- "$abc$25143$n3823": {
- "hide_name": 1,
- "bits": [ 2285 ],
- "attributes": {
- }
- },
- "$abc$25143$n3825": {
- "hide_name": 1,
- "bits": [ 2286 ],
- "attributes": {
- }
- },
- "$abc$25143$n3827": {
- "hide_name": 1,
- "bits": [ 2287 ],
- "attributes": {
- }
- },
- "$abc$25143$n3829": {
- "hide_name": 1,
- "bits": [ 2288 ],
- "attributes": {
- }
- },
- "$abc$25143$n3831": {
- "hide_name": 1,
- "bits": [ 2289 ],
- "attributes": {
- }
- },
- "$abc$25143$n3833": {
- "hide_name": 1,
- "bits": [ 2290 ],
- "attributes": {
- }
- },
- "$abc$25143$n3835": {
- "hide_name": 1,
- "bits": [ 2291 ],
- "attributes": {
- }
- },
- "$abc$25143$n3837": {
- "hide_name": 1,
- "bits": [ 2293 ],
- "attributes": {
- }
- },
- "$abc$25143$n3839": {
- "hide_name": 1,
- "bits": [ 2294 ],
- "attributes": {
- }
- },
- "$abc$25143$n3841": {
- "hide_name": 1,
- "bits": [ 2295 ],
- "attributes": {
- }
- },
- "$abc$25143$n3843": {
- "hide_name": 1,
- "bits": [ 2296 ],
- "attributes": {
- }
- },
- "$abc$25143$n3845": {
- "hide_name": 1,
- "bits": [ 2297 ],
- "attributes": {
- }
- },
- "$abc$25143$n3847": {
- "hide_name": 1,
- "bits": [ 2298 ],
- "attributes": {
- }
- },
- "$abc$25143$n3849": {
- "hide_name": 1,
- "bits": [ 2299 ],
- "attributes": {
- }
- },
- "$abc$25143$n3851": {
- "hide_name": 1,
- "bits": [ 2300 ],
- "attributes": {
- }
- },
- "$abc$25143$n3853": {
- "hide_name": 1,
- "bits": [ 2302 ],
- "attributes": {
- }
- },
- "$abc$25143$n3865": {
- "hide_name": 1,
- "bits": [ 2339 ],
- "attributes": {
- }
- },
- "$abc$25143$n3877": {
- "hide_name": 1,
- "bits": [ 810 ],
- "attributes": {
- }
- },
- "$abc$25143$n39": {
- "hide_name": 1,
- "bits": [ 115 ],
- "attributes": {
- }
- },
- "$abc$25143$n4011": {
- "hide_name": 1,
- "bits": [ 442 ],
- "attributes": {
- }
- },
- "$abc$25143$n4013": {
- "hide_name": 1,
- "bits": [ 444 ],
- "attributes": {
- }
- },
- "$abc$25143$n4093": {
- "hide_name": 1,
- "bits": [ 2161 ],
- "attributes": {
- }
- },
- "$abc$25143$n4095": {
- "hide_name": 1,
- "bits": [ 2162 ],
- "attributes": {
- }
- },
- "$abc$25143$n41": {
- "hide_name": 1,
- "bits": [ 117 ],
- "attributes": {
- }
- },
- "$abc$25143$n4234": {
- "hide_name": 1,
- "bits": [ 2236 ],
- "attributes": {
- }
- },
- "$abc$25143$n4238": {
- "hide_name": 1,
- "bits": [ 2237 ],
- "attributes": {
- }
- },
- "$abc$25143$n4239": {
- "hide_name": 1,
- "bits": [ 2238 ],
- "attributes": {
- }
- },
- "$abc$25143$n4253": {
- "hide_name": 1,
- "bits": [ 2334 ],
- "attributes": {
- }
- },
- "$abc$25143$n4264": {
- "hide_name": 1,
- "bits": [ 2241 ],
- "attributes": {
- }
- },
- "$abc$25143$n4274": {
- "hide_name": 1,
- "bits": [ 2250 ],
- "attributes": {
- }
- },
- "$abc$25143$n43": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$25143$n45": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$25143$n47": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$25143$n49": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$25143$n5": {
- "hide_name": 1,
- "bits": [ 35 ],
- "attributes": {
- }
- },
- "$abc$25143$n51": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$25143$n53": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$25143$n55": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$25143$n56": {
- "hide_name": 1,
- "bits": [ 30 ],
- "attributes": {
- }
- },
- "$abc$25143$n58": {
- "hide_name": 1,
- "bits": [ 1240 ],
- "attributes": {
- }
- },
- "$abc$25143$n60": {
- "hide_name": 1,
- "bits": [ 1259 ],
- "attributes": {
- }
- },
- "$abc$25143$n62": {
- "hide_name": 1,
- "bits": [ 1260 ],
- "attributes": {
- }
- },
- "$abc$25143$n64": {
- "hide_name": 1,
- "bits": [ 1276 ],
- "attributes": {
- }
- },
- "$abc$25143$n66": {
- "hide_name": 1,
- "bits": [ 1224 ],
- "attributes": {
- }
- },
- "$abc$25143$n68": {
- "hide_name": 1,
- "bits": [ 1233 ],
- "attributes": {
- }
- },
- "$abc$25143$n7": {
- "hide_name": 1,
- "bits": [ 37 ],
- "attributes": {
- }
- },
- "$abc$25143$n70": {
- "hide_name": 1,
- "bits": [ 1254 ],
- "attributes": {
- }
- },
- "$abc$25143$n72": {
- "hide_name": 1,
- "bits": [ 1270 ],
- "attributes": {
- }
- },
- "$abc$25143$n74": {
- "hide_name": 1,
- "bits": [ 1238 ],
- "attributes": {
- }
- },
- "$abc$25143$n76": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$25143$n78": {
- "hide_name": 1,
- "bits": [ 1269 ],
- "attributes": {
- }
- },
- "$abc$25143$n80": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$25143$n82": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$25143$n84": {
- "hide_name": 1,
- "bits": [ 794 ],
- "attributes": {
- }
- },
- "$abc$25143$n86": {
- "hide_name": 1,
- "bits": [ 466 ],
- "attributes": {
- }
- },
- "$abc$25143$n88": {
- "hide_name": 1,
- "bits": [ 467 ],
- "attributes": {
- }
- },
- "$abc$25143$n9": {
- "hide_name": 1,
- "bits": [ 39 ],
- "attributes": {
- }
- },
- "$abc$25143$n90": {
- "hide_name": 1,
- "bits": [ 468 ],
- "attributes": {
- }
- },
- "$abc$25143$n92": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$25143$n94": {
- "hide_name": 1,
- "bits": [ 470 ],
- "attributes": {
- }
- },
- "$abc$25143$n96": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$25143$n98": {
- "hide_name": 1,
- "bits": [ 165 ],
- "attributes": {
- }
- },
- "$add$top.v:2582$458_Y": {
- "hide_name": 1,
- "bits": [ 2368, 2904, 2371, 2374, 2377, 2380, 2383, 2386 ],
- "attributes": {
- "src": "top.v:2582"
- }
- },
- "$add$top.v:2585$459_Y": {
- "hide_name": 1,
- "bits": [ 2387, 2905, 2390, 2393, 2396, 2399, 2402, 2405 ],
- "attributes": {
- "src": "top.v:2585"
- }
- },
- "$add$top.v:2642$470_Y": {
- "hide_name": 1,
- "bits": [ 2413, 2906, 2456, 2461, 2463, 2465, 2467, 2469, 2471, 2473, 2415, 2417, 2419, 2421, 2423, 2425, 2427, 2429, 2431, 2433, 2436, 2438, 2440, 2442, 2444, 2446, 2448, 2450, 2452, 2454, 2458, 2460 ],
- "attributes": {
- "src": "top.v:2642"
- }
- },
- "$add$top.v:2728$500_Y": {
- "hide_name": 1,
- "bits": [ 2480, 2907, 2482 ],
- "attributes": {
- "src": "top.v:2728"
- }
- },
- "$add$top.v:2735$501_Y": {
- "hide_name": 1,
- "bits": [ 2483, 2908, 2485 ],
- "attributes": {
- "src": "top.v:2735"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3934.C": {
- "hide_name": 1,
- "bits": [ 2909, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359 ],
- "attributes": {
- "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3947.C": {
- "hide_name": 1,
- "bits": [ 2910, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367 ],
- "attributes": {
- "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3960.C": {
- "hide_name": 1,
- "bits": [ 2911, 2912, 2369, 2372, 2375, 2378, 2381, 2384 ],
- "attributes": {
- "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3963.C": {
- "hide_name": 1,
- "bits": [ 2913, 2914, 2388, 2391, 2394, 2397, 2400, 2403 ],
- "attributes": {
- "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3966.C": {
- "hide_name": 1,
- "bits": [ 2915, 2916, 2406, 2407, 2408, 2409, 2410, 2411, 2412 ],
- "attributes": {
- "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3969.C": {
- "hide_name": 1,
- "bits": [ 2917, 2918, 2435, 2457, 2462, 2464, 2466, 2468, 2470, 2472, 2414, 2416, 2418, 2420, 2422, 2424, 2426, 2428, 2430, 2432, 2434, 2437, 2439, 2441, 2443, 2445, 2447, 2449, 2451, 2453, 2455, 2459 ],
- "attributes": {
- "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3978.C": {
- "hide_name": 1,
- "bits": [ 2919, 2920, 2474, 2475, 2476, 2477, 2478, 2479 ],
- "attributes": {
- "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3981.C": {
- "hide_name": 1,
- "bits": [ 2921, 2922, 2481 ],
- "attributes": {
- "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3984.C": {
- "hide_name": 1,
- "bits": [ 2923, 2924, 2484 ],
- "attributes": {
- "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3987.C": {
- "hide_name": 1,
- "bits": [ 2925, 2926, 2486, 2487 ],
- "attributes": {
- "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3990.C": {
- "hide_name": 1,
- "bits": [ 2927, 2928, 2929, 2930, 2533, 2535, 2537, 2539, 2541, 2543, 2489, 2490, 2492, 2494, 2496, 2498, 2500, 2502, 2504, 2506, 2508, 2510, 2512, 2514, 2516, 2518, 2520, 2522, 2524, 2526, 2528, 2530, 2532 ],
- "attributes": {
- "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3993.C": {
- "hide_name": 1,
- "bits": [ 2931, 2932, 2545, 2546 ],
- "attributes": {
- "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$3996.C": {
- "hide_name": 1,
- "bits": [ 2933, 2934, 2935, 2936, 2592, 2594, 2596, 2598, 2600, 2602, 2548, 2549, 2551, 2553, 2555, 2557, 2559, 2561, 2563, 2565, 2567, 2569, 2571, 2573, 2575, 2577, 2579, 2581, 2583, 2585, 2587, 2589, 2591 ],
- "attributes": {
- "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4002.C": {
- "hide_name": 1,
- "bits": [ 2937, 2605, 2628, 2647, 2649, 2651, 2653, 2655, 2657, 2659, 2607, 2608, 2610, 2612, 2614, 2616, 2618, 2620, 2622, 2624, 2626, 2630, 2632, 2633, 2635, 2637, 2639, 2642, 2644 ],
- "attributes": {
- "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4005.C": {
- "hide_name": 1,
- "bits": [ 2938, 2939, 2661, 2662, 2663 ],
- "attributes": {
- "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4008.C": {
- "hide_name": 1,
- "bits": [ 2940, 2941, 2664, 2665, 2666 ],
- "attributes": {
- "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4011.C": {
- "hide_name": 1,
- "bits": [ 2942, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674 ],
- "attributes": {
- "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4014.C": {
- "hide_name": 1,
- "bits": [ 2943, 2944, 2675 ],
- "attributes": {
- "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4017.C": {
- "hide_name": 1,
- "bits": [ 2945, 2946, 2676 ],
- "attributes": {
- "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4020.C": {
- "hide_name": 1,
- "bits": [ 2947, 2948, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2677, 2678, 2679, 2680, 2681, 2682 ],
- "attributes": {
- "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4023.C": {
- "hide_name": 1,
- "bits": [ 2949, 2950, 2691, 2693, 2695, 2697, 2699, 2701 ],
- "attributes": {
- "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4026.C": {
- "hide_name": 1,
- "bits": [ 2951, 2952, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2703, 2704 ],
- "attributes": {
- "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4029.C": {
- "hide_name": 1,
- "bits": [ 2953, 2954, 2713, 2714, 2715, 2716, 2717, 2718, 2719 ],
- "attributes": {
- "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4032.C": {
- "hide_name": 1,
- "bits": [ 2955, 2956, 2720, 2721, 2722, 2723, 2724, 2725, 2726 ],
- "attributes": {
- "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4035.C": {
- "hide_name": 1,
- "bits": [ 2957, 2958, 2738, 2749, 2751, 2752, 2753, 2754, 2755, 2756, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2750 ],
- "attributes": {
- "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4038.C": {
- "hide_name": 1,
- "bits": [ 2959, 2960, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767 ],
- "attributes": {
- "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4041.C": {
- "hide_name": 1,
- "bits": [ 2961, 2962, 2776, 2777, 2778 ],
- "attributes": {
- "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4044.C": {
- "hide_name": 1,
- "bits": [ 2963, 2964, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2779, 2780, 2781, 2782, 2783, 2784, 2785 ],
- "attributes": {
- "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$memory_bram.cc:896:replace_cell$4058": {
- "hide_name": 1,
- "bits": [ 2965, 2966, 2967, 2968, 2882, 2884, 2886, 2888 ],
- "attributes": {
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "$techmap4072\\mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 2969, 2870, 2970, 2871, 2971, 2872, 2972, 2873, 2973, 2874, 2974, 2875, 2975, 2876, 2976, 2877 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap4074\\storage_1.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 2890, 2977, 2891, 2892, 2893, 2978, 2894, 2895, 2896, 2979, 2898, 2899, 2900, 2980, 2902, 2903 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap4076\\storage.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 2981, 2878, 2982, 2879, 2983, 2880, 2984, 2881, 2985, 2883, 2986, 2885, 2987, 2887, 2988, 2889 ],
- "attributes": {
- "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210 ],
- "attributes": {
- "src": "top.v:705"
- }
- },
- "array_muxed0": {
- "hide_name": 0,
- "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x", 268, 270, 269, "0" ],
- "attributes": {
- "init": 0,
- "src": "top.v:899"
- }
- },
- "array_muxed1": {
- "hide_name": 0,
- "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
- "attributes": {
- "src": "top.v:900"
- }
- },
- "clk16": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "count": {
- "hide_name": 0,
- "bits": [ 85, 103, 104, 105, 106, 99, 100, 101, 102, 95, 96, 97, 98, 92, 93, 94, 2259 ],
- "attributes": {
- "src": "top.v:618"
- }
- },
- "csrbank0_mux_value0_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:624"
- }
- },
- "csrbank0_mux_value0_w": {
- "hide_name": 0,
- "bits": [ 1309 ],
- "attributes": {
- "src": "top.v:625"
- }
- },
- "csrbank0_storage_done_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:651"
- }
- },
- "csrbank0_storage_done_w": {
- "hide_name": 0,
- "bits": [ 2344 ],
- "attributes": {
- "src": "top.v:652"
- }
- },
- "csrbank0_storage_enable0_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:648"
- }
- },
- "csrbank0_storage_enable0_w": {
- "hide_name": 0,
- "bits": [ 1290 ],
- "attributes": {
- "src": "top.v:649"
- }
- },
- "csrbank0_storage_length0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:657"
- }
- },
- "csrbank0_storage_length0_w": {
- "hide_name": 0,
- "bits": [ 2292, 803, 1355, 1362, 800, 807, 808, 1385 ],
- "attributes": {
- "src": "top.v:658"
- }
- },
- "csrbank0_storage_length1_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:654"
- }
- },
- "csrbank0_storage_length1_w": {
- "hide_name": 0,
- "bits": [ 2301 ],
- "attributes": {
- "src": "top.v:655"
- }
- },
- "csrbank0_storage_mem_data_r": {
- "hide_name": 0,
- "bits": [ 349, 40 ],
- "attributes": {
- "src": "top.v:669"
- }
- },
- "csrbank0_storage_mem_valid_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:666"
- }
- },
- "csrbank0_storage_offset0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:663"
- }
- },
- "csrbank0_storage_offset0_w": {
- "hide_name": 0,
- "bits": [ 1313, 1339, 1353, 1360, 1367, 713, 1378, 710 ],
- "attributes": {
- "src": "top.v:664"
- }
- },
- "csrbank0_storage_offset1_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:660"
- }
- },
- "csrbank0_storage_offset1_w": {
- "hide_name": 0,
- "bits": [ 1312 ],
- "attributes": {
- "src": "top.v:661"
- }
- },
- "csrbank0_subsampler_value0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:645"
- }
- },
- "csrbank0_subsampler_value0_w": {
- "hide_name": 0,
- "bits": [ 1291, 1343, 1354, 1361, 1368, 1373, 1379, 1384 ],
- "attributes": {
- "src": "top.v:646"
- }
- },
- "csrbank0_subsampler_value1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:642"
- }
- },
- "csrbank0_subsampler_value1_w": {
- "hide_name": 0,
- "bits": [ 1314, 1342, 1356, 1363, 1369, 1374, 1380, 1386 ],
- "attributes": {
- "src": "top.v:643"
- }
- },
- "csrbank0_trigger_done_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:630"
- }
- },
- "csrbank0_trigger_done_w": {
- "hide_name": 0,
- "bits": [ 1306 ],
- "attributes": {
- "src": "top.v:631"
- }
- },
- "csrbank0_trigger_enable0_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:627"
- }
- },
- "csrbank0_trigger_enable0_w": {
- "hide_name": 0,
- "bits": [ 1315 ],
- "attributes": {
- "src": "top.v:628"
- }
- },
- "csrbank0_trigger_mem_full_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:639"
- }
- },
- "csrbank0_trigger_mem_mask0_r": {
- "hide_name": 0,
- "bits": [ 349, 40 ],
- "attributes": {
- "src": "top.v:633"
- }
- },
- "csrbank0_trigger_mem_mask0_w": {
- "hide_name": 0,
- "bits": [ 2346, 1340 ],
- "attributes": {
- "src": "top.v:634"
- }
- },
- "csrbank0_trigger_mem_value0_r": {
- "hide_name": 0,
- "bits": [ 349, 40 ],
- "attributes": {
- "src": "top.v:636"
- }
- },
- "csrbank0_trigger_mem_value0_w": {
- "hide_name": 0,
- "bits": [ 2328, 1341 ],
- "attributes": {
- "src": "top.v:637"
- }
- },
- "csrbank1_bus_errors0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:698"
- }
- },
- "csrbank1_bus_errors0_w": {
- "hide_name": 0,
- "bits": [ 219, 251, 241, 242, 235, 236, 237, 238 ],
- "attributes": {
- "src": "top.v:699"
- }
- },
- "csrbank1_bus_errors1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:695"
- }
- },
- "csrbank1_bus_errors1_w": {
- "hide_name": 0,
- "bits": [ 233, 234, 227, 228, 229, 230, 239, 240 ],
- "attributes": {
- "src": "top.v:696"
- }
- },
- "csrbank1_bus_errors2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:692"
- }
- },
- "csrbank1_bus_errors2_w": {
- "hide_name": 0,
- "bits": [ 260, 261, 254, 255, 256, 257, 231, 232 ],
- "attributes": {
- "src": "top.v:693"
- }
- },
- "csrbank1_bus_errors3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:689"
- }
- },
- "csrbank1_bus_errors3_w": {
- "hide_name": 0,
- "bits": [ 252, 253, 247, 248, 249, 250, 258, 259 ],
- "attributes": {
- "src": "top.v:690"
- }
- },
- "csrbank1_scratch0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:686"
- }
- },
- "csrbank1_scratch0_w": {
- "hide_name": 0,
- "bits": [ 1218, 1229, 1230, 2989, 2990, 2991, 2992, 1280 ],
- "attributes": {
- "src": "top.v:687"
- }
- },
- "csrbank1_scratch1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:683"
- }
- },
- "csrbank1_scratch1_w": {
- "hide_name": 0,
- "bits": [ 1217, 2993, 2994, 1243, 2995, 1263, 2996, 1286 ],
- "attributes": {
- "src": "top.v:684"
- }
- },
- "csrbank1_scratch2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:680"
- }
- },
- "csrbank1_scratch2_w": {
- "hide_name": 0,
- "bits": [ 1210, 1228, 2997, 1248, 2998, 2999, 1279, 1289 ],
- "attributes": {
- "src": "top.v:681"
- }
- },
- "csrbank1_scratch3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:677"
- }
- },
- "csrbank1_scratch3_w": {
- "hide_name": 0,
- "bits": [ 1219, 3000, 1239, 1249, 3001, 1268, 1278, 1288 ],
- "attributes": {
- "src": "top.v:678"
- }
- },
- "csrbank2_git_commit0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:771"
- }
- },
- "csrbank2_git_commit10_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:741"
- }
- },
- "csrbank2_git_commit11_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:738"
- }
- },
- "csrbank2_git_commit12_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:735"
- }
- },
- "csrbank2_git_commit13_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:732"
- }
- },
- "csrbank2_git_commit14_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:729"
- }
- },
- "csrbank2_git_commit15_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:726"
- }
- },
- "csrbank2_git_commit16_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:723"
- }
- },
- "csrbank2_git_commit17_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:720"
- }
- },
- "csrbank2_git_commit18_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:717"
- }
- },
- "csrbank2_git_commit19_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:714"
- }
- },
- "csrbank2_git_commit1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:768"
- }
- },
- "csrbank2_git_commit2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:765"
- }
- },
- "csrbank2_git_commit3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:762"
- }
- },
- "csrbank2_git_commit4_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:759"
- }
- },
- "csrbank2_git_commit5_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:756"
- }
- },
- "csrbank2_git_commit6_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:753"
- }
- },
- "csrbank2_git_commit7_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:750"
- }
- },
- "csrbank2_git_commit8_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:747"
- }
- },
- "csrbank2_git_commit9_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:744"
- }
- },
- "csrbank2_platform_platform0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:795"
- }
- },
- "csrbank2_platform_platform1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:792"
- }
- },
- "csrbank2_platform_platform2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:789"
- }
- },
- "csrbank2_platform_platform3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:786"
- }
- },
- "csrbank2_platform_platform4_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:783"
- }
- },
- "csrbank2_platform_platform5_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:780"
- }
- },
- "csrbank2_platform_platform6_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:777"
- }
- },
- "csrbank2_platform_platform7_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:774"
- }
- },
- "csrbank2_platform_target0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:819"
- }
- },
- "csrbank2_platform_target1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:816"
- }
- },
- "csrbank2_platform_target2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:813"
- }
- },
- "csrbank2_platform_target3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:810"
- }
- },
- "csrbank2_platform_target4_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:807"
- }
- },
- "csrbank2_platform_target5_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:804"
- }
- },
- "csrbank2_platform_target6_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:801"
- }
- },
- "csrbank2_platform_target7_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:798"
- }
- },
- "csrbank3_in_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:827"
- }
- },
- "csrbank3_out0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:830"
- }
- },
- "csrbank3_out0_w": {
- "hide_name": 0,
- "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
- "attributes": {
- "src": "top.v:831"
- }
- },
- "csrbank4_bitbang0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17 ],
- "attributes": {
- "src": "top.v:838"
- }
- },
- "csrbank4_bitbang0_w": {
- "hide_name": 0,
- "bits": [ 796, 790, 793, 1137 ],
- "attributes": {
- "src": "top.v:839"
- }
- },
- "csrbank4_bitbang_en0_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:844"
- }
- },
- "csrbank4_bitbang_en0_w": {
- "hide_name": 0,
- "bits": [ 792 ],
- "attributes": {
- "src": "top.v:845"
- }
- },
- "csrbank4_miso_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:841"
- }
- },
- "csrbank5_en0_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:876"
- }
- },
- "csrbank5_en0_w": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "top.v:877"
- }
- },
- "csrbank5_ev_enable0_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:891"
- }
- },
- "csrbank5_ev_enable0_w": {
- "hide_name": 0,
- "bits": [ 967 ],
- "attributes": {
- "src": "top.v:892"
- }
- },
- "csrbank5_load0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:861"
- }
- },
- "csrbank5_load0_w": {
- "hide_name": 0,
- "bits": [ 985, 1004, 1027, 1036, 1053, 1089, 1110, 1125 ],
- "attributes": {
- "src": "top.v:862"
- }
- },
- "csrbank5_load1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:858"
- }
- },
- "csrbank5_load1_w": {
- "hide_name": 0,
- "bits": [ 984, 1006, 1023, 1046, 1064, 1081, 1104, 1127 ],
- "attributes": {
- "src": "top.v:859"
- }
- },
- "csrbank5_load2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:855"
- }
- },
- "csrbank5_load2_w": {
- "hide_name": 0,
- "bits": [ 966, 1005, 1017, 1048, 1066, 1073, 1108, 1124 ],
- "attributes": {
- "src": "top.v:856"
- }
- },
- "csrbank5_load3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:852"
- }
- },
- "csrbank5_load3_w": {
- "hide_name": 0,
- "bits": [ 980, 993, 1019, 1042, 1065, 1088, 1107, 1120 ],
- "attributes": {
- "src": "top.v:853"
- }
- },
- "csrbank5_reload0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:873"
- }
- },
- "csrbank5_reload0_w": {
- "hide_name": 0,
- "bits": [ 974, 990, 1018, 1041, 1068, 1085, 1106, 1131 ],
- "attributes": {
- "src": "top.v:874"
- }
- },
- "csrbank5_reload1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:870"
- }
- },
- "csrbank5_reload1_w": {
- "hide_name": 0,
- "bits": [ 981, 1001, 1020, 1038, 1063, 1080, 1103, 1128 ],
- "attributes": {
- "src": "top.v:871"
- }
- },
- "csrbank5_reload2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:867"
- }
- },
- "csrbank5_reload2_w": {
- "hide_name": 0,
- "bits": [ 971, 1000, 1025, 1032, 1060, 1087, 1098, 1115 ],
- "attributes": {
- "src": "top.v:868"
- }
- },
- "csrbank5_reload3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:864"
- }
- },
- "csrbank5_reload3_w": {
- "hide_name": 0,
- "bits": [ 979, 1003, 1026, 1035, 1062, 1079, 1105, 1121 ],
- "attributes": {
- "src": "top.v:865"
- }
- },
- "csrbank5_value0_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:888"
- }
- },
- "csrbank5_value0_w": {
- "hide_name": 0,
- "bits": [ 982, 999, 1014, 1043, 1059, 1086, 1109, 1126 ],
- "attributes": {
- "src": "top.v:889"
- }
- },
- "csrbank5_value1_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:885"
- }
- },
- "csrbank5_value1_w": {
- "hide_name": 0,
- "bits": [ 983, 998, 1024, 1037, 1061, 1078, 1097, 1117 ],
- "attributes": {
- "src": "top.v:886"
- }
- },
- "csrbank5_value2_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:882"
- }
- },
- "csrbank5_value2_w": {
- "hide_name": 0,
- "bits": [ 963, 997, 1011, 1039, 1067, 1084, 1095, 1119 ],
- "attributes": {
- "src": "top.v:883"
- }
- },
- "csrbank5_value3_r": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:879"
- }
- },
- "csrbank5_value3_w": {
- "hide_name": 0,
- "bits": [ 969, 996, 1016, 1047, 1056, 1076, 1093, 1130 ],
- "attributes": {
- "src": "top.v:880"
- }
- },
- "dat_r": {
- "hide_name": 0,
- "bits": [ 2170, 2181, 2191, 2201, 2209, 2217, 2225, 2233 ],
- "attributes": {
- "src": "top.v:706"
- }
- },
- "fsm0_next_state": {
- "hide_name": 0,
- "bits": [ 2319, 813, 814 ],
- "attributes": {
- "init": 0,
- "src": "top.v:595"
- }
- },
- "fsm0_state": {
- "hide_name": 0,
- "bits": [ 639, 640, 641 ],
- "attributes": {
- "src": "top.v:594"
- }
- },
- "fsm1_next_state": {
- "hide_name": 0,
- "bits": [ 197, 197, 196 ],
- "attributes": {
- "init": 0,
- "src": "top.v:597"
- }
- },
- "fsm1_state": {
- "hide_name": 0,
- "bits": [ 193, 194, 195 ],
- "attributes": {
- "src": "top.v:596"
- }
- },
- "fsm_next_state": {
- "hide_name": 0,
- "bits": [ 833, 2327, 840 ],
- "attributes": {
- "init": 0,
- "src": "top.v:589"
- }
- },
- "fsm_state": {
- "hide_name": 0,
- "bits": [ 138, 139, 140 ],
- "attributes": {
- "src": "top.v:588"
- }
- },
- "interface0_bank_bus_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "src": "top.v:619"
- }
- },
- "interface0_bank_bus_dat_r": {
- "hide_name": 0,
- "bits": [ 2172, 2182, 2192, 2202, 2210, 2218, 2226, 2234 ],
- "attributes": {
- "src": "top.v:622"
- }
- },
- "interface0_bank_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:621"
- }
- },
- "interface0_bank_bus_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:620"
- }
- },
- "interface1_bank_bus_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "src": "top.v:672"
- }
- },
- "interface1_bank_bus_dat_r": {
- "hide_name": 0,
- "bits": [ 2173, 2183, 2193, 2203, 2211, 2219, 2227, 2235 ],
- "attributes": {
- "src": "top.v:675"
- }
- },
- "interface1_bank_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:674"
- }
- },
- "interface1_bank_bus_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:673"
- }
- },
- "interface2_bank_bus_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "src": "top.v:709"
- }
- },
- "interface2_bank_bus_dat_r": {
- "hide_name": 0,
- "bits": [ 2166, 2177, 2187, 2197, 2204, 2212, 2220, 2228 ],
- "attributes": {
- "src": "top.v:712"
- }
- },
- "interface2_bank_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:711"
- }
- },
- "interface2_bank_bus_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:710"
- }
- },
- "interface3_bank_bus_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "src": "top.v:822"
- }
- },
- "interface3_bank_bus_dat_r": {
- "hide_name": 0,
- "bits": [ 2167, 2178, 2188, 2198, 2205, 2213, 2221, 2229 ],
- "attributes": {
- "src": "top.v:825"
- }
- },
- "interface3_bank_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:824"
- }
- },
- "interface3_bank_bus_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:823"
- }
- },
- "interface4_bank_bus_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "src": "top.v:833"
- }
- },
- "interface4_bank_bus_dat_r": {
- "hide_name": 0,
- "bits": [ 2168, 2179, 2189, 2199, "0", "0", "0", "0" ],
- "attributes": {
- "src": "top.v:836"
- }
- },
- "interface4_bank_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:835"
- }
- },
- "interface4_bank_bus_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:834"
- }
- },
- "interface5_bank_bus_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "src": "top.v:847"
- }
- },
- "interface5_bank_bus_dat_r": {
- "hide_name": 0,
- "bits": [ 2169, 2180, 2190, 2200, 2206, 2214, 2222, 2230 ],
- "attributes": {
- "src": "top.v:850"
- }
- },
- "interface5_bank_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:849"
- }
- },
- "interface5_bank_bus_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:848"
- }
- },
- "io_output": {
- "hide_name": 0,
- "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
- "attributes": {
- "src": "top.v:354"
- }
- },
- "io_storage": {
- "hide_name": 0,
- "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
- "attributes": {
- "src": "top.v:357"
- }
- },
- "io_storage_full": {
- "hide_name": 0,
- "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
- "attributes": {
- "src": "top.v:356"
- }
- },
- "litescopeanalyzer_next_state": {
- "hide_name": 0,
- "bits": [ 798, 812 ],
- "attributes": {
- "init": 0,
- "src": "top.v:599"
- }
- },
- "litescopeanalyzer_state": {
- "hide_name": 0,
- "bits": [ 109, 107 ],
- "attributes": {
- "src": "top.v:598"
- }
- },
- "memdat_1": {
- "hide_name": 0,
- "bits": [ 2794, 2795, 2897, 2901 ],
- "attributes": {
- "src": "top.v:3926"
- }
- },
- "multiregimpl0_regs0": {
- "hide_name": 0,
- "bits": [ 2825 ],
- "attributes": {
- "src": "top.v:908"
- }
- },
- "multiregimpl0_regs1": {
- "hide_name": 0,
- "bits": [ 401 ],
- "attributes": {
- "src": "top.v:909"
- }
- },
- "multiregimpl10_regs0": {
- "hide_name": 0,
- "bits": [ 2865 ],
- "attributes": {
- "src": "top.v:930"
- }
- },
- "multiregimpl10_regs1": {
- "hide_name": 0,
- "bits": [ 751 ],
- "attributes": {
- "src": "top.v:931"
- }
- },
- "multiregimpl13_regs0": {
- "hide_name": 0,
- "bits": [ 2838 ],
- "attributes": {
- "src": "top.v:936"
- }
- },
- "multiregimpl13_regs1": {
- "hide_name": 0,
- "bits": [ 2344 ],
- "attributes": {
- "src": "top.v:937"
- }
- },
- "multiregimpl14_regs0": {
- "hide_name": 0,
- "bits": [ 2839, 2840, 2841 ],
- "attributes": {
- "src": "top.v:938"
- }
- },
- "multiregimpl14_regs1": {
- "hide_name": 0,
- "bits": [ 1319, 1323, 1322 ],
- "attributes": {
- "src": "top.v:939"
- }
- },
- "multiregimpl15_regs0": {
- "hide_name": 0,
- "bits": [ 2866, 2867, 2868 ],
- "attributes": {
- "src": "top.v:940"
- }
- },
- "multiregimpl15_regs1": {
- "hide_name": 0,
- "bits": [ 723, 718, 724 ],
- "attributes": {
- "src": "top.v:941"
- }
- },
- "multiregimpl1_regs0": {
- "hide_name": 0,
- "bits": [ 2827 ],
- "attributes": {
- "src": "top.v:910"
- }
- },
- "multiregimpl1_regs1": {
- "hide_name": 0,
- "bits": [ 2828 ],
- "attributes": {
- "src": "top.v:911"
- }
- },
- "multiregimpl1_regs2": {
- "hide_name": 0,
- "bits": [ 873 ],
- "attributes": {
- "src": "top.v:912"
- }
- },
- "multiregimpl2_regs0": {
- "hide_name": 0,
- "bits": [ 2830 ],
- "attributes": {
- "src": "top.v:913"
- }
- },
- "multiregimpl2_regs1": {
- "hide_name": 0,
- "bits": [ 2831 ],
- "attributes": {
- "src": "top.v:914"
- }
- },
- "multiregimpl2_regs2": {
- "hide_name": 0,
- "bits": [ 871 ],
- "attributes": {
- "src": "top.v:915"
- }
- },
- "multiregimpl4_regs0": {
- "hide_name": 0,
- "bits": [ 2842 ],
- "attributes": {
- "src": "top.v:918"
- }
- },
- "multiregimpl4_regs1": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "top.v:919"
- }
- },
- "multiregimpl5_regs0": {
- "hide_name": 0,
- "bits": [ 2843 ],
- "attributes": {
- "src": "top.v:920"
- }
- },
- "multiregimpl5_regs1": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "top.v:921"
- }
- },
- "multiregimpl6_regs0": {
- "hide_name": 0,
- "bits": [ 2832 ],
- "attributes": {
- "src": "top.v:922"
- }
- },
- "multiregimpl6_regs1": {
- "hide_name": 0,
- "bits": [ 1306 ],
- "attributes": {
- "src": "top.v:923"
- }
- },
- "multiregimpl7_regs0": {
- "hide_name": 0,
- "bits": [ 2844, 2845, 2846, 2847, 2848 ],
- "attributes": {
- "src": "top.v:924"
- }
- },
- "multiregimpl7_regs1": {
- "hide_name": 0,
- "bits": [ 181, 178, 183, 172, 177 ],
- "attributes": {
- "src": "top.v:925"
- }
- },
- "multiregimpl8_regs0": {
- "hide_name": 0,
- "bits": [ 2833, 2834, 2835, 2836, 2837 ],
- "attributes": {
- "src": "top.v:926"
- }
- },
- "multiregimpl8_regs1": {
- "hide_name": 0,
- "bits": [ 1294, 1303, 1305, 1300, 1301 ],
- "attributes": {
- "src": "top.v:927"
- }
- },
- "multiregimpl9_regs0": {
- "hide_name": 0,
- "bits": [ 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864 ],
- "attributes": {
- "src": "top.v:928"
- }
- },
- "multiregimpl9_regs1": {
- "hide_name": 0,
- "bits": [ 704, 692, 696, 670, 674, 694, 672, 680, 698, 700, 706, 684, 676, 682, 686, 702 ],
- "attributes": {
- "src": "top.v:929"
- }
- },
- "mux_payload_data": {
- "hide_name": 0,
- "bits": [ 9, 10 ],
- "attributes": {
- "src": "top.v:365"
- }
- },
- "mux_value": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "top.v:376"
- }
- },
- "mux_value_storage": {
- "hide_name": 0,
- "bits": [ 1309 ],
- "attributes": {
- "src": "top.v:374"
- }
- },
- "mux_value_storage_full": {
- "hide_name": 0,
- "bits": [ 1309 ],
- "attributes": {
- "src": "top.v:373"
- }
- },
- "por_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:77"
- }
- },
- "por_rst": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "top.v:78"
- }
- },
- "rst1": {
- "hide_name": 0,
- "bits": [ 2869 ],
- "attributes": {
- "src": "top.v:907"
- }
- },
- "rxbitstuffremover_next_state": {
- "hide_name": 0,
- "bits": [ 863, 867, 869 ],
- "attributes": {
- "init": 0,
- "src": "top.v:585"
- }
- },
- "rxbitstuffremover_state": {
- "hide_name": 0,
- "bits": [ 862, 864, 865 ],
- "attributes": {
- "src": "top.v:584"
- }
- },
- "rxclockdatarecovery_next_state": {
- "hide_name": 0,
- "bits": [ 872, 875, 877 ],
- "attributes": {
- "init": 0,
- "src": "top.v:581"
- }
- },
- "rxclockdatarecovery_state": {
- "hide_name": 0,
- "bits": [ 133, 134, 132 ],
- "attributes": {
- "src": "top.v:580"
- }
- },
- "rxnrzidecoder_next_state": {
- "hide_name": 0,
- "bits": [ 2155 ],
- "attributes": {
- "init": 0,
- "src": "top.v:583"
- }
- },
- "rxnrzidecoder_state": {
- "hide_name": 0,
- "bits": [ 2153 ],
- "attributes": {
- "src": "top.v:582"
- }
- },
- "rxpacketdecode_next_state": {
- "hide_name": 0,
- "bits": [ 845, 854, 859 ],
- "attributes": {
- "init": 0,
- "src": "top.v:587"
- }
- },
- "rxpacketdecode_state": {
- "hide_name": 0,
- "bits": [ 847, 850, 851 ],
- "attributes": {
- "src": "top.v:586"
- }
- },
- "scope_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:359"
- }
- },
- "scope_rst": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "top.v:360"
- }
- },
- "sel": {
- "hide_name": 0,
- "bits": [ 785 ],
- "attributes": {
- "src": "top.v:707"
- }
- },
- "sel_r": {
- "hide_name": 0,
- "bits": [ 2171 ],
- "attributes": {
- "src": "top.v:708"
- }
- },
- "serial_rx": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "top.v:10"
- }
- },
- "serial_tx": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "top.v:11"
- }
- },
- "shared_adr": {
- "hide_name": 0,
- "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x", 268, 270, 269 ],
- "attributes": {
- }
- },
- "shared_dat_w": {
- "hide_name": 0,
- "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
- "attributes": {
- "src": "top.v:601"
- }
- },
- "slave_sel": {
- "hide_name": 0,
- "bits": [ 265, 369 ],
- "attributes": {
- "init": 0,
- "src": "top.v:613"
- }
- },
- "slave_sel_r": {
- "hide_name": 0,
- "bits": [ 1601, 1599 ],
- "attributes": {
- "src": "top.v:614"
- }
- },
- "slice_proxy1": {
- "hide_name": 0,
- "bits": [ 786, 787, "0", 1485, 3002, 3003, "1", 3004 ],
- "attributes": {
- "src": "top.v:895"
- }
- },
- "spiflash_clk": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "spiflash_cs_n": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "spiflash_hold": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "spiflash_miso": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:7"
- }
- },
- "spiflash_mosi": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "spiflash_wp": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:8"
- }
- },
- "sram_bus_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "src": "top.v:701"
- }
- },
- "sram_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:703"
- }
- },
- "sram_bus_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:702"
- }
- },
- "storage_2[0]": {
- "hide_name": 0,
- "bits": [ 1325, 1346, "x", "x" ],
- "attributes": {
- }
- },
- "storage_2[1]": {
- "hide_name": 0,
- "bits": [ 1326, 1347, "x", "x" ],
- "attributes": {
- }
- },
- "storage_2[2]": {
- "hide_name": 0,
- "bits": [ 1331, 1348, "x", "x" ],
- "attributes": {
- }
- },
- "storage_2[3]": {
- "hide_name": 0,
- "bits": [ 1330, 1349, "x", "x" ],
- "attributes": {
- }
- },
- "storage_cdc_consume_wdomain": {
- "hide_name": 0,
- "bits": [ 723, 718, 724 ],
- "attributes": {
- "src": "top.v:562"
- }
- },
- "storage_cdc_graycounter0_q": {
- "hide_name": 0,
- "bits": [ 722, 717, 721 ],
- "attributes": {
- "src": "top.v:552"
- }
- },
- "storage_cdc_graycounter0_q_binary": {
- "hide_name": 0,
- "bits": [ 2126, 2130, 721 ],
- "attributes": {
- "src": "top.v:554"
- }
- },
- "storage_cdc_graycounter0_q_next": {
- "hide_name": 0,
- "bits": [ 2242, 2243, 2133 ],
- "attributes": {
- "src": "top.v:553"
- }
- },
- "storage_cdc_graycounter0_q_next_binary": {
- "hide_name": 0,
- "bits": [ 2129, 2131, 2133 ],
- "attributes": {
- "init": 0,
- "src": "top.v:555"
- }
- },
- "storage_cdc_graycounter1_q": {
- "hide_name": 0,
- "bits": [ 1318, 1324, 1321 ],
- "attributes": {
- "src": "top.v:557"
- }
- },
- "storage_cdc_graycounter1_q_binary": {
- "hide_name": 0,
- "bits": [ 2118, 2122, 1321 ],
- "attributes": {
- "src": "top.v:559"
- }
- },
- "storage_cdc_graycounter1_q_next": {
- "hide_name": 0,
- "bits": [ 2248, 2249, 2125 ],
- "attributes": {
- "src": "top.v:558"
- }
- },
- "storage_cdc_graycounter1_q_next_binary": {
- "hide_name": 0,
- "bits": [ 2121, 2123, 2125 ],
- "attributes": {
- "init": 0,
- "src": "top.v:560"
- }
- },
- "storage_cdc_produce_rdomain": {
- "hide_name": 0,
- "bits": [ 1319, 1323, 1322 ],
- "attributes": {
- "src": "top.v:561"
- }
- },
- "storage_cdc_rdport_adr": {
- "hide_name": 0,
- "bits": [ 2121, 2123 ],
- "attributes": {
- "src": "top.v:567"
- }
- },
- "storage_cdc_wrport_adr": {
- "hide_name": 0,
- "bits": [ 2126, 2130 ],
- "attributes": {
- "src": "top.v:563"
- }
- },
- "storage_count": {
- "hide_name": 0,
- "bits": [ 738, 745, 746, 747, 748, 742, 743, 744, 2260 ],
- "attributes": {
- "src": "top.v:577"
- }
- },
- "storage_done0": {
- "hide_name": 0,
- "bits": [ 719 ],
- "attributes": {
- "init": 0,
- "src": "top.v:497"
- }
- },
- "storage_done_status": {
- "hide_name": 0,
- "bits": [ 2344 ],
- "attributes": {
- "src": "top.v:481"
- }
- },
- "storage_enable": {
- "hide_name": 0,
- "bits": [ 751 ],
- "attributes": {
- "src": "top.v:493"
- }
- },
- "storage_enable_d": {
- "hide_name": 0,
- "bits": [ 752 ],
- "attributes": {
- "src": "top.v:494"
- }
- },
- "storage_enable_storage": {
- "hide_name": 0,
- "bits": [ 1290 ],
- "attributes": {
- "src": "top.v:479"
- }
- },
- "storage_enable_storage_full": {
- "hide_name": 0,
- "bits": [ 1290 ],
- "attributes": {
- "src": "top.v:478"
- }
- },
- "storage_length_storage": {
- "hide_name": 0,
- "bits": [ 2292, 803, 1355, 1362, 800, 807, 808, 1385, 2301 ],
- "attributes": {
- "src": "top.v:483"
- }
- },
- "storage_length_storage_full": {
- "hide_name": 0,
- "bits": [ 2292, 803, 1355, 1362, 800, 807, 808, 1385, 2301 ],
- "attributes": {
- "src": "top.v:482"
- }
- },
- "storage_mem_consume": {
- "hide_name": 0,
- "bits": [ 734, 2277, 2389, 2392, 2395, 2398, 2401, 2404 ],
- "attributes": {
- "src": "top.v:519"
- }
- },
- "storage_mem_do_read": {
- "hide_name": 0,
- "bits": [ 709 ],
- "attributes": {
- "src": "top.v:524"
- }
- },
- "storage_mem_fifo_in_payload_data": {
- "hide_name": 0,
- "bits": [ 2116, 2117 ],
- "attributes": {
- "src": "top.v:529"
- }
- },
- "storage_mem_fifo_out_first": {
- "hide_name": 0,
- "bits": [ 2897 ],
- "attributes": {
- "src": "top.v:533",
- "unused_bits": "0"
- }
- },
- "storage_mem_fifo_out_last": {
- "hide_name": 0,
- "bits": [ 2901 ],
- "attributes": {
- "src": "top.v:534",
- "unused_bits": "0"
- }
- },
- "storage_mem_fifo_out_payload_data": {
- "hide_name": 0,
- "bits": [ 2794, 2795 ],
- "attributes": {
- "src": "top.v:532"
- }
- },
- "storage_mem_level0": {
- "hide_name": 0,
- "bits": [ 659, 660, 661, 662, 655, 656, 657, 658, 652 ],
- "attributes": {
- "src": "top.v:516"
- }
- },
- "storage_mem_level1": {
- "hide_name": 0,
- "bits": [ 2313, 804, 2336, 2337, 801, 714, 809, 711, 2315 ],
- "attributes": {
- "src": "top.v:528"
- }
- },
- "storage_mem_produce": {
- "hide_name": 0,
- "bits": [ 731, 2275, 2370, 2373, 2376, 2379, 2382, 2385 ],
- "attributes": {
- "src": "top.v:518"
- }
- },
- "storage_mem_rdport_adr": {
- "hide_name": 0,
- "bits": [ 734, 2277, 2389, 2392, 2395, 2398, 2401, 2404 ],
- "attributes": {
- "src": "top.v:525"
- }
- },
- "storage_mem_rdport_dat_r": {
- "hide_name": 0,
- "bits": [ 2794, 2795, 2897, 2901 ],
- "attributes": {
- "src": "top.v:526"
- }
- },
- "storage_mem_rdport_re": {
- "hide_name": 0,
- "bits": [ 709 ],
- "attributes": {
- "src": "top.v:527"
- }
- },
- "storage_mem_readable": {
- "hide_name": 0,
- "bits": [ 708 ],
- "attributes": {
- "src": "top.v:509"
- }
- },
- "storage_mem_ready_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:490"
- }
- },
- "storage_mem_sink_payload_data": {
- "hide_name": 0,
- "bits": [ 2116, 2117 ],
- "attributes": {
- "init": 0,
- "src": "top.v:502"
- }
- },
- "storage_mem_source_first": {
- "hide_name": 0,
- "bits": [ 2897 ],
- "attributes": {
- "src": "top.v:505",
- "unused_bits": "0"
- }
- },
- "storage_mem_source_last": {
- "hide_name": 0,
- "bits": [ 2901 ],
- "attributes": {
- "src": "top.v:506",
- "unused_bits": "0"
- }
- },
- "storage_mem_source_payload_data": {
- "hide_name": 0,
- "bits": [ 2794, 2795 ],
- "attributes": {
- "src": "top.v:507"
- }
- },
- "storage_mem_source_valid": {
- "hide_name": 0,
- "bits": [ 708 ],
- "attributes": {
- "src": "top.v:503"
- }
- },
- "storage_mem_syncfifo_din": {
- "hide_name": 0,
- "bits": [ 2116, 2117, "0", "0" ],
- "attributes": {
- "src": "top.v:514"
- }
- },
- "storage_mem_syncfifo_dout": {
- "hide_name": 0,
- "bits": [ 2794, 2795, 2897, 2901 ],
- "attributes": {
- "src": "top.v:515"
- }
- },
- "storage_mem_syncfifo_re": {
- "hide_name": 0,
- "bits": [ 709 ],
- "attributes": {
- "src": "top.v:512"
- }
- },
- "storage_mem_wrport_adr": {
- "hide_name": 0,
- "bits": [ 731, 2275, 2370, 2373, 2376, 2379, 2382, 2385 ],
- "attributes": {
- "src": "top.v:520"
- }
- },
- "storage_mem_wrport_dat_w": {
- "hide_name": 0,
- "bits": [ 2116, 2117, "0", "0" ],
- "attributes": {
- "src": "top.v:523"
- }
- },
- "storage_mem_wrport_we": {
- "hide_name": 0,
- "bits": [ 727 ],
- "attributes": {
- "src": "top.v:522"
- }
- },
- "storage_offset_storage": {
- "hide_name": 0,
- "bits": [ 1313, 1339, 1353, 1360, 1367, 713, 1378, 710, 1312 ],
- "attributes": {
- "src": "top.v:486"
- }
- },
- "storage_offset_storage_full": {
- "hide_name": 0,
- "bits": [ 1313, 1339, 1353, 1360, 1367, 713, 1378, 710, 1312 ],
- "attributes": {
- "src": "top.v:485"
- }
- },
- "storage_sink_sink_payload_hit": {
- "hide_name": 0,
- "bits": [ 175 ],
- "attributes": {
- "src": "top.v:477"
- }
- },
- "subsampler_counter": {
- "hide_name": 0,
- "bits": [ 703, 691, 695, 669, 673, 693, 671, 679, 697, 699, 705, 683, 675, 681, 685, 701 ],
- "attributes": {
- "src": "top.v:470"
- }
- },
- "subsampler_sink_payload_hit": {
- "hide_name": 0,
- "bits": [ 175 ],
- "attributes": {
- "src": "top.v:459"
- }
- },
- "subsampler_source_payload_hit": {
- "hide_name": 0,
- "bits": [ 175 ],
- "attributes": {
- "src": "top.v:465"
- }
- },
- "subsampler_value": {
- "hide_name": 0,
- "bits": [ 704, 692, 696, 670, 674, 694, 672, 680, 698, 700, 706, 684, 676, 682, 686, 702 ],
- "attributes": {
- "src": "top.v:469"
- }
- },
- "subsampler_value_storage": {
- "hide_name": 0,
- "bits": [ 1291, 1343, 1354, 1361, 1368, 1373, 1379, 1384, 1314, 1342, 1356, 1363, 1369, 1374, 1380, 1386 ],
- "attributes": {
- "src": "top.v:467"
- }
- },
- "subsampler_value_storage_full": {
- "hide_name": 0,
- "bits": [ 1291, 1343, 1354, 1361, 1368, 1373, 1379, 1384, 1314, 1342, 1356, 1363, 1369, 1374, 1380, 1386 ],
- "attributes": {
- "src": "top.v:466"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:73"
- }
- },
- "sys_rst": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "top.v:74"
- }
- },
- "trigger_count": {
- "hide_name": 0,
- "bits": [ 164, 168, 169, 170, 2258 ],
- "attributes": {
- "src": "top.v:453"
- }
- },
- "trigger_done0": {
- "hide_name": 0,
- "bits": [ 175 ],
- "attributes": {
- "src": "top.v:405"
- }
- },
- "trigger_done_status": {
- "hide_name": 0,
- "bits": [ 1306 ],
- "attributes": {
- "src": "top.v:392"
- }
- },
- "trigger_enable": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "top.v:403"
- }
- },
- "trigger_enable_d": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "top.v:404"
- }
- },
- "trigger_enable_storage": {
- "hide_name": 0,
- "bits": [ 1315 ],
- "attributes": {
- "src": "top.v:390"
- }
- },
- "trigger_enable_storage_full": {
- "hide_name": 0,
- "bits": [ 1315 ],
- "attributes": {
- "src": "top.v:389"
- }
- },
- "trigger_mem_asyncfifo_din": {
- "hide_name": 0,
- "bits": [ 2346, 1340, 2328, 1341 ],
- "attributes": {
- }
- },
- "trigger_mem_consume_wdomain": {
- "hide_name": 0,
- "bits": [ 1294, 1303, 1305, 1300, 1301 ],
- "attributes": {
- "src": "top.v:435"
- }
- },
- "trigger_mem_fifo_in_payload_mask": {
- "hide_name": 0,
- "bits": [ 2346, 1340 ],
- "attributes": {
- "src": "top.v:442"
- }
- },
- "trigger_mem_fifo_in_payload_value": {
- "hide_name": 0,
- "bits": [ 2328, 1341 ],
- "attributes": {
- "src": "top.v:443"
- }
- },
- "trigger_mem_graycounter0_ce": {
- "hide_name": 0,
- "bits": [ 2140 ],
- "attributes": {
- "src": "top.v:424"
- }
- },
- "trigger_mem_graycounter0_q": {
- "hide_name": 0,
- "bits": [ 1293, 1302, 1304, 1299, 1298 ],
- "attributes": {
- "src": "top.v:425"
- }
- },
- "trigger_mem_graycounter0_q_binary": {
- "hide_name": 0,
- "bits": [ 188, 156, 191, 186, 1298 ],
- "attributes": {
- "src": "top.v:427"
- }
- },
- "trigger_mem_graycounter0_q_next": {
- "hide_name": 0,
- "bits": [ 2244, 2245, 2246, 2247, 2150 ],
- "attributes": {
- "src": "top.v:426"
- }
- },
- "trigger_mem_graycounter0_q_next_binary": {
- "hide_name": 0,
- "bits": [ 2143, 2144, 2146, 2148, 2150 ],
- "attributes": {
- "init": 0,
- "src": "top.v:428"
- }
- },
- "trigger_mem_graycounter1_q": {
- "hide_name": 0,
- "bits": [ 180, 179, 182, 171, 176 ],
- "attributes": {
- "src": "top.v:430"
- }
- },
- "trigger_mem_graycounter1_q_binary": {
- "hide_name": 0,
- "bits": [ 154, 155, 190, 185, 176 ],
- "attributes": {
- "src": "top.v:432"
- }
- },
- "trigger_mem_graycounter1_q_next": {
- "hide_name": 0,
- "bits": [ 2157, 2158, 2159, 2160, 2139 ],
- "attributes": {
- "src": "top.v:431"
- }
- },
- "trigger_mem_graycounter1_q_next_binary": {
- "hide_name": 0,
- "bits": [ 2134, 2135, 2136, 2137, 2139 ],
- "attributes": {
- "init": 0,
- "src": "top.v:433"
- }
- },
- "trigger_mem_mask_storage": {
- "hide_name": 0,
- "bits": [ 2346, 1340 ],
- "attributes": {
- "src": "top.v:397"
- }
- },
- "trigger_mem_mask_storage_full": {
- "hide_name": 0,
- "bits": [ 2346, 1340 ],
- "attributes": {
- "src": "top.v:396"
- }
- },
- "trigger_mem_produce_rdomain": {
- "hide_name": 0,
- "bits": [ 181, 178, 183, 172, 177 ],
- "attributes": {
- "src": "top.v:434"
- }
- },
- "trigger_mem_rdport_adr": {
- "hide_name": 0,
- "bits": [ 2134, 2135, 2136, 2137 ],
- "attributes": {
- "src": "top.v:440"
- }
- },
- "trigger_mem_sink_payload_mask": {
- "hide_name": 0,
- "bits": [ 2346, 1340 ],
- "attributes": {
- "src": "top.v:410"
- }
- },
- "trigger_mem_sink_payload_value": {
- "hide_name": 0,
- "bits": [ 2328, 1341 ],
- "attributes": {
- "src": "top.v:411"
- }
- },
- "trigger_mem_value_storage": {
- "hide_name": 0,
- "bits": [ 2328, 1341 ],
- "attributes": {
- "src": "top.v:400"
- }
- },
- "trigger_mem_value_storage_full": {
- "hide_name": 0,
- "bits": [ 2328, 1341 ],
- "attributes": {
- "src": "top.v:399"
- }
- },
- "trigger_mem_write_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:394"
- }
- },
- "trigger_mem_wrport_adr": {
- "hide_name": 0,
- "bits": [ 188, 156, 191, 186 ],
- "attributes": {
- "src": "top.v:436"
- }
- },
- "trigger_mem_wrport_dat_w": {
- "hide_name": 0,
- "bits": [ 2346, 1340, 2328, 1341 ],
- "attributes": {
- }
- },
- "trigger_mem_wrport_we": {
- "hide_name": 0,
- "bits": [ 2140 ],
- "attributes": {
- "src": "top.v:438"
- }
- },
- "trigger_source_source_payload_hit": {
- "hide_name": 0,
- "bits": [ 175 ],
- "attributes": {
- "init": 0,
- "src": "top.v:388"
- }
- },
- "txbitstuffer_next_state": {
- "hide_name": 0,
- "bits": [ 828, 2324, 830 ],
- "attributes": {
- "init": 0,
- "src": "top.v:591"
- }
- },
- "txbitstuffer_state": {
- "hide_name": 0,
- "bits": [ 512, 515, 516 ],
- "attributes": {
- "src": "top.v:590"
- }
- },
- "txnrziencoder_next_state": {
- "hide_name": 0,
- "bits": [ 818, 2322, 826 ],
- "attributes": {
- "init": 0,
- "src": "top.v:593"
- }
- },
- "txnrziencoder_state": {
- "hide_name": 0,
- "bits": [ 143, 146, 145 ],
- "attributes": {
- "src": "top.v:592"
- }
- },
- "uartwishbonebridge_address": {
- "hide_name": 0,
- "bits": [ 2604, 2627, 2646, 2648, 2650, 2652, 2654, 2656, 2658, 2660, 2606, 2609, 2611, 2613, 2615, 2617, 2619, 2621, 2623, 2625, 2629, 2631, 2634, 2636, 2638, 2640, 2641, 2643, 2645, "x", "x", "x" ],
- "attributes": {
- "init": 0,
- "src": "top.v:148"
- }
- },
- "uartwishbonebridge_address_ce": {
- "hide_name": 0,
- "bits": [ 430 ],
- "attributes": {
- "init": 0,
- "src": "top.v:149"
- }
- },
- "uartwishbonebridge_byte_counter": {
- "hide_name": 0,
- "bits": [ 428, 429, 427 ],
- "attributes": {
- "src": "top.v:138"
- }
- },
- "uartwishbonebridge_byte_counter_reset": {
- "hide_name": 0,
- "bits": [ 422 ],
- "attributes": {
- "init": 0,
- "src": "top.v:139"
- }
- },
- "uartwishbonebridge_cmd": {
- "hide_name": 0,
- "bits": [ 1407, 1406, 1409, 1410, 1411, 1412, 1413, 1414 ],
- "attributes": {
- "src": "top.v:144"
- }
- },
- "uartwishbonebridge_cmd_ce": {
- "hide_name": 0,
- "bits": [ 421 ],
- "attributes": {
- "init": 0,
- "src": "top.v:145"
- }
- },
- "uartwishbonebridge_count": {
- "hide_name": 0,
- "bits": [ 484, 485, 486, 487, 480, 481, 482, 483, 476, 2252, 477, 2253, 478, 2254, 2255, 479, 472, 473, 474, 2256, 2257 ],
- "attributes": {
- "src": "top.v:156"
- }
- },
- "uartwishbonebridge_data": {
- "hide_name": 0,
- "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
- "attributes": {
- "src": "top.v:150"
- }
- },
- "uartwishbonebridge_length": {
- "hide_name": 0,
- "bits": [ 440, 439, 2692, 2694, 2696, 2698, 2700, 2702 ],
- "attributes": {
- "src": "top.v:146"
- }
- },
- "uartwishbonebridge_length_ce": {
- "hide_name": 0,
- "bits": [ 789 ],
- "attributes": {
- "init": 0,
- "src": "top.v:147"
- }
- },
- "uartwishbonebridge_phase_accumulator_rx": {
- "hide_name": 0,
- "bits": [ "x", "x", 410, 1729, 2593, 2595, 2597, 2599, 2601, 2603, 2547, 2550, 2552, 2554, 2556, 2558, 2560, 2562, 2564, 2566, 2568, 2570, 2572, 2574, 2576, 2578, 2580, 2582, 2584, 2586, 2588, 2590 ],
- "attributes": {
- "init": 0,
- "src": "top.v:121"
- }
- },
- "uartwishbonebridge_phase_accumulator_tx": {
- "hide_name": 0,
- "bits": [ "x", "x", 381, 1874, 2534, 2536, 2538, 2540, 2542, 2544, 2488, 2491, 2493, 2495, 2497, 2499, 2501, 2503, 2505, 2507, 2509, 2511, 2513, 2515, 2517, 2519, 2521, 2523, 2525, 2527, 2529, 2531 ],
- "attributes": {
- "init": 0,
- "src": "top.v:113"
- }
- },
- "uartwishbonebridge_rx": {
- "hide_name": 0,
- "bits": [ 401 ],
- "attributes": {
- "src": "top.v:122"
- }
- },
- "uartwishbonebridge_rx_bitcount": {
- "hide_name": 0,
- "bits": [ 406, 404, 405, 407 ],
- "attributes": {
- "src": "top.v:125"
- }
- },
- "uartwishbonebridge_rx_busy": {
- "hide_name": 0,
- "bits": [ 402 ],
- "attributes": {
- "src": "top.v:126"
- }
- },
- "uartwishbonebridge_rx_r": {
- "hide_name": 0,
- "bits": [ 416 ],
- "attributes": {
- "src": "top.v:123"
- }
- },
- "uartwishbonebridge_rx_reg": {
- "hide_name": 0,
- "bits": [ 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805 ],
- "attributes": {
- "src": "top.v:124"
- }
- },
- "uartwishbonebridge_sink_ready": {
- "hide_name": 0,
- "bits": [ 383 ],
- "attributes": {
- "src": "top.v:109"
- }
- },
- "uartwishbonebridge_source_payload_data": {
- "hide_name": 0,
- "bits": [ 619, 618, 625, 626, 627, 622, 623, 624 ],
- "attributes": {
- "src": "top.v:119"
- }
- },
- "uartwishbonebridge_source_valid": {
- "hide_name": 0,
- "bits": [ 426 ],
- "attributes": {
- "src": "top.v:117"
- }
- },
- "uartwishbonebridge_state": {
- "hide_name": 0,
- "bits": [ 59, 60, 61 ],
- "attributes": {
- "src": "top.v:578"
- }
- },
- "uartwishbonebridge_tx_bitcount": {
- "hide_name": 0,
- "bits": [ 394, 391, 392, 393 ],
- "attributes": {
- "src": "top.v:115"
- }
- },
- "uartwishbonebridge_tx_busy": {
- "hide_name": 0,
- "bits": [ 382 ],
- "attributes": {
- "src": "top.v:116"
- }
- },
- "uartwishbonebridge_tx_reg": {
- "hide_name": 0,
- "bits": [ 2043, 1794, 1803, 1809, 1815, 1821, 1827, 1833 ],
- "attributes": {
- "src": "top.v:114"
- }
- },
- "uartwishbonebridge_uart_clk_rxen": {
- "hide_name": 0,
- "bits": [ 403 ],
- "attributes": {
- "src": "top.v:120"
- }
- },
- "uartwishbonebridge_uart_clk_txen": {
- "hide_name": 0,
- "bits": [ 386 ],
- "attributes": {
- "src": "top.v:112"
- }
- },
- "uartwishbonebridge_wishbone_adr": {
- "hide_name": 0,
- "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x" ],
- "attributes": {
- }
- },
- "uartwishbonebridge_wishbone_dat_w": {
- "hide_name": 0,
- "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
- "attributes": {
- "src": "top.v:128"
- }
- },
- "uartwishbonebridge_word_counter": {
- "hide_name": 0,
- "bits": [ 441, 438, 443 ],
- "attributes": {
- "src": "top.v:141"
- }
- },
- "uartwishbonebridge_word_counter_reset": {
- "hide_name": 0,
- "bits": [ 421 ],
- "attributes": {
- "init": 0,
- "src": "top.v:142"
- }
- },
- "usb_d_n": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "top.v:13"
- }
- },
- "usb_d_p": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "top.v:12"
- }
- },
- "usb_pullup": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "top.v:14"
- }
- },
- "usbdevice_current_token": {
- "hide_name": 0,
- "bits": [ 631, 638, 636, 637 ],
- "attributes": {
- "src": "top.v:345"
- }
- },
- "usbdevice_tx_pid": {
- "hide_name": 0,
- "bits": [ 786, 787, "0", 1485 ],
- "attributes": {
- "src": "top.v:216"
- }
- },
- "usbdevice_tx_pkt_start": {
- "hide_name": 0,
- "bits": [ 507 ],
- "attributes": {
- "src": "top.v:214"
- }
- },
- "usbdevice_usb_n_rx_io": {
- "hide_name": 0,
- "bits": [ 2829 ],
- "attributes": {
- "src": "top.v:226"
- }
- },
- "usbdevice_usb_n_tx": {
- "hide_name": 0,
- "bits": [ 2823 ],
- "attributes": {
- "src": "top.v:222"
- }
- },
- "usbdevice_usb_p_rx_io": {
- "hide_name": 0,
- "bits": [ 2826 ],
- "attributes": {
- "src": "top.v:225"
- }
- },
- "usbdevice_usb_p_tx": {
- "hide_name": 0,
- "bits": [ 2822 ],
- "attributes": {
- "src": "top.v:221"
- }
- },
- "usbdevice_usb_tx_en": {
- "hide_name": 0,
- "bits": [ 2824 ],
- "attributes": {
- "src": "top.v:220"
- }
- },
- "usbdevice_usbfsrx_bitstuff_error": {
- "hide_name": 0,
- "bits": [ 503 ],
- "attributes": {
- "src": "top.v:254"
- }
- },
- "usbdevice_usbfsrx_bitstuff_o_bitstuff_error": {
- "hide_name": 0,
- "bits": [ 2808 ],
- "attributes": {
- "src": "top.v:250"
- }
- },
- "usbdevice_usbfsrx_bitstuff_o_data": {
- "hide_name": 0,
- "bits": [ 842 ],
- "attributes": {
- "src": "top.v:248"
- }
- },
- "usbdevice_usbfsrx_bitstuff_o_se0": {
- "hide_name": 0,
- "bits": [ 849 ],
- "attributes": {
- "src": "top.v:249"
- }
- },
- "usbdevice_usbfsrx_bitstuff_o_valid": {
- "hide_name": 0,
- "bits": [ 841 ],
- "attributes": {
- "src": "top.v:247"
- }
- },
- "usbdevice_usbfsrx_crc16_good": {
- "hide_name": 0,
- "bits": [ 2239 ],
- "attributes": {
- "src": "top.v:286"
- }
- },
- "usbdevice_usbfsrx_data": {
- "hide_name": 0,
- "bits": [ 915 ],
- "attributes": {
- "src": "top.v:252"
- }
- },
- "usbdevice_usbfsrx_data_crc16_crc": {
- "hide_name": 0,
- "bits": [ 779, 773, 778, 777, 774, 775, 776, 769, 770, 771, 772, 781, 782, 783, 784, 780 ],
- "attributes": {
- "src": "top.v:280"
- }
- },
- "usbdevice_usbfsrx_data_crc16_crc_good": {
- "hide_name": 0,
- "bits": [ 768 ],
- "attributes": {
- "src": "top.v:281"
- }
- },
- "usbdevice_usbfsrx_data_crc16_o_crc_good": {
- "hide_name": 0,
- "bits": [ 2812 ],
- "attributes": {
- "src": "top.v:283"
- }
- },
- "usbdevice_usbfsrx_data_put": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "top.v:275"
- }
- },
- "usbdevice_usbfsrx_data_shifter_o_full": {
- "hide_name": 0,
- "bits": [ 498 ],
- "attributes": {
- "src": "top.v:277"
- }
- },
- "usbdevice_usbfsrx_data_shifter_o_output": {
- "hide_name": 0,
- "bits": [ 1500, 1502, 1504, 1506, 1508, 1510, 1512, 1514 ],
- "attributes": {
- "src": "top.v:278"
- }
- },
- "usbdevice_usbfsrx_data_shifter_shift_reg": {
- "hide_name": 0,
- "bits": [ 498, 1500, 1502, 1504, 1506, 1508, 1510, 1512, 1514 ],
- "attributes": {
- "src": "top.v:276"
- }
- },
- "usbdevice_usbfsrx_dpair": {
- "hide_name": 0,
- "bits": [ 871, 873 ],
- "attributes": {
- "src": "top.v:229"
- }
- },
- "usbdevice_usbfsrx_line_state_dj0": {
- "hide_name": 0,
- "bits": [ 137 ],
- "attributes": {
- "init": 0,
- "src": "top.v:231"
- }
- },
- "usbdevice_usbfsrx_line_state_dj1": {
- "hide_name": 0,
- "bits": [ 2154 ],
- "attributes": {
- "src": "top.v:237"
- }
- },
- "usbdevice_usbfsrx_line_state_dk0": {
- "hide_name": 0,
- "bits": [ 136 ],
- "attributes": {
- "init": 0,
- "src": "top.v:232"
- }
- },
- "usbdevice_usbfsrx_line_state_dk1": {
- "hide_name": 0,
- "bits": [ 2152 ],
- "attributes": {
- "src": "top.v:238"
- }
- },
- "usbdevice_usbfsrx_line_state_phase": {
- "hide_name": 0,
- "bits": [ 1396, 1395 ],
- "attributes": {
- "src": "top.v:235"
- }
- },
- "usbdevice_usbfsrx_line_state_se00": {
- "hide_name": 0,
- "bits": [ 135 ],
- "attributes": {
- "init": 0,
- "src": "top.v:233"
- }
- },
- "usbdevice_usbfsrx_line_state_se01": {
- "hide_name": 0,
- "bits": [ 2806 ],
- "attributes": {
- "src": "top.v:239"
- }
- },
- "usbdevice_usbfsrx_line_state_valid": {
- "hide_name": 0,
- "bits": [ 514 ],
- "attributes": {
- "src": "top.v:236"
- }
- },
- "usbdevice_usbfsrx_nrzi_o_data0": {
- "hide_name": 0,
- "bits": [ 2156 ],
- "attributes": {
- "init": 0,
- "src": "top.v:242"
- }
- },
- "usbdevice_usbfsrx_nrzi_o_data1": {
- "hide_name": 0,
- "bits": [ 861 ],
- "attributes": {
- "src": "top.v:244"
- }
- },
- "usbdevice_usbfsrx_nrzi_o_se0": {
- "hide_name": 0,
- "bits": [ 2807 ],
- "attributes": {
- "src": "top.v:245"
- }
- },
- "usbdevice_usbfsrx_nrzi_o_valid0": {
- "hide_name": 0,
- "bits": [ 514 ],
- "attributes": {
- "src": "top.v:241"
- }
- },
- "usbdevice_usbfsrx_nrzi_o_valid1": {
- "hide_name": 0,
- "bits": [ 588 ],
- "attributes": {
- "src": "top.v:243"
- }
- },
- "usbdevice_usbfsrx_o_pkt_active": {
- "hide_name": 0,
- "bits": [ 2809 ],
- "attributes": {
- "src": "top.v:259"
- }
- },
- "usbdevice_usbfsrx_o_pkt_data_put": {
- "hide_name": 0,
- "bits": [ 505 ],
- "attributes": {
- "src": "top.v:285"
- }
- },
- "usbdevice_usbfsrx_o_pkt_end0": {
- "hide_name": 0,
- "bits": [ 2810 ],
- "attributes": {
- "src": "top.v:260"
- }
- },
- "usbdevice_usbfsrx_o_pkt_end1": {
- "hide_name": 0,
- "bits": [ 192 ],
- "attributes": {
- "src": "top.v:292"
- }
- },
- "usbdevice_usbfsrx_o_pkt_good": {
- "hide_name": 0,
- "bits": [ 2317 ],
- "attributes": {
- "src": "top.v:291"
- }
- },
- "usbdevice_usbfsrx_o_pkt_pid": {
- "hide_name": 0,
- "bits": [ 2813, 2814, 2815, 2816 ],
- "attributes": {
- "src": "top.v:288"
- }
- },
- "usbdevice_usbfsrx_o_pkt_start0": {
- "hide_name": 0,
- "bits": [ 494 ],
- "attributes": {
- "src": "top.v:258"
- }
- },
- "usbdevice_usbfsrx_pid_shifter_o_full": {
- "hide_name": 0,
- "bits": [ 489 ],
- "attributes": {
- "src": "top.v:264"
- }
- },
- "usbdevice_usbfsrx_pid_shifter_o_output": {
- "hide_name": 0,
- "bits": [ 501, 500, 1554, 1556, 1558, 1560, 1562, 1564 ],
- "attributes": {
- "src": "top.v:265"
- }
- },
- "usbdevice_usbfsrx_pid_shifter_shift_reg": {
- "hide_name": 0,
- "bits": [ 489, 501, 500, 1554, 1556, 1558, 1560, 1562, 1564 ],
- "attributes": {
- "src": "top.v:263"
- }
- },
- "usbdevice_usbfsrx_pkt_active0": {
- "hide_name": 0,
- "bits": [ 852 ],
- "attributes": {
- "init": 0,
- "src": "top.v:256"
- }
- },
- "usbdevice_usbfsrx_pkt_active1": {
- "hide_name": 0,
- "bits": [ 490 ],
- "attributes": {
- "src": "top.v:261"
- }
- },
- "usbdevice_usbfsrx_pkt_bitstuff_good": {
- "hide_name": 0,
- "bits": [ 2333 ],
- "attributes": {
- "src": "top.v:284"
- }
- },
- "usbdevice_usbfsrx_pkt_end0": {
- "hide_name": 0,
- "bits": [ 2151 ],
- "attributes": {
- "init": 0,
- "src": "top.v:257"
- }
- },
- "usbdevice_usbfsrx_pkt_end1": {
- "hide_name": 0,
- "bits": [ 2811 ],
- "attributes": {
- "src": "top.v:262"
- }
- },
- "usbdevice_usbfsrx_pkt_start": {
- "hide_name": 0,
- "bits": [ 856 ],
- "attributes": {
- "init": 0,
- "src": "top.v:255"
- }
- },
- "usbdevice_usbfsrx_tok_crc5_crc": {
- "hide_name": 0,
- "bits": [ 757, 758, 763, 762, 761 ],
- "attributes": {
- "src": "top.v:271"
- }
- },
- "usbdevice_usbfsrx_tok_crc5_crc_good": {
- "hide_name": 0,
- "bits": [ 760 ],
- "attributes": {
- "src": "top.v:272"
- }
- },
- "usbdevice_usbfsrx_tok_crc5_o_crc_good": {
- "hide_name": 0,
- "bits": [ 2330 ],
- "attributes": {
- "src": "top.v:274"
- }
- },
- "usbdevice_usbfsrx_tok_shifter_o_full": {
- "hide_name": 0,
- "bits": [ 495 ],
- "attributes": {
- "src": "top.v:268"
- }
- },
- "usbdevice_usbfsrx_tok_shifter_o_output": {
- "hide_name": 0,
- "bits": [ 1520, 1522, 1524, 1526, 1528, 1530, 1532, 1534, 1536, 1538, 1540, 1542, 1544, 1546, 1548, 1550 ],
- "attributes": {
- "src": "top.v:269"
- }
- },
- "usbdevice_usbfsrx_tok_shifter_shift_reg": {
- "hide_name": 0,
- "bits": [ 495, 1520, 1522, 1524, 1526, 1528, 1530, 1532, 1534, 1536, 1538, 1540, 1542, 1544, 1546, 1548, 1550 ],
- "attributes": {
- "src": "top.v:267"
- }
- },
- "usbdevice_usbfsrx_usbn": {
- "hide_name": 0,
- "bits": [ 871 ],
- "attributes": {
- "src": "top.v:228"
- }
- },
- "usbdevice_usbfsrx_usbp": {
- "hide_name": 0,
- "bits": [ 873 ],
- "attributes": {
- "src": "top.v:227"
- }
- },
- "usbdevice_usbfsrx_valid": {
- "hide_name": 0,
- "bits": [ 491 ],
- "attributes": {
- "src": "top.v:251"
- }
- },
- "usbdevice_usbfstx_bitstuffer_o_data": {
- "hide_name": 0,
- "bits": [ 821 ],
- "attributes": {
- "src": "top.v:331"
- }
- },
- "usbdevice_usbfstx_bitstuffer_o_oe": {
- "hide_name": 0,
- "bits": [ 629 ],
- "attributes": {
- "src": "top.v:333"
- }
- },
- "usbdevice_usbfstx_bitstuffer_o_se0": {
- "hide_name": 0,
- "bits": [ 819 ],
- "attributes": {
- "src": "top.v:332"
- }
- },
- "usbdevice_usbfstx_crc": {
- "hide_name": 0,
- "bits": [ 912, 910, 908, 906, 904, 902, 900, 898, 896, 894, 892, 890, 888, 1456, 886, 885 ],
- "attributes": {
- "src": "top.v:317"
- }
- },
- "usbdevice_usbfstx_crc16_shifter_not_empty": {
- "hide_name": 0,
- "bits": [ 837 ],
- "attributes": {
- "src": "top.v:323"
- }
- },
- "usbdevice_usbfstx_crc16_shifter_o_data": {
- "hide_name": 0,
- "bits": [ 1392 ],
- "attributes": {
- "src": "top.v:321"
- }
- },
- "usbdevice_usbfstx_crc16_shifter_shifter": {
- "hide_name": 0,
- "bits": [ 1392, 583, 576, 577, 578, 579, 572, 573, 574, 575, 584, 585, 586, 587, 580, 581, 582 ],
- "attributes": {
- "src": "top.v:320"
- }
- },
- "usbdevice_usbfstx_data_shifter_not_empty": {
- "hide_name": 0,
- "bits": [ 564 ],
- "attributes": {
- "src": "top.v:316"
- }
- },
- "usbdevice_usbfstx_data_shifter_o_data": {
- "hide_name": 0,
- "bits": [ 884 ],
- "attributes": {
- "src": "top.v:314"
- }
- },
- "usbdevice_usbfstx_data_shifter_shifter": {
- "hide_name": 0,
- "bits": [ 884, 552, 553, 554, 555, 556, 549, 550, 551 ],
- "attributes": {
- "src": "top.v:313"
- }
- },
- "usbdevice_usbfstx_load_crc16": {
- "hide_name": 0,
- "bits": [ 558 ],
- "attributes": {
- "init": 0,
- "src": "top.v:302"
- }
- },
- "usbdevice_usbfstx_mux_stuff_bit_strobe": {
- "hide_name": 0,
- "bits": [ 588 ],
- "attributes": {
- "src": "top.v:328"
- }
- },
- "usbdevice_usbfstx_mux_stuff_data": {
- "hide_name": 0,
- "bits": [ 827 ],
- "attributes": {
- "src": "top.v:326"
- }
- },
- "usbdevice_usbfstx_mux_stuff_oe": {
- "hide_name": 0,
- "bits": [ 2817 ],
- "attributes": {
- "src": "top.v:325"
- }
- },
- "usbdevice_usbfstx_mux_stuff_se0": {
- "hide_name": 0,
- "bits": [ 2818 ],
- "attributes": {
- "src": "top.v:327"
- }
- },
- "usbdevice_usbfstx_nrzi_o_oe": {
- "hide_name": 0,
- "bits": [ 2821 ],
- "attributes": {
- "src": "top.v:339"
- }
- },
- "usbdevice_usbfstx_nrzi_o_usbn": {
- "hide_name": 0,
- "bits": [ 2820 ],
- "attributes": {
- "src": "top.v:338"
- }
- },
- "usbdevice_usbfstx_nrzi_o_usbp": {
- "hide_name": 0,
- "bits": [ 2819 ],
- "attributes": {
- "src": "top.v:337"
- }
- },
- "usbdevice_usbfstx_nrzi_oe": {
- "hide_name": 0,
- "bits": [ 147 ],
- "attributes": {
- "init": 0,
- "src": "top.v:336"
- }
- },
- "usbdevice_usbfstx_nrzi_usbn": {
- "hide_name": 0,
- "bits": [ 144 ],
- "attributes": {
- "init": 0,
- "src": "top.v:335"
- }
- },
- "usbdevice_usbfstx_nrzi_usbp": {
- "hide_name": 0,
- "bits": [ 142 ],
- "attributes": {
- "init": 0,
- "src": "top.v:334"
- }
- },
- "usbdevice_usbfstx_o_oe": {
- "hide_name": 0,
- "bits": [ 2824 ],
- "attributes": {
- "src": "top.v:344"
- }
- },
- "usbdevice_usbfstx_o_usbn": {
- "hide_name": 0,
- "bits": [ 2823 ],
- "attributes": {
- "src": "top.v:343"
- }
- },
- "usbdevice_usbfstx_o_usbp": {
- "hide_name": 0,
- "bits": [ 2822 ],
- "attributes": {
- "src": "top.v:342"
- }
- },
- "usbdevice_usbfstx_pid_is_data": {
- "hide_name": 0,
- "bits": [ 562 ],
- "attributes": {
- "src": "top.v:324"
- }
- },
- "usbdevice_usbfstx_pid_shifter_not_empty": {
- "hide_name": 0,
- "bits": [ 561 ],
- "attributes": {
- "src": "top.v:312"
- }
- },
- "usbdevice_usbfstx_pid_shifter_o_data": {
- "hide_name": 0,
- "bits": [ 1391 ],
- "attributes": {
- "src": "top.v:310"
- }
- },
- "usbdevice_usbfstx_pid_shifter_shifter": {
- "hide_name": 0,
- "bits": [ 1391, 538, 539, 540, 541, 542, 535, 536, 537 ],
- "attributes": {
- "src": "top.v:309"
- }
- },
- "usbdevice_usbfstx_pkt_active": {
- "hide_name": 0,
- "bits": [ 141 ],
- "attributes": {
- "init": 0,
- "src": "top.v:296"
- }
- },
- "usbdevice_usbfstx_sync_shifter_not_empty": {
- "hide_name": 0,
- "bits": [ 834 ],
- "attributes": {
- "src": "top.v:308"
- }
- },
- "usbdevice_usbfstx_sync_shifter_o_data": {
- "hide_name": 0,
- "bits": [ 1387 ],
- "attributes": {
- "src": "top.v:306"
- }
- },
- "usbdevice_usbfstx_sync_shifter_shifter": {
- "hide_name": 0,
- "bits": [ 1387, 524, 525, 526, 527, 528, 521, 522, 523 ],
- "attributes": {
- "src": "top.v:305"
- }
- },
- "usbdevice_valid_ack_packet": {
- "hide_name": 0,
- "bits": [ 2317 ],
- "attributes": {
- "src": "top.v:352"
- }
- },
- "usbdevice_valid_data_packet": {
- "hide_name": 0,
- "bits": [ 2317 ],
- "attributes": {
- "src": "top.v:350"
- }
- },
- "usbdevice_valid_request_token": {
- "hide_name": 0,
- "bits": [ 590 ],
- "attributes": {
- "src": "top.v:348"
- }
- },
- "usbdevice_valid_request_token_pre": {
- "hide_name": 0,
- "bits": [ 2317 ],
- "attributes": {
- "src": "top.v:347"
- }
- },
- "usbtestsoc_crg_reset_delay": {
- "hide_name": 0,
- "bits": [ 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2251 ],
- "attributes": {
- "src": "top.v:79"
- }
- },
- "usbtestsoc_spiflash_bitbang_en_storage": {
- "hide_name": 0,
- "bits": [ 792 ],
- "attributes": {
- "src": "top.v:99"
- }
- },
- "usbtestsoc_spiflash_bitbang_en_storage_full": {
- "hide_name": 0,
- "bits": [ 792 ],
- "attributes": {
- "src": "top.v:98"
- }
- },
- "usbtestsoc_spiflash_bitbang_storage": {
- "hide_name": 0,
- "bits": [ 796, 790, 793, 1137 ],
- "attributes": {
- "src": "top.v:95"
- }
- },
- "usbtestsoc_spiflash_bitbang_storage_full": {
- "hide_name": 0,
- "bits": [ 796, 790, 793, 1137 ],
- "attributes": {
- "src": "top.v:94"
- }
- },
- "usbtestsoc_spiflash_bus_ack": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "top.v:89"
- }
- },
- "usbtestsoc_spiflash_bus_adr": {
- "hide_name": 0,
- "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x" ],
- "attributes": {
- }
- },
- "usbtestsoc_spiflash_bus_dat_r": {
- "hide_name": 0,
- "bits": [ 1600, 1605, 1609, 1613, 1617, 1621, 1625, 1630, 1634, 1638, 1642, 1646, 1650, 1654, 1658, 1662, 1666, 1670, 1674, 1678, 1682, 1686, 1690, 935, 938, 941, 944, 947, 950, 953, 956, 795 ],
- "attributes": {
- "src": "top.v:85"
- }
- },
- "usbtestsoc_spiflash_bus_dat_w": {
- "hide_name": 0,
- "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
- "attributes": {
- "src": "top.v:84"
- }
- },
- "usbtestsoc_spiflash_clk1": {
- "hide_name": 0,
- "bits": [ 791 ],
- "attributes": {
- "src": "top.v:102"
- }
- },
- "usbtestsoc_spiflash_counter": {
- "hide_name": 0,
- "bits": [ 47, 49, 50, 51, 54, 52, 53, 55 ],
- "attributes": {
- "src": "top.v:106"
- }
- },
- "usbtestsoc_spiflash_i": {
- "hide_name": 0,
- "bits": [ 370 ],
- "attributes": {
- "src": "top.v:104"
- }
- },
- "usbtestsoc_spiflash_miso1": {
- "hide_name": 0,
- "bits": [ 2797 ],
- "attributes": {
- "src": "top.v:105"
- }
- },
- "usbtestsoc_spiflash_sr": {
- "hide_name": 0,
- "bits": [ 1600, 1605, 1609, 1613, 1617, 1621, 1625, 1630, 1634, 1638, 1642, 1646, 1650, 1654, 1658, 1662, 1666, 1670, 1674, 1678, 1682, 1686, 1690, 935, 938, 941, 944, 947, 950, 953, 956, 795 ],
- "attributes": {
- "src": "top.v:103"
- }
- },
- "usbtestsoc_usbtestsoc_adr": {
- "hide_name": 0,
- "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
- "attributes": {
- "init": 0,
- "src": "top.v:28"
- }
- },
- "usbtestsoc_usbtestsoc_bus_errors": {
- "hide_name": 0,
- "bits": [ 219, 251, 241, 242, 235, 236, 237, 238, 233, 234, 227, 228, 229, 230, 239, 240, 260, 261, 254, 255, 256, 257, 231, 232, 252, 253, 247, 248, 249, 250, 258, 259 ],
- "attributes": {
- "src": "top.v:27"
- }
- },
- "usbtestsoc_usbtestsoc_bus_errors_status": {
- "hide_name": 0,
- "bits": [ 219, 251, 241, 242, 235, 236, 237, 238, 233, 234, 227, 228, 229, 230, 239, 240, 260, 261, 254, 255, 256, 257, 231, 232, 252, 253, 247, 248, 249, 250, 258, 259 ],
- "attributes": {
- "src": "top.v:24"
- }
- },
- "usbtestsoc_usbtestsoc_bus_wishbone_ack": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "top.v:38"
- }
- },
- "usbtestsoc_usbtestsoc_bus_wishbone_adr": {
- "hide_name": 0,
- "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x" ],
- "attributes": {
- }
- },
- "usbtestsoc_usbtestsoc_bus_wishbone_dat_r": {
- "hide_name": 0,
- "bits": [ 1602, 1606, 1610, 1614, 1618, 1622, 1626, 1629, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "top.v:34"
- }
- },
- "usbtestsoc_usbtestsoc_bus_wishbone_dat_w": {
- "hide_name": 0,
- "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
- "attributes": {
- "src": "top.v:33"
- }
- },
- "usbtestsoc_usbtestsoc_counter": {
- "hide_name": 0,
- "bits": [ 266, 263 ],
- "attributes": {
- "src": "top.v:43"
- }
- },
- "usbtestsoc_usbtestsoc_dat_r": {
- "hide_name": 0,
- "bits": [ 2165, 2176, 2186, 2196, 2208, 2216, 2224, 2232 ],
- "attributes": {
- "src": "top.v:31"
- }
- },
- "usbtestsoc_usbtestsoc_dat_w": {
- "hide_name": 0,
- "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
- "attributes": {
- "src": "top.v:30"
- }
- },
- "usbtestsoc_usbtestsoc_en_storage": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "top.v:51"
- }
- },
- "usbtestsoc_usbtestsoc_en_storage_full": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "top.v:50"
- }
- },
- "usbtestsoc_usbtestsoc_eventmanager_pending_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:67"
- }
- },
- "usbtestsoc_usbtestsoc_eventmanager_pending_w": {
- "hide_name": 0,
- "bits": [ 973 ],
- "attributes": {
- "src": "top.v:68"
- }
- },
- "usbtestsoc_usbtestsoc_eventmanager_status_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:64"
- }
- },
- "usbtestsoc_usbtestsoc_eventmanager_status_w": {
- "hide_name": 0,
- "bits": [ 302 ],
- "attributes": {
- "src": "top.v:65"
- }
- },
- "usbtestsoc_usbtestsoc_eventmanager_storage": {
- "hide_name": 0,
- "bits": [ 967 ],
- "attributes": {
- "src": "top.v:70"
- }
- },
- "usbtestsoc_usbtestsoc_eventmanager_storage_full": {
- "hide_name": 0,
- "bits": [ 967 ],
- "attributes": {
- "src": "top.v:69"
- }
- },
- "usbtestsoc_usbtestsoc_load_storage": {
- "hide_name": 0,
- "bits": [ 985, 1004, 1027, 1036, 1053, 1089, 1110, 1125, 984, 1006, 1023, 1046, 1064, 1081, 1104, 1127, 966, 1005, 1017, 1048, 1066, 1073, 1108, 1124, 980, 993, 1019, 1042, 1065, 1088, 1107, 1120 ],
- "attributes": {
- "src": "top.v:45"
- }
- },
- "usbtestsoc_usbtestsoc_load_storage_full": {
- "hide_name": 0,
- "bits": [ 985, 1004, 1027, 1036, 1053, 1089, 1110, 1125, 984, 1006, 1023, 1046, 1064, 1081, 1104, 1127, 966, 1005, 1017, 1048, 1066, 1073, 1108, 1124, 980, 993, 1019, 1042, 1065, 1088, 1107, 1120 ],
- "attributes": {
- "src": "top.v:44"
- }
- },
- "usbtestsoc_usbtestsoc_reload_storage": {
- "hide_name": 0,
- "bits": [ 974, 990, 1018, 1041, 1068, 1085, 1106, 1131, 981, 1001, 1020, 1038, 1063, 1080, 1103, 1128, 971, 1000, 1025, 1032, 1060, 1087, 1098, 1115, 979, 1003, 1026, 1035, 1062, 1079, 1105, 1121 ],
- "attributes": {
- "src": "top.v:48"
- }
- },
- "usbtestsoc_usbtestsoc_reload_storage_full": {
- "hide_name": 0,
- "bits": [ 974, 990, 1018, 1041, 1068, 1085, 1106, 1131, 981, 1001, 1020, 1038, 1063, 1080, 1103, 1128, 971, 1000, 1025, 1032, 1060, 1087, 1098, 1115, 979, 1003, 1026, 1035, 1062, 1079, 1105, 1121 ],
- "attributes": {
- "src": "top.v:47"
- }
- },
- "usbtestsoc_usbtestsoc_reset_reset_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:19"
- }
- },
- "usbtestsoc_usbtestsoc_storage": {
- "hide_name": 0,
- "bits": [ 1218, 1229, 1230, 2989, 2990, 2991, 2992, 1280, 1217, 2993, 2994, 1243, 2995, 1263, 2996, 1286, 1210, 1228, 2997, 1248, 2998, 2999, 1279, 1289, 1219, 3000, 1239, 1249, 3001, 1268, 1278, 1288 ],
- "attributes": {
- "src": "top.v:22"
- }
- },
- "usbtestsoc_usbtestsoc_storage_full": {
- "hide_name": 0,
- "bits": [ 1218, 1229, 1230, 2989, 2990, 2991, 2992, 1280, 1217, 2993, 2994, 1243, 2995, 1263, 2996, 1286, 1210, 1228, 2997, 1248, 2998, 2999, 1279, 1289, 1219, 3000, 1239, 1249, 3001, 1268, 1278, 1288 ],
- "attributes": {
- "src": "top.v:21"
- }
- },
- "usbtestsoc_usbtestsoc_update_value_r": {
- "hide_name": 0,
- "bits": [ 349 ],
- "attributes": {
- "src": "top.v:54"
- }
- },
- "usbtestsoc_usbtestsoc_value": {
- "hide_name": 0,
- "bits": [ 335, 336, 337, 338, 331, 332, 333, 334, 343, 344, 345, 346, 339, 340, 341, 342, 317, 318, 319, 320, 313, 314, 315, 316, 325, 326, 327, 328, 321, 322, 323, 324 ],
- "attributes": {
- "src": "top.v:72"
- }
- },
- "usbtestsoc_usbtestsoc_value_status": {
- "hide_name": 0,
- "bits": [ 982, 999, 1014, 1043, 1059, 1086, 1109, 1126, 983, 998, 1024, 1037, 1061, 1078, 1097, 1117, 963, 997, 1011, 1039, 1067, 1084, 1095, 1119, 969, 996, 1016, 1047, 1056, 1076, 1093, 1130 ],
- "attributes": {
- "src": "top.v:56"
- }
- },
- "usbtestsoc_usbtestsoc_we": {
- "hide_name": 0,
- "bits": [ 198 ],
- "attributes": {
- "src": "top.v:29"
- }
- },
- "usbtestsoc_usbtestsoc_zero_old_trigger": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "top.v:62"
- }
- },
- "usbtestsoc_usbtestsoc_zero_pending": {
- "hide_name": 0,
- "bits": [ 973 ],
- "attributes": {
- "src": "top.v:59"
- }
- },
- "usbtestsoc_usbtestsoc_zero_status": {
- "hide_name": 0,
- "bits": [ 302 ],
- "attributes": {
- "src": "top.v:58"
- }
- },
- "usbtestsoc_usbtestsoc_zero_trigger": {
- "hide_name": 0,
- "bits": [ 302 ],
- "attributes": {
- "src": "top.v:60"
- }
- },
- "user_led0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "top.v:15"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0120/top.json.gz b/ice40/regressions/issue0120/top.json.gz
new file mode 100644
index 0000000..2ec2691
--- /dev/null
+++ b/ice40/regressions/issue0120/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0121/serv_0.json b/ice40/regressions/issue0121/serv_0.json
deleted file mode 100644
index 5e9a4d1..0000000
--- a/ice40/regressions/issue0121/serv_0.json
+++ /dev/null
@@ -1,48290 +0,0 @@
-{
- "creator": "Yosys 0.8+17 (git sha1 11c8a9eb, gcc 7.3.0-r3 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "serv_wrapper": {
- "attributes": {
- "top": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:2"
- },
- "ports": {
- "wb_clk": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "q": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 5 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 6 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 7 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 9 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 7 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 11 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 15 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 12 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 17 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 14 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 19 ],
- "I2": [ 20 ],
- "I3": [ 21 ],
- "O": [ 22 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 23 ],
- "I1": [ 24 ],
- "I2": [ 25 ],
- "I3": [ 26 ],
- "O": [ 18 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 28 ],
- "I2": [ 29 ],
- "I3": [ 30 ],
- "O": [ 24 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62963
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 32 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 35 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21503
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 37 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 38 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 40 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 41 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 43 ],
- "I2": [ 44 ],
- "I3": [ "0" ],
- "O": [ 45 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 47 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 44 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 43 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62783
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 51 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 52 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 53 ],
- "I2": [ 54 ],
- "I3": [ 55 ],
- "O": [ 56 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24563
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 54 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62783
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 53 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 61 ],
- "I2": [ 62 ],
- "I3": [ 55 ],
- "O": [ 63 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21503
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 62 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62963
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 67 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 61 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 69 ],
- "I2": [ 70 ],
- "I3": [ 27 ],
- "O": [ 29 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 74 ],
- "O": [ 69 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 73 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 40 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 76 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 32 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 75 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7936
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 74 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 37 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 81 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 39 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 82 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 87 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 71 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ 89 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 87 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 91 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 86 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 92 ],
- "I1": [ 93 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 72 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 95 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 92 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ 97 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 93 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 99 ],
- "I2": [ 100 ],
- "I3": [ 101 ],
- "O": [ 68 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 103 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 103 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 102 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7936
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 106 ],
- "I1": [ 107 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 101 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 106 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 51 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 107 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 109 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 67 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 109 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 47 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 108 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 110 ],
- "I1": [ 111 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 99 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 65 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 110 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 49 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 111 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 112 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 23 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 113 ],
- "I1": [ 94 ],
- "I2": [ 114 ],
- "I3": [ "0" ],
- "O": [ 26 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ 118 ],
- "O": [ 114 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 120 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 118 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 30 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 25 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 121 ],
- "I2": [ 114 ],
- "I3": [ 122 ],
- "O": [ 19 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 123 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 122 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 124 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ 94 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ 125 ],
- "I2": [ 126 ],
- "I3": [ 17 ],
- "O": [ 21 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 95 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 128 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 88 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 129 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ 94 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 130 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 89 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 131 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 31 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 132 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 32 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 133 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 134 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 37 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 135 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 36 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 136 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 65 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 137 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 67 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 138 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ 127 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 49 ],
- "I1": [ 66 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 140 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 59 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 141 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 58 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 142 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 143 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 17 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 124 ],
- "I1": [ 115 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 144 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 119 ],
- "I2": [ 117 ],
- "I3": [ 116 ],
- "O": [ 144 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 120 ],
- "I2": [ 119 ],
- "I3": [ 115 ],
- "O": [ 20 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 144 ],
- "I2": [ 145 ],
- "I3": [ "0" ],
- "O": [ 16 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ 28 ],
- "I2": [ 121 ],
- "I3": [ 146 ],
- "O": [ 145 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 122 ],
- "I2": [ 126 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 112 ],
- "I1": [ 28 ],
- "I2": [ 58 ],
- "I3": [ 30 ],
- "O": [ 147 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 17 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 15 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 150 ],
- "I2": [ 151 ],
- "I3": [ 152 ],
- "O": [ 153 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 155 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 152 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 151 ],
- "I3": [ 158 ],
- "O": [ 159 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 155 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 158 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 161 ],
- "I2": [ 155 ],
- "I3": [ 154 ],
- "O": [ 162 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ 164 ],
- "I2": [ 154 ],
- "I3": [ 155 ],
- "O": [ 165 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ 151 ],
- "I3": [ 152 ],
- "O": [ 168 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 151 ],
- "I3": [ 158 ],
- "O": [ 171 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 172 ],
- "I1": [ 173 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 13 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 175 ],
- "I2": [ 176 ],
- "I3": [ 177 ],
- "O": [ 178 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 180 ],
- "I2": [ 176 ],
- "I3": [ 181 ],
- "O": [ 177 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 182 ],
- "I1": [ 183 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 179 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 187 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 180 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 189 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 174 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 191 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 175 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 161 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 193 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 160 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 195 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 196 ],
- "I1": [ 164 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 197 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 163 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 199 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 181 ],
- "I2": [ 200 ],
- "I3": [ "0" ],
- "O": [ 172 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 184 ],
- "I2": [ 201 ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 5 ],
- "I2": [ 202 ],
- "I3": [ "0" ],
- "O": [ 8 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 204 ],
- "I2": [ 205 ],
- "I3": [ 206 ],
- "O": [ 207 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 210 ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 211 ],
- "I1": [ 212 ],
- "I2": [ 213 ],
- "I3": [ "0" ],
- "O": [ 210 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 215 ],
- "I2": [ 216 ],
- "I3": [ 217 ],
- "O": [ 213 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 204 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 221 ],
- "I1": [ 222 ],
- "I2": [ 223 ],
- "I3": [ 224 ],
- "O": [ 218 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 225 ],
- "I1": [ 226 ],
- "I2": [ 227 ],
- "I3": [ 228 ],
- "O": [ 221 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ 231 ],
- "I3": [ 232 ],
- "O": [ 219 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 233 ],
- "I1": [ 234 ],
- "I2": [ 235 ],
- "I3": [ 236 ],
- "O": [ 220 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 238 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 239 ],
- "I1": [ 240 ],
- "I2": [ 241 ],
- "I3": [ 242 ],
- "O": [ 206 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 243 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 244 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 202 ],
- "I2": [ 5 ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 245 ],
- "I1": [ 246 ],
- "I2": [ 247 ],
- "I3": [ "0" ],
- "O": [ 248 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 249 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 250 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 249 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 117 ],
- "I2": [ 118 ],
- "I3": [ "0" ],
- "O": [ 250 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 250 ],
- "I1": [ 202 ],
- "I2": [ 6 ],
- "I3": [ "0" ],
- "O": [ 251 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 253 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 243 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 255 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 256 ],
- "I1": [ 8 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 257 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 258 ],
- "I1": [ 256 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 259 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 249 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 262 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 260 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 264 ],
- "I2": [ 265 ],
- "I3": [ "0" ],
- "O": [ 262 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 268 ],
- "I3": [ 269 ],
- "O": [ 265 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 271 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 272 ],
- "I2": [ 273 ],
- "I3": [ 228 ],
- "O": [ 271 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 274 ],
- "I1": [ 210 ],
- "I2": [ 275 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 246 ],
- "I1": [ 247 ],
- "I2": [ 276 ],
- "I3": [ 277 ],
- "O": [ 274 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 239 ],
- "I2": [ 205 ],
- "I3": [ 278 ],
- "O": [ 276 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 229 ],
- "I2": [ 230 ],
- "I3": [ 231 ],
- "O": [ 278 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 225 ],
- "I2": [ 226 ],
- "I3": [ 227 ],
- "O": [ 277 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 242 ],
- "I1": [ 233 ],
- "I2": [ 234 ],
- "I3": [ 235 ],
- "O": [ 275 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 279 ],
- "I3": [ "0" ],
- "O": [ 272 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 223 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 272 ],
- "I2": [ 241 ],
- "I3": [ 273 ],
- "O": [ 267 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 281 ],
- "I2": [ 282 ],
- "I3": [ 283 ],
- "O": [ 268 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 284 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 228 ],
- "I2": [ 277 ],
- "I3": [ 279 ],
- "O": [ 284 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 267 ],
- "I2": [ 283 ],
- "I3": [ "0" ],
- "O": [ 264 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 285 ],
- "I1": [ 286 ],
- "I2": [ 256 ],
- "I3": [ 260 ],
- "O": [ 287 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 123 ],
- "I2": [ 202 ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 202 ],
- "I2": [ 5 ],
- "I3": [ "0" ],
- "O": [ 286 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 289 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 290 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 291 ],
- "I1": [ 292 ],
- "I2": [ 293 ],
- "I3": [ 6 ],
- "O": [ 288 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 294 ],
- "I2": [ 286 ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 291 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 294 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ 115 ],
- "O": [ 292 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 296 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 58 ],
- "I2": [ 298 ],
- "I3": [ 32 ],
- "O": [ 289 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 67 ],
- "I2": [ 89 ],
- "I3": [ 299 ],
- "O": [ 298 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 300 ],
- "I1": [ 301 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 37 ],
- "I2": [ 95 ],
- "I3": [ 66 ],
- "O": [ 300 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 94 ],
- "I2": [ 59 ],
- "I3": [ 31 ],
- "O": [ 301 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 286 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 302 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 281 ],
- "I2": [ 303 ],
- "I3": [ 280 ],
- "O": [ 304 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ 305 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 306 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 306 ],
- "I1": [ 304 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 307 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ 308 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 309 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 310 ],
- "I1": [ 308 ],
- "I2": [ 311 ],
- "I3": [ "0" ],
- "O": [ 312 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 313 ],
- "I1": [ 314 ],
- "I2": [ 315 ],
- "I3": [ "0" ],
- "O": [ 310 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 312 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 316 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 317 ],
- "I1": [ 318 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 321 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 317 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 320 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 322 ],
- "I1": [ 323 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 318 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 325 ],
- "I2": [ 326 ],
- "I3": [ 327 ],
- "O": [ 322 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 329 ],
- "I2": [ 330 ],
- "I3": [ "0" ],
- "O": [ 326 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 332 ],
- "I2": [ 303 ],
- "I3": [ "0" ],
- "O": [ 328 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 334 ],
- "I2": [ 335 ],
- "I3": [ 336 ],
- "O": [ 329 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 337 ],
- "I1": [ 338 ],
- "I2": [ 339 ],
- "I3": [ 340 ],
- "O": [ 330 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 342 ],
- "I2": [ 343 ],
- "I3": [ 344 ],
- "O": [ 327 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 347 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4104
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 323 ],
- "I2": [ 321 ],
- "I3": [ 322 ],
- "O": [ 345 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 348 ],
- "I1": [ 349 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 350 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14848
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 295 ],
- "I2": [ 297 ],
- "I3": [ 143 ],
- "O": [ 348 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 114 ],
- "I2": [ 144 ],
- "I3": [ 351 ],
- "O": [ 349 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 352 ],
- "I1": [ 353 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 354 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 355 ],
- "I1": [ 356 ],
- "I2": [ 357 ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 125
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 114 ],
- "I1": [ 358 ],
- "I2": [ 296 ],
- "I3": [ 144 ],
- "O": [ 356 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52421
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 359 ],
- "I1": [ 360 ],
- "I2": [ 295 ],
- "I3": [ 297 ],
- "O": [ 358 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ 362 ],
- "I2": [ 363 ],
- "I3": [ "0" ],
- "O": [ 360 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 365 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 357 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 84 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 34 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 366 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61951
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 367 ],
- "I2": [ 354 ],
- "I3": [ 368 ],
- "O": [ 369 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 262 ],
- "I1": [ 350 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 8 ],
- "I2": [ 6 ],
- "I3": [ 353 ],
- "O": [ 368 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 247 ],
- "I1": [ 246 ],
- "I2": [ 245 ],
- "I3": [ "0" ],
- "O": [ 370 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 373 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 375 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 322 ],
- "I1": [ 320 ],
- "I2": [ 282 ],
- "I3": [ 280 ],
- "O": [ 374 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 377 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 378 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 379 ],
- "I1": [ 380 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 381 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 383 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 384 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 386 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 387 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 388 ],
- "I1": [ 389 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 390 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ 392 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 393 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 394 ],
- "I1": [ 395 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 396 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 397 ],
- "I1": [ 398 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 401 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 402 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 403 ],
- "I1": [ 404 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 405 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ 407 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 408 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 410 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 411 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 412 ],
- "I1": [ 413 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 414 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 415 ],
- "I1": [ 416 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 417 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 419 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 420 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 421 ],
- "I1": [ 422 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 423 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 424 ],
- "I1": [ 425 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 428 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 429 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 431 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 432 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 434 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 435 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 436 ],
- "I1": [ 437 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 438 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 440 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 441 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 442 ],
- "I1": [ 443 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 444 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 446 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 447 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 449 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 450 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 451 ],
- "I1": [ 452 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 453 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 455 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 458 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 459 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 461 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 464 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 465 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 466 ],
- "I1": [ 467 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 468 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65456
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 470 ],
- "I2": [ 471 ],
- "I3": [ 472 ],
- "O": [ 473 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 26880
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 13 ],
- "I2": [ 475 ],
- "I3": [ 291 ],
- "O": [ 469 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48705
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 477 ],
- "I2": [ 478 ],
- "I3": [ 12 ],
- "O": [ 474 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 479 ],
- "I1": [ 124 ],
- "I2": [ 480 ],
- "I3": [ "0" ],
- "O": [ 476 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 296 ],
- "I2": [ 114 ],
- "I3": [ 295 ],
- "O": [ 480 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 481 ],
- "I3": [ "0" ],
- "O": [ 479 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 482 ],
- "I1": [ 243 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ 360 ],
- "I2": [ 483 ],
- "I3": [ "0" ],
- "O": [ 484 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 486 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 487 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 489 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 490 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 491 ],
- "I1": [ 492 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 493 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 495 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 496 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 497 ],
- "I1": [ 498 ],
- "I2": [ 314 ],
- "I3": [ "0" ],
- "O": [ 499 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 501 ],
- "I2": [ 314 ],
- "I3": [ "0" ],
- "O": [ 502 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 504 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 505 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 506 ],
- "I1": [ 507 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 508 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45067
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 510 ],
- "I2": [ 295 ],
- "I3": [ 511 ],
- "O": [ 512 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 513 ],
- "I1": [ 514 ],
- "I2": [ 515 ],
- "I3": [ 516 ],
- "O": [ 510 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 517 ],
- "I1": [ 518 ],
- "I2": [ 519 ],
- "I3": [ 520 ],
- "O": [ 472 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3585
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 522 ],
- "I2": [ 305 ],
- "I3": [ 523 ],
- "O": [ 518 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 13 ],
- "I2": [ 525 ],
- "I3": [ 126 ],
- "O": [ 521 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 13 ],
- "I2": [ 126 ],
- "I3": [ 525 ],
- "O": [ 522 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 526 ],
- "I1": [ 20 ],
- "I2": [ 144 ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 292 ],
- "I2": [ 519 ],
- "I3": [ 144 ],
- "O": [ 526 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 20 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 519 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 528 ],
- "I2": [ 524 ],
- "I3": [ 529 ],
- "O": [ 517 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 520 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 531 ],
- "I1": [ 525 ],
- "I2": [ 143 ],
- "I3": [ 20 ],
- "O": [ 530 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 532 ],
- "I1": [ 533 ],
- "I2": [ 526 ],
- "I3": [ "0" ],
- "O": [ 531 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 534 ],
- "I1": [ 535 ],
- "I2": [ 536 ],
- "I3": [ 537 ],
- "O": [ 533 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 538 ],
- "I1": [ 539 ],
- "I2": [ 540 ],
- "I3": [ 541 ],
- "O": [ 535 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ 543 ],
- "I2": [ 289 ],
- "I3": [ 539 ],
- "O": [ 541 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ 58 ],
- "I2": [ 294 ],
- "I3": [ 32 ],
- "O": [ 539 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 32 ],
- "I2": [ 298 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 540 ],
- "I1": [ 544 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 294 ],
- "I2": [ 298 ],
- "I3": [ "0" ],
- "O": [ 537 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 545 ],
- "I2": [ 546 ],
- "I3": [ 547 ],
- "O": [ 532 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51964
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 548 ],
- "I1": [ 549 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 547 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 55 ],
- "I2": [ 550 ],
- "I3": [ 551 ],
- "O": [ 549 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 127 ],
- "I2": [ 34 ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 134 ],
- "I2": [ 552 ],
- "I3": [ 553 ],
- "O": [ 548 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ 127 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ 555 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 557 ],
- "I1": [ 558 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 555 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 561 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 563 ],
- "I1": [ 564 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 561 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 565 ],
- "I1": [ 566 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 569 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 566 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 570 ],
- "I1": [ 571 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 572 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 573 ],
- "I1": [ 574 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 571 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 576 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 579 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 576 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 580 ],
- "I1": [ 581 ],
- "I2": [ 264 ],
- "I3": [ 582 ],
- "O": [ 579 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 410 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 582 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 583 ],
- "I1": [ 584 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 580 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 585 ],
- "I1": [ 586 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 581 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 587 ],
- "I1": [ 588 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 591 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 588 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 593 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 596 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 593 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 597 ],
- "I1": [ 598 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 599 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 600 ],
- "I1": [ 601 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 598 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ 603 ],
- "I2": [ 264 ],
- "I3": [ 604 ],
- "O": [ 601 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 419 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 604 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 606 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 602 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 607 ],
- "I1": [ 608 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 603 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 609 ],
- "I1": [ 610 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 297 ],
- "I2": [ 613 ],
- "I3": [ "0" ],
- "O": [ 614 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 615 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61696
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 615 ],
- "I1": [ 297 ],
- "I2": [ 296 ],
- "I3": [ 559 ],
- "O": [ 616 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 557 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 609 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 559 ],
- "I2": [ 613 ],
- "I3": [ 244 ],
- "O": [ 611 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 618 ],
- "I1": [ 619 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 620 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 563 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 622 ],
- "I1": [ 623 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 624 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 568 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 627 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 628 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 573 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 626 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ 631 ],
- "I2": [ 632 ],
- "I3": [ 611 ],
- "O": [ 633 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 634 ],
- "I1": [ 616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 631 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ 579 ],
- "I2": [ 616 ],
- "I3": [ 614 ],
- "O": [ 630 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 636 ],
- "I1": [ 637 ],
- "I2": [ 264 ],
- "I3": [ 638 ],
- "O": [ 635 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 386 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 638 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 636 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 642 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 637 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 578 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 632 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ 645 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 646 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 590 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 644 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 649 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 650 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 595 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 648 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 652 ],
- "I1": [ 653 ],
- "I2": [ 654 ],
- "I3": [ 611 ],
- "O": [ 655 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 653 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 657 ],
- "I1": [ 601 ],
- "I2": [ 616 ],
- "I3": [ 614 ],
- "O": [ 652 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 658 ],
- "I1": [ 659 ],
- "I2": [ 264 ],
- "I3": [ 660 ],
- "O": [ 657 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 394 ],
- "I1": [ 395 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 660 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 661 ],
- "I1": [ 662 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 658 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 659 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 600 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 654 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 666 ],
- "I1": [ 667 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 668 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 669 ],
- "I1": [ 670 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 671 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 672 ],
- "I1": [ 673 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 674 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 675 ],
- "I1": [ 676 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 677 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 678 ],
- "I1": [ 634 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 679 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 681 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 682 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 684 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 685 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 656 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 687 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 688 ],
- "I1": [ 557 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 689 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 690 ],
- "I1": [ 563 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 691 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 692 ],
- "I1": [ 568 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 693 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 694 ],
- "I1": [ 573 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 695 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 696 ],
- "I1": [ 578 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 697 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 698 ],
- "I1": [ 590 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 699 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 700 ],
- "I1": [ 595 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 701 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 600 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 702 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 686 ],
- "I2": [ 703 ],
- "I3": [ "0" ],
- "O": [ 704 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 665 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 703 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 688 ],
- "I2": [ 705 ],
- "I3": [ "0" ],
- "O": [ 706 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 554 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 705 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 690 ],
- "I2": [ 707 ],
- "I3": [ "0" ],
- "O": [ 708 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 560 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 707 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 692 ],
- "I2": [ 709 ],
- "I3": [ "0" ],
- "O": [ 710 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 565 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 709 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 694 ],
- "I2": [ 711 ],
- "I3": [ "0" ],
- "O": [ 712 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 570 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 711 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 696 ],
- "I2": [ 713 ],
- "I3": [ "0" ],
- "O": [ 714 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 575 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 713 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 698 ],
- "I2": [ 715 ],
- "I3": [ "0" ],
- "O": [ 716 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 587 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 715 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 700 ],
- "I2": [ 717 ],
- "I3": [ "0" ],
- "O": [ 718 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 592 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 717 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 597 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 719 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 666 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 720 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 669 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 721 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 672 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 722 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 675 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 723 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 678 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 724 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 680 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 725 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 683 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 726 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 665 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 727 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 554 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 728 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 560 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 729 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 565 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 730 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 570 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 731 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 575 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 732 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 587 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 733 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 592 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 734 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 129
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ 735 ],
- "I3": [ "0" ],
- "O": [ 736 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 295 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 735 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16830
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 477 ],
- "I2": [ 737 ],
- "I3": [ 12 ],
- "O": [ 738 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 739 ],
- "I2": [ 294 ],
- "I3": [ "0" ],
- "O": [ 740 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 741 ],
- "I2": [ 742 ],
- "I3": [ "0" ],
- "O": [ 743 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 357 ],
- "I1": [ 249 ],
- "I2": [ 294 ],
- "I3": [ "0" ],
- "O": [ 742 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 357 ],
- "I2": [ 744 ],
- "I3": [ 290 ],
- "O": [ 745 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 294 ],
- "I2": [ 290 ],
- "I3": [ 746 ],
- "O": [ 747 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 748 ],
- "I1": [ 749 ],
- "I2": [ 297 ],
- "I3": [ 293 ],
- "O": [ 750 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23756
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 533 ],
- "I2": [ 748 ],
- "I3": [ 293 ],
- "O": [ 749 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 751 ],
- "I2": [ 752 ],
- "I3": [ "0" ],
- "O": [ 753 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 33 ],
- "I2": [ 139 ],
- "I3": [ "0" ],
- "O": [ 751 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 750 ],
- "I2": [ 754 ],
- "I3": [ "0" ],
- "O": [ 755 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 756 ],
- "I1": [ 518 ],
- "I2": [ 357 ],
- "I3": [ 286 ],
- "O": [ 754 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 750 ],
- "I2": [ 286 ],
- "I3": [ "0" ],
- "O": [ 757 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65296
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 293 ],
- "I1": [ 305 ],
- "I2": [ 533 ],
- "I3": [ 758 ],
- "O": [ 759 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 517 ],
- "I1": [ 518 ],
- "I2": [ 356 ],
- "I3": [ 293 ],
- "O": [ 758 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 760 ],
- "I1": [ 513 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 761 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 313 ],
- "I1": [ 514 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 762 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 763 ],
- "I1": [ 515 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 764 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 765 ],
- "I1": [ 509 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 766 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 516 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 768 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 769 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 770 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 771 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 772 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 773 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 774 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 775 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 776 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 777 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 778 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 779 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 780 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 781 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 782 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 783 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 784 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 785 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 786 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 787 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 788 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 789 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 790 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 791 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 792 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 793 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 794 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 795 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 796 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 797 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 798 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 799 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 800 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 801 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 802 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 803 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 804 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 805 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 806 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 807 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 808 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 809 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 810 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 811 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 812 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 813 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 814 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 815 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 816 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 817 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 818 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 819 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 820 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 821 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 822 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 823 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 824 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 825 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 826 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 827 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 828 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 829 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 830 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 831 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 832 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 247 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 834 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 835 ],
- "I1": [ 246 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 836 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 837 ],
- "I1": [ 237 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 838 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 238 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 840 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 240 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 842 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 843 ],
- "I1": [ 239 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 844 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 242 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 846 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 847 ],
- "I1": [ 233 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 848 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 234 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 850 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 235 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 852 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 853 ],
- "I1": [ 236 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 854 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 856 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 857 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 856 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 862 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 863 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 860 ],
- "I2": [ 861 ],
- "I3": [ 858 ],
- "O": [ 862 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 864 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 865 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 860 ],
- "I2": [ 861 ],
- "I3": [ 859 ],
- "O": [ 864 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 866 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 867 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 861 ],
- "I2": [ 858 ],
- "I3": [ 859 ],
- "O": [ 866 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 868 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 869 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 861 ],
- "I3": [ 860 ],
- "O": [ 868 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 870 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 871 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 861 ],
- "I2": [ 860 ],
- "I3": [ 858 ],
- "O": [ 870 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 872 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 873 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 861 ],
- "I2": [ 860 ],
- "I3": [ 859 ],
- "O": [ 872 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 874 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 875 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 861 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 858 ],
- "O": [ 874 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 876 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 877 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 876 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 878 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 879 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 860 ],
- "I2": [ 858 ],
- "I3": [ 861 ],
- "O": [ 878 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 880 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 881 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 860 ],
- "I2": [ 859 ],
- "I3": [ 861 ],
- "O": [ 880 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 882 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 883 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 859 ],
- "I2": [ 858 ],
- "I3": [ 861 ],
- "O": [ 882 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 884 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 885 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 884 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 886 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 887 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 858 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 886 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 888 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 889 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 888 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 890 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 891 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 890 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 856 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 892 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 862 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 893 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 864 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 894 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 866 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 895 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 868 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 896 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 870 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 897 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 872 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 898 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 874 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 899 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 876 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 900 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 901 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 880 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 902 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 882 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 903 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 904 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 886 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 905 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 888 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 906 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 890 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 907 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7936
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 613 ],
- "I1": [ 559 ],
- "I2": [ 908 ],
- "I3": [ 909 ],
- "O": [ 910 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 911 ],
- "I2": [ 282 ],
- "I3": [ 280 ],
- "O": [ 909 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 281 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 911 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 282 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 908 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 912 ],
- "I1": [ 908 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 913 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 615 ],
- "I2": [ 559 ],
- "I3": [ "0" ],
- "O": [ 912 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 613 ],
- "I1": [ 559 ],
- "I2": [ 908 ],
- "I3": [ 909 ],
- "O": [ 914 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 915 ],
- "I1": [ 908 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 916 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 615 ],
- "I1": [ 296 ],
- "I2": [ 559 ],
- "I3": [ "0" ],
- "O": [ 915 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 374 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 917 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 269 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 918 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 919 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 920 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 921 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 922 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 923 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 924 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 926 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 927 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ 929 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 930 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 929 ],
- "I1": [ 244 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 13 ],
- "I2": [ 932 ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 933 ],
- "I1": [ 297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 296 ],
- "I2": [ 933 ],
- "I3": [ "0" ],
- "O": [ 935 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 753 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 936 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 937 ],
- "I2": [ 288 ],
- "I3": [ "0" ],
- "O": [ 938 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 298 ],
- "I2": [ 58 ],
- "I3": [ 32 ],
- "O": [ 937 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 539 ],
- "I2": [ 288 ],
- "I3": [ "0" ],
- "O": [ 939 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 540 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 940 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 537 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 941 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 942 ],
- "I1": [ 943 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 944 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 522 ],
- "I2": [ 523 ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54272
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 13 ],
- "I2": [ 932 ],
- "I3": [ 244 ],
- "O": [ 946 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ 947 ],
- "I3": [ "0" ],
- "O": [ 948 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 948 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 949 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54272
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 13 ],
- "I2": [ 475 ],
- "I3": [ 243 ],
- "O": [ 950 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 482 ],
- "I2": [ 478 ],
- "I3": [ 243 ],
- "O": [ 951 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 482 ],
- "I2": [ 737 ],
- "I3": [ 243 ],
- "O": [ 952 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 521 ],
- "I2": [ 353 ],
- "I3": [ 522 ],
- "O": [ 953 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 353 ],
- "I1": [ 954 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 955 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45728
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 527 ],
- "I2": [ 529 ],
- "I3": [ 528 ],
- "O": [ 954 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 956 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 957 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 958 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 959 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 960 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 961 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 244 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 962 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 127 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 963 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 964 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 965 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 966 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 967 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 968 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 969 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 970 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 971 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 920 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 972 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 973 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 974 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 975 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 85 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 976 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30704
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ 38 ],
- "I2": [ 41 ],
- "I3": [ 42 ],
- "O": [ 977 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52988
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 976 ],
- "I1": [ 55 ],
- "I2": [ 42 ],
- "I3": [ 977 ],
- "O": [ 978 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 89 ],
- "I1": [ 94 ],
- "I2": [ 42 ],
- "I3": [ 34 ],
- "O": [ 979 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 96 ],
- "I2": [ 42 ],
- "I3": [ 979 ],
- "O": [ 980 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16373
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 981 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 981 ],
- "I2": [ 52 ],
- "I3": [ "0" ],
- "O": [ 982 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 63 ],
- "I2": [ 982 ],
- "I3": [ 56 ],
- "O": [ 983 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 129 ],
- "I2": [ 135 ],
- "I3": [ 55 ],
- "O": [ 984 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 130 ],
- "I1": [ 131 ],
- "I2": [ 984 ],
- "I3": [ 33 ],
- "O": [ 985 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 133 ],
- "I2": [ 136 ],
- "I3": [ 33 ],
- "O": [ 986 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 55 ],
- "I2": [ 986 ],
- "I3": [ 985 ],
- "O": [ 987 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 64 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 988 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 138 ],
- "I2": [ 988 ],
- "I3": [ 33 ],
- "O": [ 989 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17423
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 142 ],
- "I2": [ 140 ],
- "I3": [ 33 ],
- "O": [ 990 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43215
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 989 ],
- "I1": [ 990 ],
- "I2": [ 33 ],
- "I3": [ 55 ],
- "O": [ 991 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17423
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 165 ],
- "I2": [ 992 ],
- "I3": [ 151 ],
- "O": [ 993 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 993 ],
- "I1": [ 994 ],
- "I2": [ 995 ],
- "I3": [ 996 ],
- "O": [ 148 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 998 ],
- "I2": [ 155 ],
- "I3": [ 151 ],
- "O": [ 999 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1000 ],
- "I1": [ 1001 ],
- "I2": [ 155 ],
- "I3": [ 999 ],
- "O": [ 1002 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 1002 ],
- "I2": [ 153 ],
- "I3": [ 159 ],
- "O": [ 994 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 189 ],
- "I2": [ 154 ],
- "I3": [ 151 ],
- "O": [ 1003 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 182 ],
- "I1": [ 183 ],
- "I2": [ 154 ],
- "I3": [ 1003 ],
- "O": [ 1004 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 191 ],
- "I2": [ 154 ],
- "I3": [ 151 ],
- "O": [ 1005 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 187 ],
- "I2": [ 154 ],
- "I3": [ 1005 ],
- "O": [ 1006 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 195 ],
- "I2": [ 1007 ],
- "I3": [ 201 ],
- "O": [ 1008 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 197 ],
- "I1": [ 199 ],
- "I2": [ 1009 ],
- "I3": [ 201 ],
- "O": [ 1010 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64762
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1008 ],
- "I2": [ 181 ],
- "I3": [ 176 ],
- "O": [ 1011 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1013 ],
- "I2": [ 176 ],
- "I3": [ 184 ],
- "O": [ 1014 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ 176 ],
- "I3": [ 1014 ],
- "O": [ 1015 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1017 ],
- "I2": [ 176 ],
- "I3": [ 184 ],
- "O": [ 1018 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 176 ],
- "I3": [ 1018 ],
- "O": [ 1019 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 998 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 1020 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1000 ],
- "I1": [ 1001 ],
- "I2": [ 185 ],
- "I3": [ 1020 ],
- "O": [ 1007 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 150 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 1021 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 185 ],
- "I3": [ 1021 ],
- "O": [ 1009 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 294 ],
- "I2": [ 5 ],
- "I3": [ 351 ],
- "O": [ 1022 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3908
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 262 ],
- "I1": [ 1022 ],
- "I2": [ 353 ],
- "I3": [ 202 ],
- "O": [ 1023 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 1023 ],
- "I2": [ 354 ],
- "I3": [ "0" ],
- "O": [ 1024 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 16 ],
- "I2": [ 15 ],
- "I3": [ 13 ],
- "O": [ 1025 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 490 ],
- "I2": [ 496 ],
- "I3": [ 311 ],
- "O": [ 1026 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 56332
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 493 ],
- "I1": [ 311 ],
- "I2": [ 308 ],
- "I3": [ 1026 ],
- "O": [ 1027 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1523
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 1029 ],
- "I2": [ 308 ],
- "I3": [ 1027 ],
- "O": [ 1030 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1031 ],
- "I1": [ 1030 ],
- "I2": [ 313 ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1033 ],
- "I1": [ 1034 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 1035 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 1037 ],
- "I2": [ 314 ],
- "I3": [ 1035 ],
- "O": [ 1028 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1038 ],
- "I1": [ 1039 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 1040 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1041 ],
- "I1": [ 1042 ],
- "I2": [ 314 ],
- "I3": [ 1040 ],
- "O": [ 1029 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1044 ],
- "I2": [ 311 ],
- "I3": [ 314 ],
- "O": [ 1045 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 1047 ],
- "I2": [ 311 ],
- "I3": [ 1045 ],
- "O": [ 1048 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 502 ],
- "I1": [ 499 ],
- "I2": [ 308 ],
- "I3": [ 315 ],
- "O": [ 1049 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30704
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1048 ],
- "I1": [ 1049 ],
- "I2": [ 1050 ],
- "I3": [ 308 ],
- "O": [ 1051 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 505 ],
- "I2": [ 308 ],
- "I3": [ 311 ],
- "O": [ 1052 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 308 ],
- "I1": [ 1049 ],
- "I2": [ 1051 ],
- "I3": [ 1052 ],
- "O": [ 1031 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1053 ],
- "I1": [ 1054 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 1055 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1056 ],
- "I1": [ 1057 ],
- "I2": [ 314 ],
- "I3": [ 1055 ],
- "O": [ 1050 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 147 ],
- "I1": [ 113 ],
- "I2": [ 125 ],
- "I3": [ "0" ],
- "O": [ 1058 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 94 ],
- "I2": [ 1059 ],
- "I3": [ 144 ],
- "O": [ 1060 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ 16 ],
- "I2": [ 1060 ],
- "I3": [ 120 ],
- "O": [ 525 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1061 ],
- "I1": [ 1062 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1063 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1065 ],
- "I2": [ 246 ],
- "I3": [ 1063 ],
- "O": [ 1066 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 399 ],
- "I2": [ 1066 ],
- "I3": [ 264 ],
- "O": [ 558 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1067 ],
- "I1": [ 1068 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1069 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1070 ],
- "I1": [ 1071 ],
- "I2": [ 246 ],
- "I3": [ 1069 ],
- "O": [ 1072 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 447 ],
- "I2": [ 1072 ],
- "I3": [ 264 ],
- "O": [ 557 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 1074 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1075 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1076 ],
- "I1": [ 1077 ],
- "I2": [ 246 ],
- "I3": [ 1075 ],
- "O": [ 1078 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 402 ],
- "I2": [ 1078 ],
- "I3": [ 264 ],
- "O": [ 564 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1080 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1081 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 1083 ],
- "I2": [ 246 ],
- "I3": [ 1081 ],
- "O": [ 1084 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 450 ],
- "I2": [ 1084 ],
- "I3": [ 264 ],
- "O": [ 563 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1085 ],
- "I1": [ 1086 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1087 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1088 ],
- "I1": [ 1089 ],
- "I2": [ 246 ],
- "I3": [ 1087 ],
- "O": [ 1090 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 405 ],
- "I2": [ 1090 ],
- "I3": [ 264 ],
- "O": [ 569 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1091 ],
- "I1": [ 1092 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1093 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1094 ],
- "I1": [ 1095 ],
- "I2": [ 246 ],
- "I3": [ 1093 ],
- "O": [ 1096 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 453 ],
- "I2": [ 1096 ],
- "I3": [ 264 ],
- "O": [ 568 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1097 ],
- "I1": [ 1098 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1099 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 1101 ],
- "I2": [ 246 ],
- "I3": [ 1099 ],
- "O": [ 1102 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 408 ],
- "I2": [ 1102 ],
- "I3": [ 264 ],
- "O": [ 574 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 1104 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1105 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1106 ],
- "I1": [ 1107 ],
- "I2": [ 246 ],
- "I3": [ 1105 ],
- "O": [ 1108 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 456 ],
- "I2": [ 1108 ],
- "I3": [ 264 ],
- "O": [ 573 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1109 ],
- "I1": [ 1110 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1111 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1112 ],
- "I1": [ 1113 ],
- "I2": [ 246 ],
- "I3": [ 1111 ],
- "O": [ 1114 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 459 ],
- "I2": [ 1114 ],
- "I3": [ 264 ],
- "O": [ 578 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1115 ],
- "I1": [ 1116 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1117 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1118 ],
- "I1": [ 1119 ],
- "I2": [ 246 ],
- "I3": [ 1117 ],
- "O": [ 1120 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 414 ],
- "I2": [ 1120 ],
- "I3": [ 264 ],
- "O": [ 591 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 1122 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1123 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1124 ],
- "I1": [ 1125 ],
- "I2": [ 246 ],
- "I3": [ 1123 ],
- "O": [ 1126 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 462 ],
- "I2": [ 1126 ],
- "I3": [ 264 ],
- "O": [ 590 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1127 ],
- "I1": [ 1128 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1129 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1130 ],
- "I1": [ 1131 ],
- "I2": [ 246 ],
- "I3": [ 1129 ],
- "O": [ 1132 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 417 ],
- "I2": [ 1132 ],
- "I3": [ 264 ],
- "O": [ 596 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1133 ],
- "I1": [ 1134 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1135 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1136 ],
- "I1": [ 1137 ],
- "I2": [ 246 ],
- "I3": [ 1135 ],
- "O": [ 1138 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 465 ],
- "I2": [ 1138 ],
- "I3": [ 264 ],
- "O": [ 595 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1139 ],
- "I1": [ 1140 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1141 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 1143 ],
- "I2": [ 246 ],
- "I3": [ 1141 ],
- "O": [ 1144 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 468 ],
- "I2": [ 1144 ],
- "I3": [ 264 ],
- "O": [ 600 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1145 ],
- "I1": [ 1146 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1147 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1148 ],
- "I1": [ 1149 ],
- "I2": [ 246 ],
- "I3": [ 1147 ],
- "O": [ 1150 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 375 ],
- "I2": [ 1150 ],
- "I3": [ 264 ],
- "O": [ 1151 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 558 ],
- "I1": [ 1151 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 667 ],
- "I1": [ 1152 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1153 ],
- "I1": [ 1154 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1155 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 1157 ],
- "I2": [ 246 ],
- "I3": [ 1155 ],
- "O": [ 1158 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 423 ],
- "I2": [ 1158 ],
- "I3": [ 264 ],
- "O": [ 667 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1159 ],
- "I1": [ 1160 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1161 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 973 ],
- "I1": [ 1162 ],
- "I2": [ 246 ],
- "I3": [ 1161 ],
- "O": [ 1163 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 378 ],
- "I2": [ 1163 ],
- "I3": [ 264 ],
- "O": [ 1164 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 564 ],
- "I1": [ 1164 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1165 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1165 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 619 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1166 ],
- "I1": [ 1167 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1168 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1169 ],
- "I1": [ 1170 ],
- "I2": [ 246 ],
- "I3": [ 1168 ],
- "O": [ 1171 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 426 ],
- "I2": [ 1171 ],
- "I3": [ 264 ],
- "O": [ 670 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1172 ],
- "I1": [ 1173 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1174 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1175 ],
- "I1": [ 1176 ],
- "I2": [ 246 ],
- "I3": [ 1174 ],
- "O": [ 1177 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 381 ],
- "I2": [ 1177 ],
- "I3": [ 264 ],
- "O": [ 1178 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 1178 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 673 ],
- "I1": [ 1179 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 623 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1180 ],
- "I1": [ 1181 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1182 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1183 ],
- "I1": [ 1184 ],
- "I2": [ 246 ],
- "I3": [ 1182 ],
- "O": [ 1185 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 429 ],
- "I2": [ 1185 ],
- "I3": [ 264 ],
- "O": [ 673 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1186 ],
- "I1": [ 1187 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1188 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1189 ],
- "I1": [ 1190 ],
- "I2": [ 246 ],
- "I3": [ 1188 ],
- "O": [ 1191 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 384 ],
- "I2": [ 1191 ],
- "I3": [ 264 ],
- "O": [ 1192 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 574 ],
- "I1": [ 1192 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1193 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 676 ],
- "I1": [ 1193 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1194 ],
- "I1": [ 1195 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1196 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1197 ],
- "I1": [ 1198 ],
- "I2": [ 246 ],
- "I3": [ 1196 ],
- "O": [ 1199 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 432 ],
- "I2": [ 1199 ],
- "I3": [ 264 ],
- "O": [ 676 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1200 ],
- "I1": [ 1201 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1202 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1203 ],
- "I1": [ 1204 ],
- "I2": [ 246 ],
- "I3": [ 1202 ],
- "O": [ 1205 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 435 ],
- "I2": [ 1205 ],
- "I3": [ 264 ],
- "O": [ 634 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1206 ],
- "I1": [ 1207 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1208 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1209 ],
- "I1": [ 1210 ],
- "I2": [ 246 ],
- "I3": [ 1208 ],
- "O": [ 1211 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 390 ],
- "I2": [ 1211 ],
- "I3": [ 264 ],
- "O": [ 1212 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 591 ],
- "I1": [ 1212 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1213 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 681 ],
- "I1": [ 1213 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1214 ],
- "I1": [ 1215 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1216 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1217 ],
- "I1": [ 1218 ],
- "I2": [ 246 ],
- "I3": [ 1216 ],
- "O": [ 1219 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 438 ],
- "I2": [ 1219 ],
- "I3": [ 264 ],
- "O": [ 681 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1220 ],
- "I1": [ 1221 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1222 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1223 ],
- "I1": [ 1224 ],
- "I2": [ 246 ],
- "I3": [ 1222 ],
- "O": [ 1225 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 393 ],
- "I2": [ 1225 ],
- "I3": [ 264 ],
- "O": [ 1226 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 596 ],
- "I1": [ 1226 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1227 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 684 ],
- "I1": [ 1227 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ 1229 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1230 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ 1232 ],
- "I2": [ 246 ],
- "I3": [ 1230 ],
- "O": [ 1233 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 441 ],
- "I2": [ 1233 ],
- "I3": [ 264 ],
- "O": [ 684 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1235 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1236 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 1238 ],
- "I2": [ 246 ],
- "I3": [ 1236 ],
- "O": [ 1239 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 444 ],
- "I2": [ 1239 ],
- "I3": [ 264 ],
- "O": [ 656 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 185 ],
- "I2": [ 33 ],
- "I3": [ "0" ],
- "O": [ 1240 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 176 ],
- "I2": [ 84 ],
- "I3": [ 55 ],
- "O": [ 1241 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 184 ],
- "I2": [ 84 ],
- "I3": [ 1241 ],
- "O": [ 1242 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 35056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1242 ],
- "I1": [ 753 ],
- "I2": [ 13 ],
- "I3": [ 295 ],
- "O": [ 748 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 88 ],
- "I2": [ 34 ],
- "I3": [ 42 ],
- "O": [ 1243 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 95 ],
- "I2": [ 34 ],
- "I3": [ 1243 ],
- "O": [ 1244 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 980 ],
- "I1": [ 1244 ],
- "I2": [ 33 ],
- "I3": [ 55 ],
- "O": [ 1245 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30704
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 978 ],
- "I1": [ 1245 ],
- "I2": [ 983 ],
- "I3": [ 1246 ],
- "O": [ 28 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 60 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 1247 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 57 ],
- "I2": [ 34 ],
- "I3": [ 1247 ],
- "O": [ 1248 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 134 ],
- "I1": [ 1248 ],
- "I2": [ 991 ],
- "I3": [ "0" ],
- "O": [ 1249 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 987 ],
- "I1": [ 1249 ],
- "I2": [ 113 ],
- "I3": [ 84 ],
- "O": [ 125 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 196 ],
- "I1": [ 192 ],
- "I2": [ 155 ],
- "I3": [ 154 ],
- "O": [ 1250 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 155 ],
- "I2": [ 1250 ],
- "I3": [ "0" ],
- "O": [ 992 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1013 ],
- "I2": [ 155 ],
- "I3": [ 151 ],
- "O": [ 1251 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1017 ],
- "I2": [ 155 ],
- "I3": [ 1251 ],
- "O": [ 1252 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 1252 ],
- "I2": [ 168 ],
- "I3": [ 171 ],
- "O": [ 1253 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1006 ],
- "I1": [ 1004 ],
- "I2": [ 155 ],
- "I3": [ "0" ],
- "O": [ 1254 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53072
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1254 ],
- "I1": [ 1253 ],
- "I2": [ 995 ],
- "I3": [ 1255 ],
- "O": [ 996 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 1015 ],
- "I2": [ 185 ],
- "I3": [ 181 ],
- "O": [ 1256 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51967
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 178 ],
- "I1": [ 1256 ],
- "I2": [ 201 ],
- "I3": [ 1011 ],
- "O": [ 173 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 15 ],
- "I2": [ 13 ],
- "I3": [ "0" ],
- "O": [ 1257 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 1257 ],
- "I2": [ 295 ],
- "I3": [ 143 ],
- "O": [ 1258 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 35056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1259 ],
- "I1": [ 481 ],
- "I2": [ 1032 ],
- "I3": [ 512 ],
- "O": [ 1260 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 1025 ],
- "I2": [ 295 ],
- "I3": [ "0" ],
- "O": [ 1261 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1260 ],
- "I1": [ 296 ],
- "I2": [ 1261 ],
- "I3": [ 297 ],
- "O": [ 1262 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1258 ],
- "I1": [ 1262 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 470 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1263 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1263 ],
- "CO": [ 1264 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1264 ],
- "CO": [ 1265 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1265 ],
- "CO": [ 553 ],
- "I0": [ "1" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1266 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1266 ],
- "CO": [ 1267 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1267 ],
- "CO": [ 1268 ],
- "I0": [ "1" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1268 ],
- "CO": [ 551 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 965 ],
- "CO": [ 1269 ],
- "I0": [ "1" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1269 ],
- "CO": [ 1270 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1270 ],
- "CO": [ 752 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1271 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1271 ],
- "CO": [ 1272 ],
- "I0": [ "1" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1272 ],
- "CO": [ 1273 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1273 ],
- "CO": [ 27 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1274 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1274 ],
- "CO": [ 1275 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1275 ],
- "CO": [ 1276 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1276 ],
- "CO": [ 112 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1277 ],
- "I0": [ "1" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1277 ],
- "CO": [ 1278 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1278 ],
- "CO": [ 1279 ],
- "I0": [ "1" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1279 ],
- "CO": [ 30 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1398.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:262|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 967 ],
- "CO": [ 1280 ],
- "I0": [ "1" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1398.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:262|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1280 ],
- "CO": [ 113 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1403.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:261|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 967 ],
- "CO": [ 1281 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1403.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:261|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1281 ],
- "CO": [ 1059 ],
- "I0": [ "1" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 308 ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 308 ],
- "CO": [ 1282 ],
- "I0": [ "0" ],
- "I1": [ 313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 314 ],
- "I3": [ 1282 ],
- "O": [ 763 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1282 ],
- "CO": [ 1283 ],
- "I0": [ "0" ],
- "I1": [ 314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 311 ],
- "I3": [ 1283 ],
- "O": [ 765 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1283 ],
- "CO": [ 1284 ],
- "I0": [ "0" ],
- "I1": [ 311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 315 ],
- "I3": [ 1284 ],
- "O": [ 767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 1246 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 33 ],
- "CO": [ 1285 ],
- "I0": [ "0" ],
- "I1": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 127 ],
- "I3": [ 1285 ],
- "O": [ 42 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 70 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 84 ],
- "CO": [ 1286 ],
- "I0": [ "1" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 33 ],
- "I3": [ 1286 ],
- "O": [ 80 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1286 ],
- "CO": [ 1287 ],
- "I0": [ "1" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 34 ],
- "I3": [ 1287 ],
- "O": [ 77 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1287 ],
- "CO": [ 1288 ],
- "I0": [ "0" ],
- "I1": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 127 ],
- "I3": [ 1288 ],
- "O": [ 79 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 243 ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 1289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1290 ],
- "I0": [ 243 ],
- "I1": [ 84 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 55 ],
- "I3": [ 1290 ],
- "O": [ 1291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1290 ],
- "CO": [ 1292 ],
- "I0": [ "0" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 33 ],
- "I3": [ 1292 ],
- "O": [ 1293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1292 ],
- "CO": [ 1294 ],
- "I0": [ "0" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 34 ],
- "I3": [ 1294 ],
- "O": [ 1295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1294 ],
- "CO": [ 1296 ],
- "I0": [ "0" ],
- "I1": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 127 ],
- "I3": [ 1296 ],
- "O": [ 1297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 1298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 252 ],
- "CO": [ 1299 ],
- "I0": [ "0" ],
- "I1": [ 970 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1300 ],
- "I3": [ 1299 ],
- "O": [ 1301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1299 ],
- "CO": [ 1302 ],
- "I0": [ "0" ],
- "I1": [ 1300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1303 ],
- "I3": [ 1302 ],
- "O": [ 1304 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1302 ],
- "CO": [ 1305 ],
- "I0": [ "0" ],
- "I1": [ 1303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1306 ],
- "I3": [ 1305 ],
- "O": [ 1307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 959 ],
- "I3": [ "0" ],
- "O": [ 956 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 959 ],
- "CO": [ 1308 ],
- "I0": [ "0" ],
- "I1": [ 920 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 922 ],
- "I3": [ 1308 ],
- "O": [ 1309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1308 ],
- "CO": [ 1310 ],
- "I0": [ "0" ],
- "I1": [ 922 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 924 ],
- "I3": [ 1310 ],
- "O": [ 1311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1310 ],
- "CO": [ 1312 ],
- "I0": [ "0" ],
- "I1": [ 924 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 926 ],
- "I3": [ 1312 ],
- "O": [ 1313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 1148 ],
- "I3": [ "0" ],
- "O": [ 1314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1088 ],
- "I3": [ 1315 ],
- "O": [ 1316 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1315 ],
- "CO": [ 1317 ],
- "I0": [ "0" ],
- "I1": [ 1088 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1100 ],
- "I3": [ 1317 ],
- "O": [ 1318 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1317 ],
- "CO": [ 1319 ],
- "I0": [ "0" ],
- "I1": [ 1100 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 583 ],
- "I3": [ 1319 ],
- "O": [ 1320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1319 ],
- "CO": [ 1321 ],
- "I0": [ "0" ],
- "I1": [ 583 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1118 ],
- "I3": [ 1321 ],
- "O": [ 1322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1321 ],
- "CO": [ 1323 ],
- "I0": [ "0" ],
- "I1": [ 1118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1130 ],
- "I3": [ 1323 ],
- "O": [ 1324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1323 ],
- "CO": [ 1325 ],
- "I0": [ "0" ],
- "I1": [ 1130 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 605 ],
- "I3": [ 1325 ],
- "O": [ 1326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1325 ],
- "CO": [ 1327 ],
- "I0": [ "0" ],
- "I1": [ 605 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1156 ],
- "I3": [ 1327 ],
- "O": [ 1328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1327 ],
- "CO": [ 1329 ],
- "I0": [ "0" ],
- "I1": [ 1156 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1169 ],
- "I3": [ 1329 ],
- "O": [ 1330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1329 ],
- "CO": [ 1331 ],
- "I0": [ "0" ],
- "I1": [ 1169 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1183 ],
- "I3": [ 1331 ],
- "O": [ 1332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1331 ],
- "CO": [ 1333 ],
- "I0": [ "0" ],
- "I1": [ 1183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1197 ],
- "I3": [ 1333 ],
- "O": [ 1334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1333 ],
- "CO": [ 1335 ],
- "I0": [ "0" ],
- "I1": [ 1197 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1148 ],
- "CO": [ 1336 ],
- "I0": [ "0" ],
- "I1": [ 973 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1203 ],
- "I3": [ 1335 ],
- "O": [ 1337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1335 ],
- "CO": [ 1338 ],
- "I0": [ "0" ],
- "I1": [ 1203 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1217 ],
- "I3": [ 1338 ],
- "O": [ 1339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1338 ],
- "CO": [ 1340 ],
- "I0": [ "0" ],
- "I1": [ 1217 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1231 ],
- "I3": [ 1340 ],
- "O": [ 1341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1340 ],
- "CO": [ 1342 ],
- "I0": [ "0" ],
- "I1": [ 1231 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1237 ],
- "I3": [ 1342 ],
- "O": [ 1343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1342 ],
- "CO": [ 1344 ],
- "I0": [ "0" ],
- "I1": [ 1237 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1070 ],
- "I3": [ 1344 ],
- "O": [ 1345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1344 ],
- "CO": [ 1346 ],
- "I0": [ "0" ],
- "I1": [ 1070 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1082 ],
- "I3": [ 1346 ],
- "O": [ 1347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1346 ],
- "CO": [ 1348 ],
- "I0": [ "0" ],
- "I1": [ 1082 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1094 ],
- "I3": [ 1348 ],
- "O": [ 1349 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1348 ],
- "CO": [ 1350 ],
- "I0": [ "0" ],
- "I1": [ 1094 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1106 ],
- "I3": [ 1350 ],
- "O": [ 1351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1350 ],
- "CO": [ 1352 ],
- "I0": [ "0" ],
- "I1": [ 1106 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1112 ],
- "I3": [ 1352 ],
- "O": [ 1353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1352 ],
- "CO": [ 1354 ],
- "I0": [ "0" ],
- "I1": [ 1112 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1124 ],
- "I3": [ 1354 ],
- "O": [ 1355 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1354 ],
- "CO": [ 1356 ],
- "I0": [ "0" ],
- "I1": [ 1124 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1175 ],
- "I3": [ 1336 ],
- "O": [ 1357 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1336 ],
- "CO": [ 1358 ],
- "I0": [ "0" ],
- "I1": [ 1175 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1136 ],
- "I3": [ 1356 ],
- "O": [ 1359 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1356 ],
- "CO": [ 1360 ],
- "I0": [ "0" ],
- "I1": [ 1136 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1142 ],
- "I3": [ 1360 ],
- "O": [ 1361 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[31].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1360 ],
- "CO": [ 1362 ],
- "I0": [ "0" ],
- "I1": [ 1142 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[32].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1149 ],
- "I3": [ 1362 ],
- "O": [ 1363 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[32].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1362 ],
- "CO": [ 1364 ],
- "I0": [ "0" ],
- "I1": [ 1149 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[33].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1162 ],
- "I3": [ 1364 ],
- "O": [ 1365 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[33].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1364 ],
- "CO": [ 1366 ],
- "I0": [ "0" ],
- "I1": [ 1162 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[34].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1176 ],
- "I3": [ 1366 ],
- "O": [ 1367 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[34].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1366 ],
- "CO": [ 1368 ],
- "I0": [ "0" ],
- "I1": [ 1176 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[35].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1190 ],
- "I3": [ 1368 ],
- "O": [ 1369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[35].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1368 ],
- "CO": [ 1370 ],
- "I0": [ "0" ],
- "I1": [ 1190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[36].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 641 ],
- "I3": [ 1370 ],
- "O": [ 1371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[36].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1370 ],
- "CO": [ 1372 ],
- "I0": [ "0" ],
- "I1": [ 641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[37].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1210 ],
- "I3": [ 1372 ],
- "O": [ 1373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[37].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1372 ],
- "CO": [ 1374 ],
- "I0": [ "0" ],
- "I1": [ 1210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[38].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1224 ],
- "I3": [ 1374 ],
- "O": [ 1375 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[38].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1374 ],
- "CO": [ 1376 ],
- "I0": [ "0" ],
- "I1": [ 1224 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[39].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 663 ],
- "I3": [ 1376 ],
- "O": [ 1377 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[39].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1376 ],
- "CO": [ 1378 ],
- "I0": [ "0" ],
- "I1": [ 663 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1189 ],
- "I3": [ 1358 ],
- "O": [ 1379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1358 ],
- "CO": [ 1380 ],
- "I0": [ "0" ],
- "I1": [ 1189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[40].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1065 ],
- "I3": [ 1378 ],
- "O": [ 1381 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[40].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1378 ],
- "CO": [ 1382 ],
- "I0": [ "0" ],
- "I1": [ 1065 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[41].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1077 ],
- "I3": [ 1382 ],
- "O": [ 1383 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[41].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1382 ],
- "CO": [ 1384 ],
- "I0": [ "0" ],
- "I1": [ 1077 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[42].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1089 ],
- "I3": [ 1384 ],
- "O": [ 1385 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[42].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1384 ],
- "CO": [ 1386 ],
- "I0": [ "0" ],
- "I1": [ 1089 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[43].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1101 ],
- "I3": [ 1386 ],
- "O": [ 1387 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[43].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1386 ],
- "CO": [ 1388 ],
- "I0": [ "0" ],
- "I1": [ 1101 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[44].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 585 ],
- "I3": [ 1388 ],
- "O": [ 1389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[44].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1388 ],
- "CO": [ 1390 ],
- "I0": [ "0" ],
- "I1": [ 585 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[45].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1119 ],
- "I3": [ 1390 ],
- "O": [ 1391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[45].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1390 ],
- "CO": [ 1392 ],
- "I0": [ "0" ],
- "I1": [ 1119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[46].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1131 ],
- "I3": [ 1392 ],
- "O": [ 1393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[46].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1392 ],
- "CO": [ 1394 ],
- "I0": [ "0" ],
- "I1": [ 1131 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[47].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 607 ],
- "I3": [ 1394 ],
- "O": [ 1395 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[47].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1394 ],
- "CO": [ 1396 ],
- "I0": [ "0" ],
- "I1": [ 607 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[48].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1157 ],
- "I3": [ 1396 ],
- "O": [ 1397 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[48].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1396 ],
- "CO": [ 1398 ],
- "I0": [ "0" ],
- "I1": [ 1157 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[49].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1170 ],
- "I3": [ 1398 ],
- "O": [ 1399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[49].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1398 ],
- "CO": [ 1400 ],
- "I0": [ "0" ],
- "I1": [ 1170 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 639 ],
- "I3": [ 1380 ],
- "O": [ 1401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1380 ],
- "CO": [ 1402 ],
- "I0": [ "0" ],
- "I1": [ 639 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[50].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1184 ],
- "I3": [ 1400 ],
- "O": [ 1403 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[50].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1400 ],
- "CO": [ 1404 ],
- "I0": [ "0" ],
- "I1": [ 1184 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[51].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1198 ],
- "I3": [ 1404 ],
- "O": [ 1405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[51].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1404 ],
- "CO": [ 1406 ],
- "I0": [ "0" ],
- "I1": [ 1198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[52].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1204 ],
- "I3": [ 1406 ],
- "O": [ 1407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[52].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1406 ],
- "CO": [ 1408 ],
- "I0": [ "0" ],
- "I1": [ 1204 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[53].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1218 ],
- "I3": [ 1408 ],
- "O": [ 1409 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[53].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1408 ],
- "CO": [ 1410 ],
- "I0": [ "0" ],
- "I1": [ 1218 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[54].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1232 ],
- "I3": [ 1410 ],
- "O": [ 1411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[54].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1410 ],
- "CO": [ 1412 ],
- "I0": [ "0" ],
- "I1": [ 1232 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[55].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1238 ],
- "I3": [ 1412 ],
- "O": [ 1413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[55].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1412 ],
- "CO": [ 1414 ],
- "I0": [ "0" ],
- "I1": [ 1238 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[56].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1071 ],
- "I3": [ 1414 ],
- "O": [ 1415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[56].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1414 ],
- "CO": [ 1416 ],
- "I0": [ "0" ],
- "I1": [ 1071 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[57].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1083 ],
- "I3": [ 1416 ],
- "O": [ 1417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[57].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1416 ],
- "CO": [ 1418 ],
- "I0": [ "0" ],
- "I1": [ 1083 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[58].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1095 ],
- "I3": [ 1418 ],
- "O": [ 1419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[58].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1418 ],
- "CO": [ 1420 ],
- "I0": [ "0" ],
- "I1": [ 1095 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[59].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1107 ],
- "I3": [ 1420 ],
- "O": [ 1421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[59].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1420 ],
- "CO": [ 1422 ],
- "I0": [ "0" ],
- "I1": [ 1107 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1209 ],
- "I3": [ 1402 ],
- "O": [ 1423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1402 ],
- "CO": [ 1424 ],
- "I0": [ "0" ],
- "I1": [ 1209 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[60].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1113 ],
- "I3": [ 1422 ],
- "O": [ 1425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[60].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1422 ],
- "CO": [ 1426 ],
- "I0": [ "0" ],
- "I1": [ 1113 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[61].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1125 ],
- "I3": [ 1426 ],
- "O": [ 1427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[61].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1426 ],
- "CO": [ 1428 ],
- "I0": [ "0" ],
- "I1": [ 1125 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[62].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1137 ],
- "I3": [ 1428 ],
- "O": [ 1429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[62].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1428 ],
- "CO": [ 1430 ],
- "I0": [ "0" ],
- "I1": [ 1137 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[63].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1143 ],
- "I3": [ 1430 ],
- "O": [ 1431 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1223 ],
- "I3": [ 1424 ],
- "O": [ 1432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1424 ],
- "CO": [ 1433 ],
- "I0": [ "0" ],
- "I1": [ 1223 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 661 ],
- "I3": [ 1433 ],
- "O": [ 1434 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1433 ],
- "CO": [ 1435 ],
- "I0": [ "0" ],
- "I1": [ 661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1064 ],
- "I3": [ 1435 ],
- "O": [ 1436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1435 ],
- "CO": [ 1437 ],
- "I0": [ "0" ],
- "I1": [ 1064 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1076 ],
- "I3": [ 1437 ],
- "O": [ 1438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1437 ],
- "CO": [ 1315 ],
- "I0": [ "0" ],
- "I1": [ 1076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1564": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 917 ],
- "Q": [ 281 ],
- "R": [ 960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 375 ],
- "Q": [ 372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 378 ],
- "Q": [ 376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 381 ],
- "Q": [ 379 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 384 ],
- "Q": [ 382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 387 ],
- "Q": [ 385 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 390 ],
- "Q": [ 388 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 393 ],
- "Q": [ 391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "Q": [ 394 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 399 ],
- "Q": [ 397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 402 ],
- "Q": [ 400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 405 ],
- "Q": [ 403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "Q": [ 406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 411 ],
- "Q": [ 409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 414 ],
- "Q": [ 412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 417 ],
- "Q": [ 415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 420 ],
- "Q": [ 418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 423 ],
- "Q": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 426 ],
- "Q": [ 424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 429 ],
- "Q": [ 427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 432 ],
- "Q": [ 430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 435 ],
- "Q": [ 433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 438 ],
- "Q": [ 436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 441 ],
- "Q": [ 439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 444 ],
- "Q": [ 442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 447 ],
- "Q": [ 445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 450 ],
- "Q": [ 448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 453 ],
- "Q": [ 451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 456 ],
- "Q": [ 454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 459 ],
- "Q": [ 457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 462 ],
- "Q": [ 460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 465 ],
- "Q": [ 463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 468 ],
- "Q": [ 466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:28|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 918 ],
- "Q": [ 270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1677": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 975 ],
- "E": [ 270 ],
- "Q": [ 3 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1439 ],
- "Q": [ 346 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1440 ],
- "Q": [ 1439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1441 ],
- "Q": [ 1440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1442 ],
- "Q": [ 1441 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "1" ],
- "Q": [ 1442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1684": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:12|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "1" ],
- "E": [ 919 ],
- "Q": [ 266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1688": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 769 ],
- "E": [ 370 ],
- "Q": [ 1145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1689": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 771 ],
- "E": [ 370 ],
- "Q": [ 1159 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1690": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 773 ],
- "E": [ 370 ],
- "Q": [ 1172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1691": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 775 ],
- "E": [ 370 ],
- "Q": [ 1186 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1692": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 777 ],
- "E": [ 370 ],
- "Q": [ 640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1693": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 779 ],
- "E": [ 370 ],
- "Q": [ 1206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1694": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 781 ],
- "E": [ 370 ],
- "Q": [ 1220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1695": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 783 ],
- "E": [ 370 ],
- "Q": [ 662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1696": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 785 ],
- "E": [ 370 ],
- "Q": [ 1061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1697": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 787 ],
- "E": [ 370 ],
- "Q": [ 1073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1698": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 789 ],
- "E": [ 370 ],
- "Q": [ 1085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1699": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 791 ],
- "E": [ 370 ],
- "Q": [ 1097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1700": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 793 ],
- "E": [ 370 ],
- "Q": [ 584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1701": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 795 ],
- "E": [ 370 ],
- "Q": [ 1115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 797 ],
- "E": [ 370 ],
- "Q": [ 1127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 799 ],
- "E": [ 370 ],
- "Q": [ 606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 801 ],
- "E": [ 370 ],
- "Q": [ 1153 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 803 ],
- "E": [ 370 ],
- "Q": [ 1166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 805 ],
- "E": [ 370 ],
- "Q": [ 1180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 807 ],
- "E": [ 370 ],
- "Q": [ 1194 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 809 ],
- "E": [ 370 ],
- "Q": [ 1200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 811 ],
- "E": [ 370 ],
- "Q": [ 1214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1710": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 813 ],
- "E": [ 370 ],
- "Q": [ 1228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1711": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 815 ],
- "E": [ 370 ],
- "Q": [ 1234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1712": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 817 ],
- "E": [ 370 ],
- "Q": [ 1067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1713": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 819 ],
- "E": [ 370 ],
- "Q": [ 1079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1714": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 821 ],
- "E": [ 370 ],
- "Q": [ 1091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1715": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 823 ],
- "E": [ 370 ],
- "Q": [ 1103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1716": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 825 ],
- "E": [ 370 ],
- "Q": [ 1109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1717": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 827 ],
- "E": [ 370 ],
- "Q": [ 1121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1718": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 829 ],
- "E": [ 370 ],
- "Q": [ 1133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1719": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 831 ],
- "E": [ 370 ],
- "Q": [ 1139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1720": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 769 ],
- "E": [ 248 ],
- "Q": [ 1146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1721": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 771 ],
- "E": [ 248 ],
- "Q": [ 1160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1722": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 773 ],
- "E": [ 248 ],
- "Q": [ 1173 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1723": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 775 ],
- "E": [ 248 ],
- "Q": [ 1187 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1724": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 777 ],
- "E": [ 248 ],
- "Q": [ 642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1725": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 779 ],
- "E": [ 248 ],
- "Q": [ 1207 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1726": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 781 ],
- "E": [ 248 ],
- "Q": [ 1221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1727": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 783 ],
- "E": [ 248 ],
- "Q": [ 664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1728": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 785 ],
- "E": [ 248 ],
- "Q": [ 1062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1729": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 787 ],
- "E": [ 248 ],
- "Q": [ 1074 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1730": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 789 ],
- "E": [ 248 ],
- "Q": [ 1086 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1731": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 791 ],
- "E": [ 248 ],
- "Q": [ 1098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1732": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 793 ],
- "E": [ 248 ],
- "Q": [ 586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1733": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 795 ],
- "E": [ 248 ],
- "Q": [ 1116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1734": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 797 ],
- "E": [ 248 ],
- "Q": [ 1128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1735": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 799 ],
- "E": [ 248 ],
- "Q": [ 608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1736": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 801 ],
- "E": [ 248 ],
- "Q": [ 1154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1737": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 803 ],
- "E": [ 248 ],
- "Q": [ 1167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1738": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 805 ],
- "E": [ 248 ],
- "Q": [ 1181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1739": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 807 ],
- "E": [ 248 ],
- "Q": [ 1195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1740": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 809 ],
- "E": [ 248 ],
- "Q": [ 1201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1741": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 811 ],
- "E": [ 248 ],
- "Q": [ 1215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1742": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 813 ],
- "E": [ 248 ],
- "Q": [ 1229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1743": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 815 ],
- "E": [ 248 ],
- "Q": [ 1235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1744": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 817 ],
- "E": [ 248 ],
- "Q": [ 1068 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1745": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 819 ],
- "E": [ 248 ],
- "Q": [ 1080 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1746": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 821 ],
- "E": [ 248 ],
- "Q": [ 1092 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1747": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 823 ],
- "E": [ 248 ],
- "Q": [ 1104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1748": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 825 ],
- "E": [ 248 ],
- "Q": [ 1110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1749": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 827 ],
- "E": [ 248 ],
- "Q": [ 1122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1750": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 829 ],
- "E": [ 248 ],
- "Q": [ 1134 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1751": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 831 ],
- "E": [ 248 ],
- "Q": [ 1140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1752": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 969 ],
- "Q": [ 263 ],
- "R": [ 207 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1753": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1314 ],
- "Q": [ 1148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1754": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 974 ],
- "E": [ 1148 ],
- "Q": [ 973 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1755": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1357 ],
- "Q": [ 1175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1756": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1379 ],
- "Q": [ 1189 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1757": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1401 ],
- "Q": [ 639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1758": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1423 ],
- "Q": [ 1209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1759": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1432 ],
- "Q": [ 1223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1760": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1434 ],
- "Q": [ 661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1761": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1436 ],
- "Q": [ 1064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1762": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1438 ],
- "Q": [ 1076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1763": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1316 ],
- "Q": [ 1088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1764": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1318 ],
- "Q": [ 1100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1765": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1320 ],
- "Q": [ 583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1766": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1322 ],
- "Q": [ 1118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1767": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1324 ],
- "Q": [ 1130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1326 ],
- "Q": [ 605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1769": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1328 ],
- "Q": [ 1156 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1770": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1330 ],
- "Q": [ 1169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1332 ],
- "Q": [ 1183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1772": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1334 ],
- "Q": [ 1197 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1773": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1337 ],
- "Q": [ 1203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1774": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1339 ],
- "Q": [ 1217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1775": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1341 ],
- "Q": [ 1231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1776": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1343 ],
- "Q": [ 1237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1777": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1345 ],
- "Q": [ 1070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1778": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1347 ],
- "Q": [ 1082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1779": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1349 ],
- "Q": [ 1094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1780": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1351 ],
- "Q": [ 1106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1781": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1353 ],
- "Q": [ 1112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1782": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1355 ],
- "Q": [ 1124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1783": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1359 ],
- "Q": [ 1136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1784": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1361 ],
- "Q": [ 1142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1785": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1363 ],
- "Q": [ 1149 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1786": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1365 ],
- "Q": [ 1162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1787": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1367 ],
- "Q": [ 1176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1369 ],
- "Q": [ 1190 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1371 ],
- "Q": [ 641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1790": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1373 ],
- "Q": [ 1210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1791": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1375 ],
- "Q": [ 1224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1792": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1377 ],
- "Q": [ 663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1793": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1381 ],
- "Q": [ 1065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1794": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1383 ],
- "Q": [ 1077 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1385 ],
- "Q": [ 1089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1387 ],
- "Q": [ 1101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1389 ],
- "Q": [ 585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1391 ],
- "Q": [ 1119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1393 ],
- "Q": [ 1131 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1395 ],
- "Q": [ 607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1397 ],
- "Q": [ 1157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1399 ],
- "Q": [ 1170 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1403 ],
- "Q": [ 1184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1405 ],
- "Q": [ 1198 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1407 ],
- "Q": [ 1204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1409 ],
- "Q": [ 1218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1411 ],
- "Q": [ 1232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1413 ],
- "Q": [ 1238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1415 ],
- "Q": [ 1071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1417 ],
- "Q": [ 1083 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1419 ],
- "Q": [ 1095 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1421 ],
- "Q": [ 1107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1425 ],
- "Q": [ 1113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1427 ],
- "Q": [ 1125 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1429 ],
- "Q": [ 1137 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1431 ],
- "Q": [ 1143 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2070": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1298 ],
- "E": [ 251 ],
- "Q": [ 252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2071": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 971 ],
- "E": [ 253 ],
- "Q": [ 970 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2072": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1301 ],
- "E": [ 251 ],
- "Q": [ 1300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2073": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1304 ],
- "E": [ 251 ],
- "Q": [ 1303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2074": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1307 ],
- "E": [ 251 ],
- "Q": [ 1306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2075": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 957 ],
- "E": [ 243 ],
- "Q": [ 254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2076": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 972 ],
- "E": [ 255 ],
- "Q": [ 920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2077": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1309 ],
- "E": [ 243 ],
- "Q": [ 922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2078": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1311 ],
- "E": [ 243 ],
- "Q": [ 924 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2079": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1313 ],
- "E": [ 243 ],
- "Q": [ 926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 256 ],
- "Q": [ 258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3022": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 935 ],
- "E": [ 257 ],
- "Q": [ 365 ],
- "R": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3023": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 934 ],
- "E": [ 259 ],
- "Q": [ 364 ],
- "R": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3024": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 931 ],
- "Q": [ 615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3025": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 930 ],
- "Q": [ 559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3026": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 546 ],
- "E": [ 547 ],
- "Q": [ 545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3027": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 612 ],
- "E": [ 261 ],
- "Q": [ 546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3028": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 620 ],
- "E": [ 261 ],
- "Q": [ 617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3029": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 624 ],
- "E": [ 261 ],
- "Q": [ 621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3030": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 628 ],
- "E": [ 261 ],
- "Q": [ 625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3031": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 633 ],
- "E": [ 261 ],
- "Q": [ 629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3032": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 646 ],
- "E": [ 261 ],
- "Q": [ 643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3033": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 650 ],
- "E": [ 261 ],
- "Q": [ 647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3034": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 655 ],
- "E": [ 261 ],
- "Q": [ 651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3035": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 556 ],
- "E": [ 261 ],
- "Q": [ 665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3036": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 562 ],
- "E": [ 261 ],
- "Q": [ 554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3037": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 567 ],
- "E": [ 261 ],
- "Q": [ 560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3038": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 572 ],
- "E": [ 261 ],
- "Q": [ 565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3039": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 577 ],
- "E": [ 261 ],
- "Q": [ 570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3040": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 589 ],
- "E": [ 261 ],
- "Q": [ 575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3041": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 594 ],
- "E": [ 261 ],
- "Q": [ 587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3042": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 599 ],
- "E": [ 261 ],
- "Q": [ 592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3043": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 668 ],
- "E": [ 261 ],
- "Q": [ 597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3044": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 671 ],
- "E": [ 261 ],
- "Q": [ 666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3045": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 674 ],
- "E": [ 261 ],
- "Q": [ 669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3046": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 677 ],
- "E": [ 261 ],
- "Q": [ 672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3047": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 679 ],
- "E": [ 261 ],
- "Q": [ 675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3048": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 682 ],
- "E": [ 261 ],
- "Q": [ 678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3049": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 685 ],
- "E": [ 261 ],
- "Q": [ 680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3050": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 687 ],
- "E": [ 261 ],
- "Q": [ 683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3051": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 689 ],
- "E": [ 261 ],
- "Q": [ 686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3052": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 691 ],
- "E": [ 261 ],
- "Q": [ 688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3053": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 693 ],
- "E": [ 261 ],
- "Q": [ 690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3054": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 695 ],
- "E": [ 261 ],
- "Q": [ 692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3055": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 697 ],
- "E": [ 261 ],
- "Q": [ 694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3056": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 699 ],
- "E": [ 261 ],
- "Q": [ 696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3057": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 701 ],
- "E": [ 261 ],
- "Q": [ 698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3058": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 702 ],
- "E": [ 261 ],
- "Q": [ 700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 929 ],
- "Q": [ 928 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 244 ],
- "Q": [ 929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 371 ],
- "Q": [ 256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3062": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 260 ],
- "E": [ 287 ],
- "Q": [ 224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3063": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 546 ],
- "E": [ 256 ],
- "Q": [ 769 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3064": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 617 ],
- "E": [ 256 ],
- "Q": [ 771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3065": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 621 ],
- "E": [ 256 ],
- "Q": [ 773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3066": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 625 ],
- "E": [ 256 ],
- "Q": [ 775 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3067": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 629 ],
- "E": [ 256 ],
- "Q": [ 777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3068": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 643 ],
- "E": [ 256 ],
- "Q": [ 779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3069": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 647 ],
- "E": [ 256 ],
- "Q": [ 781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3070": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 651 ],
- "E": [ 256 ],
- "Q": [ 783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3071": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 727 ],
- "E": [ 256 ],
- "Q": [ 785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3072": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 728 ],
- "E": [ 256 ],
- "Q": [ 787 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3073": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 729 ],
- "E": [ 256 ],
- "Q": [ 789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3074": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 730 ],
- "E": [ 256 ],
- "Q": [ 791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3075": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 731 ],
- "E": [ 256 ],
- "Q": [ 793 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3076": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 732 ],
- "E": [ 256 ],
- "Q": [ 795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3077": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 733 ],
- "E": [ 256 ],
- "Q": [ 797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3078": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 734 ],
- "E": [ 256 ],
- "Q": [ 799 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3079": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 719 ],
- "E": [ 256 ],
- "Q": [ 801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3080": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 720 ],
- "E": [ 256 ],
- "Q": [ 803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3081": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 721 ],
- "E": [ 256 ],
- "Q": [ 805 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3082": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 722 ],
- "E": [ 256 ],
- "Q": [ 807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3083": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 723 ],
- "E": [ 256 ],
- "Q": [ 809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3084": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 724 ],
- "E": [ 256 ],
- "Q": [ 811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3085": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 725 ],
- "E": [ 256 ],
- "Q": [ 813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3086": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 726 ],
- "E": [ 256 ],
- "Q": [ 815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3087": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 704 ],
- "E": [ 256 ],
- "Q": [ 817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3088": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 706 ],
- "E": [ 256 ],
- "Q": [ 819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3089": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 708 ],
- "E": [ 256 ],
- "Q": [ 821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3090": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 710 ],
- "E": [ 256 ],
- "Q": [ 823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3091": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 712 ],
- "E": [ 256 ],
- "Q": [ 825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3092": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 714 ],
- "E": [ 256 ],
- "Q": [ 827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3093": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 716 ],
- "E": [ 256 ],
- "Q": [ 829 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3094": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 718 ],
- "E": [ 256 ],
- "Q": [ 831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3345": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 736 ],
- "E": [ 8 ],
- "Q": [ 362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3346": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 11 ],
- "E": [ 8 ],
- "Q": [ 363 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 243 ],
- "Q": [ 482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 8 ],
- "Q": [ 483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3486": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 465 ],
- "E": [ 304 ],
- "Q": [ 481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3487": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 381 ],
- "E": [ 304 ],
- "Q": [ 119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3488": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 384 ],
- "E": [ 304 ],
- "Q": [ 120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3489": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 387 ],
- "E": [ 304 ],
- "Q": [ 115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3490": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 390 ],
- "E": [ 304 ],
- "Q": [ 117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3491": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 393 ],
- "E": [ 304 ],
- "Q": [ 116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3492": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 435 ],
- "E": [ 304 ],
- "Q": [ 995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3493": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 438 ],
- "E": [ 304 ],
- "Q": [ 1255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3494": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 441 ],
- "E": [ 304 ],
- "Q": [ 151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3495": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 444 ],
- "E": [ 304 ],
- "Q": [ 154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3496": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 447 ],
- "E": [ 304 ],
- "Q": [ 155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3497": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 420 ],
- "E": [ 304 ],
- "Q": [ 181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3498": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 423 ],
- "E": [ 304 ],
- "Q": [ 201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3499": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 426 ],
- "E": [ 304 ],
- "Q": [ 184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3500": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 429 ],
- "E": [ 304 ],
- "Q": [ 176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3501": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 432 ],
- "E": [ 304 ],
- "Q": [ 185 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3502": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "E": [ 304 ],
- "Q": [ 858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3503": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 399 ],
- "E": [ 304 ],
- "Q": [ 859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3504": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 402 ],
- "E": [ 304 ],
- "Q": [ 860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3505": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 405 ],
- "E": [ 304 ],
- "Q": [ 861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3506": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "E": [ 304 ],
- "Q": [ 855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3507": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 411 ],
- "E": [ 304 ],
- "Q": [ 296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3508": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 414 ],
- "E": [ 304 ],
- "Q": [ 297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3509": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 417 ],
- "E": [ 304 ],
- "Q": [ 295 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3510": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 375 ],
- "E": [ 304 ],
- "Q": [ 105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3511": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 378 ],
- "E": [ 304 ],
- "Q": [ 40 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3512": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 381 ],
- "E": [ 304 ],
- "Q": [ 47 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3513": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 384 ],
- "E": [ 304 ],
- "Q": [ 90 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3514": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 387 ],
- "E": [ 304 ],
- "Q": [ 104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3515": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 390 ],
- "E": [ 304 ],
- "Q": [ 78 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3516": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 393 ],
- "E": [ 304 ],
- "Q": [ 48 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3517": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "E": [ 304 ],
- "Q": [ 91 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3518": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 399 ],
- "E": [ 304 ],
- "Q": [ 51 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3519": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 402 ],
- "E": [ 304 ],
- "Q": [ 39 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3520": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 405 ],
- "E": [ 304 ],
- "Q": [ 49 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3521": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "E": [ 304 ],
- "Q": [ 97 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3522": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 411 ],
- "E": [ 304 ],
- "Q": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3523": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 414 ],
- "E": [ 304 ],
- "Q": [ 85 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3524": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 417 ],
- "E": [ 304 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3525": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 420 ],
- "E": [ 304 ],
- "Q": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3526": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 423 ],
- "E": [ 304 ],
- "Q": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3527": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 426 ],
- "E": [ 304 ],
- "Q": [ 36 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3528": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 429 ],
- "E": [ 304 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3529": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 432 ],
- "E": [ 304 ],
- "Q": [ 88 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3530": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 435 ],
- "E": [ 304 ],
- "Q": [ 58 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3531": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 438 ],
- "E": [ 304 ],
- "Q": [ 32 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3532": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 441 ],
- "E": [ 304 ],
- "Q": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3533": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 444 ],
- "E": [ 304 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3534": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 447 ],
- "E": [ 304 ],
- "Q": [ 60 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3535": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 450 ],
- "E": [ 304 ],
- "Q": [ 37 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3536": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 453 ],
- "E": [ 304 ],
- "Q": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3537": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 456 ],
- "E": [ 304 ],
- "Q": [ 95 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3538": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 459 ],
- "E": [ 304 ],
- "Q": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3539": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 462 ],
- "E": [ 304 ],
- "Q": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3540": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 465 ],
- "E": [ 304 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3541": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 468 ],
- "E": [ 304 ],
- "Q": [ 94 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:288|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 304 ],
- "Q": [ 351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1024 ],
- "Q": [ 202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 369 ],
- "Q": [ 5 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3545": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "0" ],
- "Q": [ 4 ],
- "R": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1289 ],
- "Q": [ 84 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1291 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1293 ],
- "Q": [ 33 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1295 ],
- "Q": [ 34 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1297 ],
- "Q": [ 127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4569": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1443 ],
- "E": [ 939 ],
- "Q": [ 538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4570": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1444 ],
- "E": [ 939 ],
- "Q": [ 1443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4571": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1445 ],
- "E": [ 939 ],
- "Q": [ 1444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4572": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1446 ],
- "E": [ 939 ],
- "Q": [ 1445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4573": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1447 ],
- "E": [ 939 ],
- "Q": [ 1446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4574": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1448 ],
- "E": [ 939 ],
- "Q": [ 1447 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4575": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1449 ],
- "E": [ 939 ],
- "Q": [ 1448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4576": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1450 ],
- "E": [ 939 ],
- "Q": [ 1449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4577": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1451 ],
- "E": [ 939 ],
- "Q": [ 1450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4578": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1452 ],
- "E": [ 939 ],
- "Q": [ 1451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4579": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1453 ],
- "E": [ 939 ],
- "Q": [ 1452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4580": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1454 ],
- "E": [ 939 ],
- "Q": [ 1453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4581": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1455 ],
- "E": [ 939 ],
- "Q": [ 1454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4582": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1456 ],
- "E": [ 939 ],
- "Q": [ 1455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4583": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1457 ],
- "E": [ 939 ],
- "Q": [ 1456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4584": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1458 ],
- "E": [ 939 ],
- "Q": [ 1457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4585": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1459 ],
- "E": [ 939 ],
- "Q": [ 1458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4586": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1460 ],
- "E": [ 939 ],
- "Q": [ 1459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4587": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1461 ],
- "E": [ 939 ],
- "Q": [ 1460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4588": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1462 ],
- "E": [ 939 ],
- "Q": [ 1461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4589": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1463 ],
- "E": [ 939 ],
- "Q": [ 1462 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4590": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1464 ],
- "E": [ 939 ],
- "Q": [ 1463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4591": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1465 ],
- "E": [ 939 ],
- "Q": [ 1464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4592": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1466 ],
- "E": [ 939 ],
- "Q": [ 1465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4593": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1467 ],
- "E": [ 939 ],
- "Q": [ 1466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4594": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1468 ],
- "E": [ 939 ],
- "Q": [ 1467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4595": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1469 ],
- "E": [ 939 ],
- "Q": [ 1468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4596": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1470 ],
- "E": [ 939 ],
- "Q": [ 1469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4597": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1471 ],
- "E": [ 939 ],
- "Q": [ 1470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4598": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1472 ],
- "E": [ 939 ],
- "Q": [ 1471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4599": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1473 ],
- "E": [ 939 ],
- "Q": [ 1472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4600": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 757 ],
- "E": [ 939 ],
- "Q": [ 1473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4601": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1474 ],
- "E": [ 938 ],
- "Q": [ 542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4602": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1475 ],
- "E": [ 938 ],
- "Q": [ 1474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4603": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1476 ],
- "E": [ 938 ],
- "Q": [ 1475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4604": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1477 ],
- "E": [ 938 ],
- "Q": [ 1476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4605": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1478 ],
- "E": [ 938 ],
- "Q": [ 1477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4606": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1479 ],
- "E": [ 938 ],
- "Q": [ 1478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4607": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1480 ],
- "E": [ 938 ],
- "Q": [ 1479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4608": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1481 ],
- "E": [ 938 ],
- "Q": [ 1480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4609": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1482 ],
- "E": [ 938 ],
- "Q": [ 1481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4610": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1483 ],
- "E": [ 938 ],
- "Q": [ 1482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4611": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1484 ],
- "E": [ 938 ],
- "Q": [ 1483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4612": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1485 ],
- "E": [ 938 ],
- "Q": [ 1484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4613": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1486 ],
- "E": [ 938 ],
- "Q": [ 1485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4614": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1487 ],
- "E": [ 938 ],
- "Q": [ 1486 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4615": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1488 ],
- "E": [ 938 ],
- "Q": [ 1487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4616": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1489 ],
- "E": [ 938 ],
- "Q": [ 1488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4617": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1490 ],
- "E": [ 938 ],
- "Q": [ 1489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4618": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1491 ],
- "E": [ 938 ],
- "Q": [ 1490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4619": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1492 ],
- "E": [ 938 ],
- "Q": [ 1491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4620": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1493 ],
- "E": [ 938 ],
- "Q": [ 1492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4621": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1494 ],
- "E": [ 938 ],
- "Q": [ 1493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4622": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1495 ],
- "E": [ 938 ],
- "Q": [ 1494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4623": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1496 ],
- "E": [ 938 ],
- "Q": [ 1495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4624": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1497 ],
- "E": [ 938 ],
- "Q": [ 1496 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4625": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1498 ],
- "E": [ 938 ],
- "Q": [ 1497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4626": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1499 ],
- "E": [ 938 ],
- "Q": [ 1498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4627": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1500 ],
- "E": [ 938 ],
- "Q": [ 1499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4628": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1501 ],
- "E": [ 938 ],
- "Q": [ 1500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4629": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1502 ],
- "E": [ 938 ],
- "Q": [ 1501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4630": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1503 ],
- "E": [ 938 ],
- "Q": [ 1502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4631": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1504 ],
- "E": [ 938 ],
- "Q": [ 1503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4632": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 755 ],
- "E": [ 938 ],
- "Q": [ 1504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4633": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1505 ],
- "E": [ 940 ],
- "Q": [ 544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4634": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1506 ],
- "E": [ 940 ],
- "Q": [ 1505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4635": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1507 ],
- "E": [ 940 ],
- "Q": [ 1506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4636": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1508 ],
- "E": [ 940 ],
- "Q": [ 1507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4637": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1509 ],
- "E": [ 940 ],
- "Q": [ 1508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4638": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1510 ],
- "E": [ 940 ],
- "Q": [ 1509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4639": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1511 ],
- "E": [ 940 ],
- "Q": [ 1510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4640": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1512 ],
- "E": [ 940 ],
- "Q": [ 1511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4641": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1513 ],
- "E": [ 940 ],
- "Q": [ 1512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4642": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1514 ],
- "E": [ 940 ],
- "Q": [ 1513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4643": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1515 ],
- "E": [ 940 ],
- "Q": [ 1514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4644": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1516 ],
- "E": [ 940 ],
- "Q": [ 1515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4645": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1517 ],
- "E": [ 940 ],
- "Q": [ 1516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4646": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1518 ],
- "E": [ 940 ],
- "Q": [ 1517 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4647": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1519 ],
- "E": [ 940 ],
- "Q": [ 1518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4648": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1520 ],
- "E": [ 940 ],
- "Q": [ 1519 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4649": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1521 ],
- "E": [ 940 ],
- "Q": [ 1520 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4650": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1522 ],
- "E": [ 940 ],
- "Q": [ 1521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4651": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1523 ],
- "E": [ 940 ],
- "Q": [ 1522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4652": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1524 ],
- "E": [ 940 ],
- "Q": [ 1523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4653": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1525 ],
- "E": [ 940 ],
- "Q": [ 1524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4654": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1526 ],
- "E": [ 940 ],
- "Q": [ 1525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4655": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1527 ],
- "E": [ 940 ],
- "Q": [ 1526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4656": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1528 ],
- "E": [ 940 ],
- "Q": [ 1527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4657": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1529 ],
- "E": [ 940 ],
- "Q": [ 1528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4658": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1530 ],
- "E": [ 940 ],
- "Q": [ 1529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4659": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1531 ],
- "E": [ 940 ],
- "Q": [ 1530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4660": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1532 ],
- "E": [ 940 ],
- "Q": [ 1531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4661": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1533 ],
- "E": [ 940 ],
- "Q": [ 1532 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4662": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1534 ],
- "E": [ 940 ],
- "Q": [ 1533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4663": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1535 ],
- "E": [ 940 ],
- "Q": [ 1534 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4664": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 750 ],
- "E": [ 940 ],
- "Q": [ 1535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4665": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1536 ],
- "E": [ 941 ],
- "Q": [ 536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4666": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1537 ],
- "E": [ 941 ],
- "Q": [ 1536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4667": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1538 ],
- "E": [ 941 ],
- "Q": [ 1537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4668": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1539 ],
- "E": [ 941 ],
- "Q": [ 1538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4669": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1540 ],
- "E": [ 941 ],
- "Q": [ 1539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4670": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1541 ],
- "E": [ 941 ],
- "Q": [ 1540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4671": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1542 ],
- "E": [ 941 ],
- "Q": [ 1541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4672": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1543 ],
- "E": [ 941 ],
- "Q": [ 1542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4673": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1544 ],
- "E": [ 941 ],
- "Q": [ 1543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4674": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1545 ],
- "E": [ 941 ],
- "Q": [ 1544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4675": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1546 ],
- "E": [ 941 ],
- "Q": [ 1545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4676": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1547 ],
- "E": [ 941 ],
- "Q": [ 1546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4677": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1548 ],
- "E": [ 941 ],
- "Q": [ 1547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4678": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1549 ],
- "E": [ 941 ],
- "Q": [ 1548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4679": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1550 ],
- "E": [ 941 ],
- "Q": [ 1549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4680": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1551 ],
- "E": [ 941 ],
- "Q": [ 1550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4681": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1552 ],
- "E": [ 941 ],
- "Q": [ 1551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4682": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1553 ],
- "E": [ 941 ],
- "Q": [ 1552 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4683": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1554 ],
- "E": [ 941 ],
- "Q": [ 1553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4684": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1555 ],
- "E": [ 941 ],
- "Q": [ 1554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4685": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1556 ],
- "E": [ 941 ],
- "Q": [ 1555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4686": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1557 ],
- "E": [ 941 ],
- "Q": [ 1556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4687": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1558 ],
- "E": [ 941 ],
- "Q": [ 1557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4688": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1559 ],
- "E": [ 941 ],
- "Q": [ 1558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4689": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1560 ],
- "E": [ 941 ],
- "Q": [ 1559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4690": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1561 ],
- "E": [ 941 ],
- "Q": [ 1560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4691": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1562 ],
- "E": [ 941 ],
- "Q": [ 1561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4692": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1563 ],
- "E": [ 941 ],
- "Q": [ 1562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4693": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1564 ],
- "E": [ 941 ],
- "Q": [ 1563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4694": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1565 ],
- "E": [ 941 ],
- "Q": [ 1564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4695": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1566 ],
- "E": [ 941 ],
- "Q": [ 1565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4696": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 750 ],
- "E": [ 941 ],
- "Q": [ 1566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4697": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 740 ],
- "E": [ 302 ],
- "Q": [ 543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4698": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 743 ],
- "E": [ 302 ],
- "Q": [ 739 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4699": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 745 ],
- "E": [ 302 ],
- "Q": [ 741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4700": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 747 ],
- "E": [ 302 ],
- "Q": [ 744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4701": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1567 ],
- "E": [ 290 ],
- "Q": [ 746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1568 ],
- "E": [ 290 ],
- "Q": [ 1567 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1569 ],
- "E": [ 290 ],
- "Q": [ 1568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1570 ],
- "E": [ 290 ],
- "Q": [ 1569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1571 ],
- "E": [ 290 ],
- "Q": [ 1570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1572 ],
- "E": [ 290 ],
- "Q": [ 1571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1573 ],
- "E": [ 290 ],
- "Q": [ 1572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1574 ],
- "E": [ 290 ],
- "Q": [ 1573 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1575 ],
- "E": [ 290 ],
- "Q": [ 1574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4710": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1576 ],
- "E": [ 290 ],
- "Q": [ 1575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4711": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1577 ],
- "E": [ 290 ],
- "Q": [ 1576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4712": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1578 ],
- "E": [ 290 ],
- "Q": [ 1577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4713": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1579 ],
- "E": [ 290 ],
- "Q": [ 1578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4714": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1580 ],
- "E": [ 290 ],
- "Q": [ 1579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4715": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1581 ],
- "E": [ 290 ],
- "Q": [ 1580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4716": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1582 ],
- "E": [ 290 ],
- "Q": [ 1581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4717": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1583 ],
- "E": [ 290 ],
- "Q": [ 1582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4718": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1584 ],
- "E": [ 290 ],
- "Q": [ 1583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4719": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1585 ],
- "E": [ 290 ],
- "Q": [ 1584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4720": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1586 ],
- "E": [ 290 ],
- "Q": [ 1585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4721": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1587 ],
- "E": [ 290 ],
- "Q": [ 1586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4722": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1588 ],
- "E": [ 290 ],
- "Q": [ 1587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4723": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1589 ],
- "E": [ 290 ],
- "Q": [ 1588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4724": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1590 ],
- "E": [ 290 ],
- "Q": [ 1589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4725": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1591 ],
- "E": [ 290 ],
- "Q": [ 1590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4726": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1592 ],
- "E": [ 290 ],
- "Q": [ 1591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4727": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1593 ],
- "E": [ 290 ],
- "Q": [ 1592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4728": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 750 ],
- "E": [ 290 ],
- "Q": [ 1593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4999": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 961 ],
- "Q": [ 528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5000": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 528 ],
- "Q": [ 527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5001": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 958 ],
- "Q": [ 305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 943 ],
- "Q": [ 942 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 243 ],
- "Q": [ 943 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5004": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 945 ],
- "E": [ 944 ],
- "Q": [ 355 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5005": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 306 ],
- "E": [ 307 ],
- "Q": [ 303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 946 ],
- "Q": [ 932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5341": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1594 ],
- "E": [ 285 ],
- "Q": [ 756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5342": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 247 ],
- "E": [ 285 ],
- "Q": [ 1594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5343": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 246 ],
- "E": [ 285 ],
- "Q": [ 247 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5344": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 237 ],
- "E": [ 285 ],
- "Q": [ 246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5345": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 238 ],
- "E": [ 285 ],
- "Q": [ 237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5346": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 240 ],
- "E": [ 285 ],
- "Q": [ 238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5347": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 239 ],
- "E": [ 285 ],
- "Q": [ 240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5348": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 242 ],
- "E": [ 285 ],
- "Q": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5349": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 233 ],
- "E": [ 285 ],
- "Q": [ 242 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5350": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 234 ],
- "E": [ 285 ],
- "Q": [ 233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5351": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 235 ],
- "E": [ 285 ],
- "Q": [ 234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5352": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 236 ],
- "E": [ 285 ],
- "Q": [ 235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5353": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 229 ],
- "E": [ 285 ],
- "Q": [ 236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5354": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 230 ],
- "E": [ 285 ],
- "Q": [ 229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5355": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 231 ],
- "E": [ 285 ],
- "Q": [ 230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5356": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 232 ],
- "E": [ 285 ],
- "Q": [ 231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5357": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 225 ],
- "E": [ 285 ],
- "Q": [ 232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5358": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 226 ],
- "E": [ 285 ],
- "Q": [ 225 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5359": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 227 ],
- "E": [ 285 ],
- "Q": [ 226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5360": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 211 ],
- "E": [ 285 ],
- "Q": [ 227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5361": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 212 ],
- "E": [ 285 ],
- "Q": [ 211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5362": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 214 ],
- "E": [ 285 ],
- "Q": [ 212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5363": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 215 ],
- "E": [ 285 ],
- "Q": [ 214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5364": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 216 ],
- "E": [ 285 ],
- "Q": [ 215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5365": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 217 ],
- "E": [ 285 ],
- "Q": [ 216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5366": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 208 ],
- "E": [ 285 ],
- "Q": [ 217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5367": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 209 ],
- "E": [ 285 ],
- "Q": [ 208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5368": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 228 ],
- "E": [ 285 ],
- "Q": [ 209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5369": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 241 ],
- "E": [ 285 ],
- "Q": [ 228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5370": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 222 ],
- "E": [ 285 ],
- "Q": [ 241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5371": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 223 ],
- "E": [ 285 ],
- "Q": [ 222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5372": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 285 ],
- "Q": [ 223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5373": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 948 ],
- "E": [ 8 ],
- "Q": [ 361 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 949 ],
- "Q": [ 947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5380": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 7 ],
- "E": [ 8 ],
- "Q": [ 359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 9 ],
- "Q": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:78|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 950 ],
- "Q": [ 475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:67|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 951 ],
- "Q": [ 478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5408": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 514 ],
- "E": [ 936 ],
- "Q": [ 513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5409": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 515 ],
- "E": [ 936 ],
- "Q": [ 514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5410": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 509 ],
- "E": [ 936 ],
- "Q": [ 515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5411": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 516 ],
- "E": [ 936 ],
- "Q": [ 509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5412": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 738 ],
- "E": [ 936 ],
- "Q": [ 516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 761 ],
- "Q": [ 308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5414": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 762 ],
- "E": [ 309 ],
- "Q": [ 313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 764 ],
- "Q": [ 314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 766 ],
- "Q": [ 311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 768 ],
- "Q": [ 315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5418": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 968 ],
- "E": [ 316 ],
- "Q": [ 511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5419": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1032 ],
- "E": [ 312 ],
- "Q": [ 1259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:36|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 952 ],
- "Q": [ 737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 953 ],
- "Q": [ 523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5506": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1595 ],
- "E": [ 6 ],
- "Q": [ 524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5507": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 833 ],
- "E": [ 6 ],
- "Q": [ 1595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5508": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 835 ],
- "E": [ 6 ],
- "Q": [ 833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5509": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 837 ],
- "E": [ 6 ],
- "Q": [ 835 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5510": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 839 ],
- "E": [ 6 ],
- "Q": [ 837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5511": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 841 ],
- "E": [ 6 ],
- "Q": [ 839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5512": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 843 ],
- "E": [ 6 ],
- "Q": [ 841 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5513": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 845 ],
- "E": [ 6 ],
- "Q": [ 843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5514": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 847 ],
- "E": [ 6 ],
- "Q": [ 845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5515": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 849 ],
- "E": [ 6 ],
- "Q": [ 847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5516": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 851 ],
- "E": [ 6 ],
- "Q": [ 849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5517": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 853 ],
- "E": [ 6 ],
- "Q": [ 851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5518": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1596 ],
- "E": [ 6 ],
- "Q": [ 853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5519": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1597 ],
- "E": [ 6 ],
- "Q": [ 1596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5520": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1598 ],
- "E": [ 6 ],
- "Q": [ 1597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5521": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 324 ],
- "E": [ 6 ],
- "Q": [ 1598 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5522": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 325 ],
- "E": [ 6 ],
- "Q": [ 324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5523": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 341 ],
- "E": [ 6 ],
- "Q": [ 325 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5524": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 342 ],
- "E": [ 6 ],
- "Q": [ 341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5525": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 343 ],
- "E": [ 6 ],
- "Q": [ 342 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5526": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 344 ],
- "E": [ 6 ],
- "Q": [ 343 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5527": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 337 ],
- "E": [ 6 ],
- "Q": [ 344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5528": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 338 ],
- "E": [ 6 ],
- "Q": [ 337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5529": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 339 ],
- "E": [ 6 ],
- "Q": [ 338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5530": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 340 ],
- "E": [ 6 ],
- "Q": [ 339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5531": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 333 ],
- "E": [ 6 ],
- "Q": [ 340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5532": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 334 ],
- "E": [ 6 ],
- "Q": [ 333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5533": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 335 ],
- "E": [ 6 ],
- "Q": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5534": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 336 ],
- "E": [ 6 ],
- "Q": [ 335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5535": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 331 ],
- "E": [ 6 ],
- "Q": [ 336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5536": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 332 ],
- "E": [ 6 ],
- "Q": [ 331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5537": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 759 ],
- "E": [ 6 ],
- "Q": [ 332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 955 ],
- "Q": [ 529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5548": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:95|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 317 ],
- "Q": [ 282 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:99|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 319 ],
- "Q": [ 280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5550": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:103|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 321 ],
- "E": [ 347 ],
- "Q": [ 323 ],
- "S": [ 960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5551": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:103|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 323 ],
- "E": [ 347 ],
- "Q": [ 321 ],
- "R": [ 960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5599": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 506 ],
- "E": [ 8 ],
- "Q": [ 500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5600": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1041 ],
- "E": [ 8 ],
- "Q": [ 506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5601": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 494 ],
- "E": [ 8 ],
- "Q": [ 1041 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5602": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 501 ],
- "E": [ 8 ],
- "Q": [ 494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5603": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 507 ],
- "E": [ 8 ],
- "Q": [ 501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5604": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1038 ],
- "E": [ 8 ],
- "Q": [ 507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5605": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 495 ],
- "E": [ 8 ],
- "Q": [ 1038 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5606": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1056 ],
- "E": [ 8 ],
- "Q": [ 495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5607": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 503 ],
- "E": [ 8 ],
- "Q": [ 1056 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5608": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1036 ],
- "E": [ 8 ],
- "Q": [ 503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5609": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 488 ],
- "E": [ 8 ],
- "Q": [ 1036 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5610": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1053 ],
- "E": [ 8 ],
- "Q": [ 488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5611": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 504 ],
- "E": [ 8 ],
- "Q": [ 1053 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5612": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1033 ],
- "E": [ 8 ],
- "Q": [ 504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5613": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 489 ],
- "E": [ 8 ],
- "Q": [ 1033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5614": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 497 ],
- "E": [ 8 ],
- "Q": [ 489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5615": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1046 ],
- "E": [ 8 ],
- "Q": [ 497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5616": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1042 ],
- "E": [ 8 ],
- "Q": [ 1046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5617": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 491 ],
- "E": [ 8 ],
- "Q": [ 1042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5618": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 498 ],
- "E": [ 8 ],
- "Q": [ 491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5619": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1047 ],
- "E": [ 8 ],
- "Q": [ 498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5620": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1039 ],
- "E": [ 8 ],
- "Q": [ 1047 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5621": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 492 ],
- "E": [ 8 ],
- "Q": [ 1039 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5622": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1057 ],
- "E": [ 8 ],
- "Q": [ 492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5623": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1043 ],
- "E": [ 8 ],
- "Q": [ 1057 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5624": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1037 ],
- "E": [ 8 ],
- "Q": [ 1043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5625": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 485 ],
- "E": [ 8 ],
- "Q": [ 1037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5626": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1054 ],
- "E": [ 8 ],
- "Q": [ 485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5627": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1044 ],
- "E": [ 8 ],
- "Q": [ 1054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5628": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1034 ],
- "E": [ 8 ],
- "Q": [ 1044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5629": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 486 ],
- "E": [ 8 ],
- "Q": [ 1034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5630": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 173 ],
- "E": [ 8 ],
- "Q": [ 486 ],
- "R": [ 172 ]
- }
- },
- "cpu.regfile.rf0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:99"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 857, 863, 865, 867, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891 ],
- "RADDR": [ 255, 921, 923, 925, 927, "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 198, 186, 156, 169, 163, 187, 157, 170, 194, 190, 1000, 1016, 160, 191, 1001, 1017 ],
- "RE": [ "1" ],
- "WADDR": [ 252, 970, 1300, 1303, 1306, "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ "1" ],
- "WDATA": [ 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473 ],
- "WE": [ 251 ]
- }
- },
- "cpu.regfile.rf1": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:114"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907 ],
- "RADDR": [ 255, 921, 923, 925, 927, "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 196, 182, 149, 166, 164, 183, 150, 167, 192, 188, 997, 1012, 161, 189, 998, 1013 ],
- "RE": [ "1" ],
- "WADDR": [ 252, 970, 1300, 1303, 1306, "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ "1" ],
- "WDATA": [ 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473 ],
- "WE": [ 251 ]
- }
- },
- "ram.ram0.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0111111100111111011111110011111101111111001111110111111100111111011111110011111101111111011111110011111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111001111110111111101111111011111110111111101111111",
- "INIT_1": "0011111100111111001111110111111101111111011111110111111100111111001111110011111100111111001111110111111100111111001111110111111100111111001111110111111100111111001111110011111101111111001111110111111100111111011111110011111100111111011111110011111100111111",
- "INIT_2": "0011111100111111001111110011111100111111001111110011111100111111001111110111111100111111011111110011111101111111001111110111111100111111011111110111111100111111001111110111111100111111011111110011111101111111001111110111111100111111001111110011111101111111",
- "INIT_3": "0011111100111111001111110011111100111111011111110111111100111111001111110011111100111111001111110011111100111111001111110111111101111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111",
- "INIT_4": "0011111101111111011111110011111100111111001111110011111100111111001111110111111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111011111110111111100111111",
- "INIT_5": "0111111100111111001111110011111101111111001111110011111101111111001111110111111100111111001111110011111100111111011111110111111100111111001111110011111100111111001111110011111100111111011111110111111100111111001111110011111100111111001111110011111101111111",
- "INIT_6": "0011111101111111001111110011111100111111001111110011111101111111001111110111111100111111001111110111111100111111011111110011111100111111011111110011111100111111011111110111111100111111011111110011111101111111001111110011111101111111001111110011111100111111",
- "INIT_7": "0011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110011111101111111001111110111111101111111",
- "INIT_8": "0011111101111111001111110111111100111111001111110011111101111111001111110111111100111111011111110011111101111111011111110011111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111",
- "INIT_9": "0001111000011110000111100001111001011110000111100101111001011110010111110001111100011111010111110101111100011111000111110101111101011111010111110001111101011111001111110011111100111111011111110111111101111111001111110111111100111111011111110111111100111111",
- "INIT_A": "0011111000111110001111100101111000111110000111100011111001011110001111100001111000111110010111100111111001011110001111100001111001111110000111100001111001111110000111100011111000011110001111100101111000111110000111100101111000011110000111100111111000111110",
- "INIT_B": "0001111000011110001111100011111000111110001111100011111000111110001111100011111000111110001111100011111000111110011111100111111001111110011111100111111001111110001111100111111001111110011111100011111000111110011111100011111001111110001111100011111000111110",
- "INIT_C": "0001111000011110000111100101111000011110000111100101111000011110010111100001111001011110000111100001111001011110000111100101111001011110000111100001111000011110000111100001111001011110010111100101111001011110010111100001111001011110000111100001111000011110",
- "INIT_D": "0011111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111001011110",
- "INIT_E": "0001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100011111000011110001111100011111000011110000111100011111000011110",
- "INIT_F": "0001111100111111001111110001111100011111000111110011111100011111000111110001111100011111001111110001111100111111000111110011111100111111000111110001111100011111000111110011111100111111001111110001111100111111000111110001111100011111000111110001111100011111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1599, 1600, 1601, 373, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 377, 1609, 1610, 1611, 1612 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 770, "x", "x", "x", "x", "x", "x", "x", 772, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100000001010010000000001001001011000000110100100000000000000010100000001000000000000000001000001000000010000000010000000100001000000000110000100000000000010010000000000000001000000000000000000100100011001000000000000000001000000010110100001000000010011",
- "INIT_1": "0000000001010001000000000100001000000000000000000100000000001000000100010001010100000000000000100000000000000100000011000000110000000000000000000100000001000110000000000000000001000000000100000111010000110100010100100001101001000000000000000011000000110100",
- "INIT_2": "0000000000000010010000000000000000000000000000110000000000001000000000110100101101000000010000000000001001000010000010000000100001000001000000010000000000000000010000000000000101010000000100000010000000100010000000000100000000000000010000000000000000000000",
- "INIT_3": "0000000000011010000000100110001100000011010000110100000000000000000000100001001000100000001010010010000001100010000000010100000101100000001000000000000000100011010000000000000000000010000000100100001000010010000000100001001000100010001000100100000000001000",
- "INIT_4": "0000000001100010010000000000100000001000001010010000000100000001000001000000010001000000000000100000000000001100000010000000100001000000000000000000000000001000010000000000100100000000000001010000000000000000010000000000000000000010010000100100000000000000",
- "INIT_5": "0000000000100000010000000100000001000000010010010000000001001000010010000000100000000100000001000100100000001100000000000110000001000000000000000000000000000000000000000000100000000000011010000100001000100010000000100000001000000000000100000000100000101010",
- "INIT_6": "0000100000001000000001000100010001000000000000000110000000100000000010000100100001010000010100000000000001000000011000000110000001100000001000000000000000000000000000000000000001000100000001000000000001010000010000000100000000000000010001000000000000000000",
- "INIT_7": "0100000001000000010000000100001001001010010010100100000001000000010000000101001001010010010100100100000001000000010000000110000001000010010100100100000001000000010000000100001001000000010000000100000001010000000000000000000001100000011010000100000001001000",
- "INIT_8": "0100000000100000000000000100000001000000010100010100010001010100000010000100101100000000001000000100000000000000000000000000100001000000000000000100010001000100010000100100001001000110010001100100000001000000010000000100000001000000011010100110011001100110",
- "INIT_9": "0000000100001000010000000100000001000011010000100111000000110100000000000000001101000010000000100010000000010000010000000000000000010010011100100110000000100000000000000010001000100100001001010000000000000000000001000000010101010000000100000000000000000010",
- "INIT_A": "0000010101101100000100000111100000000001001000000000000001100000000001000010111101001010001010100000000100100000000000000111000000001001011010100000011001100110000000010010000001001100011011000100000101100010000100000011000000000001001000100000000000000000",
- "INIT_B": "0110000101000010010100000111000000000011001000100100000000100000000000010010000001000000011101100100000101100000010000000010001000000011001011100001001000110010000000010010100000000100001001000000000100111010010000000010001001000001001000000000000000100010",
- "INIT_C": "0110010100000100001010000100100001100001000010000110000000000000011000010000000001100000010000000010000100000000011000000001100001100001000000000010000000010000001000010001001000100000010000000110000101000010011000000000000001100001000000000110000001000000",
- "INIT_D": "0000000100000010001010000000110000100001000000000010000000000000001000010000000000100000000000000010000100000000001000000100000000100101000001000010000000000000011001010000010000100000000000000010000101000000001000000001100000100001000000000010000000001000",
- "INIT_E": "0010000100000000001000000010000000101101001011100010000000000000001000010010010000100000001000000000100100001000000000000010000000000001001000000010000000100000000010010001100000000000000000000000000100000000001000000011000000100001000000000000000000100000",
- "INIT_F": "0000000000000000001001000010010000001000000011000010000000000000000000000000000000000100001001010000000000100000000000000010000000100000001010000000000000001010000001000010110000100000001001000000000000100000000000000001000100100000000000000010000000100000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1613, 1614, 1615, 380, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 383, 1623, 1624, 1625, 1626 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 774, "x", "x", "x", "x", "x", "x", "x", 776, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.10.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100010100001000000000010000000101000001000110000001010000000011010001100001001001101001000110010010001100110000011100000011100100000010000000000100000100100001000100000000000101000010000000000100000000101000010010100000101101000000000000000100000001000000",
- "INIT_1": "0100010001000000010010010100110001100000011000000000001000000000010000000000001001100100001000010100000000000000010100100000110101010100000001110100000001001001010000000000001001100001000000010000000100100100010000000011001101110000000101000100000100010001",
- "INIT_2": "0100010000001100011000000010000001010000010100000100000000010000001000000011000001010000010100010100010001000100011100100010101001101010000011000110010000101000010000000110011001001100000111000100110100100000000000010001110101000001010000010100010100001101",
- "INIT_3": "0100000000000000010000000100001001100000000000000110100001101001010000010100000101010000001100000100000101100000010000000000000001000000011010000100000001000000010010000100100001000000000000000100000000000011010010000100011001000000011010010100000001000000",
- "INIT_4": "0101100000000100010001000100000001010010000001000100000000011000011100100111010001110000001101000110001101100001010000010101100101100000010001000101001000010100010000000001001001110000011100100110000001110000010100000101000001010001000000100111000100000001",
- "INIT_5": "0100001000000010010101000100000001000110000101100101000101111100000000110100001100011001000110000101000001010001010100000001100001000101001000010100000101010100010001000100000100010000000001000100000101000111011100010000010001000000000001010101010001011000",
- "INIT_6": "0010001000100110011100000011110001001010000011100101000000100000010100100101011001000000000000000110101001001110000010000001110001000110001010100100000000000100011000100000001001101000001011000100101001001010010100010001100001111010011110100001000000110100",
- "INIT_7": "0100000000000000010000000000010001000000000000100100100000101100010011000010100001000100000111100100010000010100010010000000100001001000000010000100000000001000010010000000100001100000001000000100011000000010000001000010010001000110001000110100000001001100",
- "INIT_8": "0100000001010100001011010010101001000010000000100100101101001110010001000100100001000010000010000100000100001000010100000000001101001001010100000101000000010100010001000000011001001000000001000101010000010000010000000001010001000000000000000100000000100010",
- "INIT_9": "0100000000000010010001000000010000000000000100100100100000011000010010000000010001000000000000100100000000001000010000000100100001001000000100000100000000000000000010000001110001001000001001000100001000010110010010000000010001000010000100010101110000001000",
- "INIT_A": "0100000000010100010000000001000001000000000100000100100001001100010000000001010001000000010010100100000001000000000000000000100001000100010011000100100001001100010000000000000001000010000011100100100000001000010000100001001001010000000110000100001001000000",
- "INIT_B": "0000000000001000000000000001000000001000000101100000100000000010000000000000000000001000000000000001000000010000000100000001100001000000000100100100100001010010000000000100001000000000000001000000000000000000000010000000100001000000000000000000010001000100",
- "INIT_C": "0000001000000010010100100101100000010000000000100000000000010010010000000000001001000010000100000100010001000110010000000000010001000100010000000000010001000100010000000100000000000000010000000100000000001000000000100000101001000000000000100000010000000100",
- "INIT_D": "0010000000100110000000000001001000000100000000000000001000011010000000000001000000010000000101000001011000001100000000000001010000010000000001000000100000000000000100000001010000000110000011100000001000000000000000000000001000000000000010000000011000000110",
- "INIT_E": "0000011000000100000001000000010000000000000011000000010000000100000000000000001000000000000000000000000000001000000000000000001000000000000100000000000000000010000000100000110000100000001001000010101000000010000001000010010000100010000000000010000000100100",
- "INIT_F": "0010000000001001001000000000010000100001000010000010000000000100000100010001000000100000000001000010001000000000001000110000001100100111000001110011010000000000001000010000010100110001000000010010001100000010000000100000001000000001000000000000001100000010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1627, 1628, 1629, 434, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 437, 1637, 1638, 1639, 1640 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 810, "x", "x", "x", "x", "x", "x", "x", 812, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.11.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000110101011100000000010100000100100001000110010000001100000111000101110001001100001000001010010010001001110011001111100111111100100010010000010000000000001100001101000011000000100110000001100000101000001001000010000100111100100100001000000001010001110100",
- "INIT_1": "0000001001001000001111000100000000000100010000100001001000000000001000000000000100101100011010010011100001001010000101100001010100110100011101000011000001000000000110000101101000100001000000010001001100110001000001110000011100100001000000110000001101110010",
- "INIT_2": "0001110000010101001010000010000000110000011100000001000001010000000100010000001000010000001100010000111001001011001101110101001000111001011000110010011101000001001001000101000000001000010011000000100001101101000010010000111000011000000011110010000100100001",
- "INIT_3": "0010010100100000000001000000110000100001001010010011101000101101000101110101000100110100000101100001000000101000000100110001110100101101000110110000010001000100000010000100100000000001000110010000001000100010000010000100001000100000010000010001000001000010",
- "INIT_4": "0001110100010000000001000000000000011110010101100000001000000100001110000010110000110000001000000010001001110001000100010001100100100100011111000001000000010110000100000011000000100010011000000011001000111001000011000100010000000011000000000011101000101110",
- "INIT_5": "0000001001000000000101100101111100000010010000000001010001010100001001110100000000010111000111000000000101100000000100010001001000000110000001010011001001010111001000000011010100000100000001110000011000000110001101100100011000001001001010000001110100010000",
- "INIT_6": "0010001101100101001100110011011000000110011011010001010000000000000001110100010100010101010001100000011001001101001011100000100000101111010010010000111101001110001110100010100100101000001001000000101101101001000110000101101100111011011110010011001100011101",
- "INIT_7": "0100000100000011011111010001100001001000000000110100111000011000011001010000100101111111000011000100100000001001010010000001000001001011000010010110100100001010011110000010100101100000001011000110011000000100001000010000101100110110000000000001011101110100",
- "INIT_8": "0011011001110110001101000011001100000010010000100010111001001010000010000110100000010000010000010001000101010000000100000110000000111010010110000111000000110000010000100001000101001000000011100111010100010001010101010010010001000100000000010101001000100100",
- "INIT_9": "0001010001000100000011000100110000011000000011000000001001000010000011000101110000001000010010000000110001001100000010010100100000001010010111000000000101000010000100000000010100111100011010000000001000000010000010000110101000101000010000000011110001111000",
- "INIT_A": "0001000001000100000100000100010000000000000000000000100001000100000100000100010000010000010000000000010001000010000001100000001000000000010001000000101001010010000100000100101000011110010100100000100001001000000100100100001000010000010100000000000001000000",
- "INIT_B": "0001100000000100000110000000000000001010000001100001100000001010000101000001000000000000000000000001010000010000000100000001000000010010010000100000000001000000000000000100000000000000010100000000000001000000000011000000100000000100000000000000000001000100",
- "INIT_C": "0000000000010000010000000100100000000010000000000001000000001000000111000000110000000000000000100000010000000110000001000000011000010010010100000000010001001100000010000100010000001000010010100000100001010000000100100100001000010100000100000000010000000100",
- "INIT_D": "0001001000111000000100000001100000000100000000000001101000010010000000000000000000010100000001000001101000010110000011000000100000011100000000000000010000000000000110000001000000010110000101000001111000000000000000100000000000001110000000000001000000000110",
- "INIT_E": "0001111000011100000001000000010000000100000111000001010000000100000100000001000000000000000000000000101000001000000011100000100000010000000100000001010000001000000000000000000000001100001111000000101000110010000000000010000000000010001000000001010000010000",
- "INIT_F": "0000000100100001000000000010011000001011001010010000001100000011000100110001000000001100000010000000000000000000000100110010001100000101001001010001000100100000000000000010000100010001001100010000000100110011000010100000001000000011000011000001001100000010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1641, 1642, 1643, 440, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 443, 1651, 1652, 1653, 1654 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 814, "x", "x", "x", "x", "x", "x", "x", 816, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.12.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100100000000000000010100000101011001000010010000000000000101010100000000010101001000000010000001000000010100000100100000111000000100010000000100010000100000000001000000001001000101000100010000110000100111000011000100111001000100001001110001010001010100",
- "INIT_1": "0000100001000100000010000000000001000001001000000000010000000100010010000000000000100100011000000100000000001000000000000100100000010100000101000100000000000001010100000100000101000001000000000001010100100100010000110011000000000001000000000101101100110010",
- "INIT_2": "0000010000000100011000110100001100001000010010000101000000010000001000000010001000100010000000000000000000001110010011100010010000000001000000010010110100101100000011000000110000111110000001100010110000100100010011000000010000001100000001000001010101010101",
- "INIT_3": "0010010100100001000001000000001000000111000001100010110000101100010001010110010100000110000001000010000000000000000000000001000000010011001000010000000001000000010000000000000000111000000010010000011000100110000000000100000001100010000100100010000100000001",
- "INIT_4": "0000110000000100000000010000000101000100010000000000010000000011000001000000000000101000001010000000001001010000010000010000000100001000000110000000010000000001000000000100000000000000010010000100000000000100000000100010011000000110000000100000011000000000",
- "INIT_5": "0000001000000000000000100000001000000110010000000000000101000000000000100000010100100100001000010110000000000001000000000000000100001100010010010000010001000001011000000000011100000000000000010000010100001001000001110100000100100111000001100000010100001000",
- "INIT_6": "0100110000001101001000000010001100100100010000010000111000101001000011000000100000000110010110000000010001000000001001100000000001000101000000010100011100000001010001010100010100110011011101110010001100000000000000100100001000000010011010010001011100010011",
- "INIT_7": "0101001100000010010000010000010001001101000000100101000100000110010000000000010101010010000000010110000000100001010000000000000101000000001000100100001000000010010000000000100001010000000100000100010000000000000001000001011001100000000000000000010001100010",
- "INIT_8": "0001011000000000000100000001000001000100000000000000111001001110001000000001100001000000000000000000000000100100000000000000000000000000000000000101001000010111010100100000001001000110000000000100010100010111011001010000000101000101000001010110000100001101",
- "INIT_9": "0010000000000000000011100000011000000010000000000000000001010000000001000100000000001010000010000000100000000000000001000000000000000001000100110000000001000010000000000000010100100000001001000100000000101000000101100101001000010000000001000000100000001000",
- "INIT_A": "0010001001100000011100100101000000100000000000000010001001000100001001000000010001100010010010000111100000011000001001000000010000100000000100000000100000100110010000100110000000001000001000000000100000101000010010100100101001000000010000000110100001100000",
- "INIT_B": "0000000000000000001000000011000000100000001001100010000000100000001110000010100000100000001000000010111000101110001100000011000001100000011000100111000001110000001000000010000000100110011001100110000001100000001100000010000000100110001001100010000001100000",
- "INIT_C": "0000111000011110010000000101101000010000000100000001000000000000010100000000000001010110000000100100001001010010010000100000001000010000000010000000011000000110010000000000000000000010010100100100000000000000010000000100000001000000000000000000000000000000",
- "INIT_D": "0010001000100010000000000000000000000010000000100000100000000000000000100001010000000000000000000000000000000100000010000001000000000100000001000000000000011000000001000001010000000000000000100000001000000010000000100000001000000110000001100000001000000010",
- "INIT_E": "0000000000010000000111000000010000010100000010000001011000000110000100000000000000000000000000000001001000000010000001000001010000000100000000000001000000010100000000000000100000100000000100000001101000100010001000000010000000000000000000000000000000000100",
- "INIT_F": "0010000000100000000011000000100000101000000110000010100100001001000000100000000000100110000000000000010000011010000010010001100100000100001000000011000000100000000001100011011000010001000110010011000100000010000000100000000000010010000101100000001000010110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1655, 1656, 1657, 446, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 449, 1665, 1666, 1667, 1668 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 818, "x", "x", "x", "x", "x", "x", "x", 820, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.13.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0110100001001000000000000100000001011000000010000000010000000000010101000000000001001000000010000001000000000100010010000101101000000000010000000100000000000000000000000000000001010011000000100000100100001001000010000100100000000000010000000100000000010000",
- "INIT_1": "0000000001100100000000000000010100001000000011000000010000000000010000010000000100100000001000010000000000000000000001000110000100010100011101010100000001000001010100000001000101100000001000000011000000010100010100000000000000000010000000000100100001001010",
- "INIT_2": "0000010000000100001000010110001000001000010010000101000000010010000000110000000001000000000010010000001000000000000010000000000100000000000001010010000001100000000000000100000000010010000100100010000001100000000000000100001000000000000000000101000100010001",
- "INIT_3": "0100000000100001000000100000001000000010001000100110100000101000000000110001000101100010001000000000000000100101000000010000010101100000001101100000000000000100010000100000011000100010001110000000001001000010000000100100000001100010001000000010001100000001",
- "INIT_4": "0000000000001000010000000000010000000000000000000100001100000100000011000000100000101000001010000000001001000010010000010000100100001000000000000000000000000001000000000000001000000000010000100100000000000110000000100000000000000010000000000000000001000010",
- "INIT_5": "0000000001001000010001010000100100000000000001000000010001000010000000000000010100100000001000110100100000101001000000000000000100001000000010010000000001001001010001000010010100000100000001110100001000000010000001000100010001000010001000100000100000001000",
- "INIT_6": "0000100000000101001001000010010100000000000001010010100001101101000011000000000100000000000001010000010000000001000000000000110101000000010010000100000001000000000000000000010000110100011101000000000000000000000000000000000000000000000000000001000000011001",
- "INIT_7": "0100000001000000010000000100000001000000010010100100000001011000010000000100000001010010010010000110000001100000010100000100000001000010010000000100000001000010010000000100000001010000010110000100010001001000000000000000110101000000000001010100010000000101",
- "INIT_8": "0001000001111000000000000000000001000100010001000000111000001111000010000010100000000000000000110000010000000100000000000100000000000000010000000101001001010011010000000100000101000100010000110100000001000110010001000110010001000000010001000100010001100010",
- "INIT_9": "0000000001000000000001100100011000000010000000100101001000000010000100000000000000001010000010000000000001000000000000000100000000000000000000010000001001000010000000000000010000000100011001000000000001000000000101100101010000010100000101000000100001001000",
- "INIT_A": "0000010000100110000100000010001000000000011000000000000001100010000001000110000000001000001010100001100000111000000001100010011001001000011010000000111000101010000000100010000000001100011000000000100000101000000010100111101000000000001000000000100000011010",
- "INIT_B": "0010000000000000000100000011000000000010001001100000000000100000000010000011100000000000001000000000101000101100000100000010000000000000001000000100000001110000000000000010000000010110011000100100000001100000000000000010000000000100011101100000000000100000",
- "INIT_C": "0010100000001000011010000100101000100100000000100010000000000010011000000000000001100000000000000011000000010000001000000100000001100000000100100010011000000100001000000000000000100000010000000110000001000000011000000100000001100000000000000010000000000000",
- "INIT_D": "0000001000000000001000000000100000100000000000000010000000000000001100000000000000110100000001000010000000000100001000000000100000100100000010000010000000000000001001000000100000110000000000000011000000000000001000000000000000100100000001000010000000000000",
- "INIT_E": "0000000000000000000011000000110000000100000011000000010000000100000000000000000000000000000000000000100000001000000001100000010000000000000000000000000000010000000010000000100000100100000000000000001000010010001000000010000000100100001001000000000000000000",
- "INIT_F": "0010000000100010000011000001111000000000000000100010000000001011000000000000001000100100000001000010100000000000000000010000100100000100000000000010000000110000000001000010000000010001000100010010001000110010000000000000000000000000000000000000011000000110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1669, 1670, 1671, 452, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 455, 1679, 1680, 1681, 1682 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 822, "x", "x", "x", "x", "x", "x", "x", 824, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.14.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0111000000110000010000000000000001010000000000000010010000100100011101000010010001001000000010000001000001000000010000100000100001000000000000000100000000000000000000000000000001000011000100110100000100001000010010010100100100000000000000000100000000000000",
- "INIT_1": "0100000000000000010000010000000101011010010110100010010000100100010000000000000101110100001101000100001101000011001001000010010001110101011101010100000000000000011100000011001001101010001010100011010000110100010100000000000001000010000000100100101000001010",
- "INIT_2": "0100010001000100011100010001001101001000000010000111000001110000010000000000000001000000010000000100000000000000010010000100100001000000000000010110000001100001010000000100000001010010000100100110000001100000000000100000001001000010000000100001001100010011",
- "INIT_3": "0100000001000001010000100000001001010000000100010110000001100000010100110100001101100000011000100100000100100001010000000000000101100000011000000100000001000000000000100000001001101000011010000100001001000010011000100010001001100010010000100110000100100011",
- "INIT_4": "0100100000001000010000010101000101001000010001000100001001000010010011000000010001101000001010000100000000000000010010010100100101000100000001000100000101000001010000000000000001000000000000000100000001000000010000100000001001000010010000100100000001000010",
- "INIT_5": "0100100000011000010011000000100101000000000000000100100000001100000010000000100000100000001001000000000000001000010000000100000001001000000010000100100000001000010000000100000001000000000000000100000001000010010000100100001001000010010000100100100000011000",
- "INIT_6": "0100100000000000011001000110010001000100000001000110100001001000010010000000000001010000000000000100000000000000000010000000100001001000001110000100000000000100000000000100000001110100001101000100000000000000010000000001000001000000000000000001100000011000",
- "INIT_7": "0010000001100000000001000100000000001010010000100000100001001000000000000100000000011010010110000010000001100000000001000100000000000010010000000000000001000000000000000100000000011000010110000000110101001101000010000000100000100001001000010100100000001000",
- "INIT_8": "0111000001010000000100000001000001000100000001000100011100000011010010000000100001000111010001110100010000000100010001000100000001000001010000010001011001010110001000100110001000000110010001000000000001000000000000000100000000000000010000000010001001100010",
- "INIT_9": "0100000000000000010001100000011000000010000000100101001000000010010000000000000000001010000010000100000101000001010000000100000001010010000000000100001000000010000000010000000001100100010000000100000000000000010101100001011001010100000101000100000001000000",
- "INIT_A": "0100010000001100010000000001000001000000000000000100000001000000010001000100110001001010010010000101100001011000000001000000011001001000000010000100110000001010010000100000000001001000000010000001100000011000010110100001101001000000010000000100101001001010",
- "INIT_B": "0000000000000000000100000000000000000010000000100000000000000000000010000000100000000000000000000000101000000000000100000001000001000000000000100001000001000010000000000000000000000110010001100000000000000000000000000000000001000110000001100000000001000000",
- "INIT_C": "0000100000001000010010000100100000000000000000000000000000000000010000000000000001000000000000000101000001010000010000000100000001000000000000000100011000000110010000000100000001000010000000100100000000000000010000000000000001000000000000000001000000010000",
- "INIT_D": "0010001000000010000000000000000000010000000100000000000000000000000000000001000000000100000101000000000000000000000010000000100000001100000011000000000000000000000011000000110000000000000100000000000000010000000000000000000000010100000101000000000000000000",
- "INIT_E": "0000000000000000000011000000110000001100000001000000010000000100000000000000000000010000000100000000100000001000000001000000000000000000000000000000000000000000000010000000100000000000000001000010000000000010001000000000000000110100000101000000000000000000",
- "INIT_F": "0010000000000000001010000000110000101000001000000010100100001000000000000000000000000100000001000010000000001000001010010000100100100100000001000010000000000000001001000000010000010000000100000010001000000010000000000000000000000000000000000000011000000110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1683, 1684, 1685, 458, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 461, 1693, 1694, 1695, 1696 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 826, "x", "x", "x", "x", "x", "x", "x", 828, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.15.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0011100001101000000000000100000000011000000110000000010000000100000101000001010000001000000010000001000001010000000110000101101000000000010000000000000000000000000000000000000000010011000100110000100000001001000110000100100000000000010000000001000001010000",
- "INIT_1": "0000000101000000000000000000000000001000010010000000010000000100000000000000000000100100001000000000000001000000001011000110110000110100011101000000000001000000000100000100000000100000001010000011010000100100000100000001000000000000001000100001100001011010",
- "INIT_2": "0000010000000100001000110110000000001000000010000001000000010000000000000000000000000000010000000000000000000000000010000100100000000000000000010010000001100000000000000100000000010010000100100010000001000000000000000100000000000000000000000001000101010001",
- "INIT_3": "0010000001100000000000100000001000000000000000000010000001100000000000010000001100100011011000000010000000100000000000000000000100110010011100100000000000000000000000100000001000111000001100000000001001000010000000100000001000100000001000100010001100100001",
- "INIT_4": "0000100000000000000000010100000000000000000000000000000001000010000011000000010000101000001010000000000000000000000010010000000100001000000010000000000100000000000000000100000000000000000000000000000000000000000000100000001000000010000000100000001001000000",
- "INIT_5": "0000100001001000000010010100000000000000010000000000100001001000000010000000100000100000001000000000000000001000000000000000000000001000010010000000100000000000001000000010000000000000000000000000000001000010000000000000001000100000011000100000100000001000",
- "INIT_6": "0000100001001000001001000110010000000100010001000010100001001000000010000000100000010000010000000000000001000000000010000000100000101000001010000000000001000000000000000100000000110100001101000000000000000000000000000100000000000000010010000001100000011000",
- "INIT_7": "0100001001000000010000000100000001001010010010100101101001011100010000000100010001011010010110100110000001100000010100000101000001000000010000100100001001000000010000000100100001011000010110000100110001001100000010000000000000100000001000000000100001001000",
- "INIT_8": "0001000001010000000100000000000000000101010001000000011001000110001010100010100000000100010001000000010000000100000000000100000000000000010010000101011001010110010000100100001001000100010001100100001001000000011000000110000001000000010000000110001001100010",
- "INIT_9": "0000000001000100000001100100011000000010000000100001000001010010000100000101000000001010000010100000000001000000000000000100000000010000000110100000000001000010000000010000000000100100010001000000000001000000000101000101011000010100000101000000000001000000",
- "INIT_A": "0000011000000110000100100101001000000000010000000000001001000010000001000100010000001010010010100001100001011000000001100000010000001000010010000000110000001110000000100100000000001100010011000000100000001000000110100101101000000010010000000000100001001000",
- "INIT_B": "0000000000000000000100000001000000000010000000100000000000010000000110100001100000000000000000000000100000000010000100000001000000000000010000000001000001010000000000000000000000010110010101100000000001000000000000000000000000000110010001000000000001000000",
- "INIT_C": "0000100000001000010010000100100000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000100000000010000010100000000011000000110000000000100000000000010010000000000000001000000000000000100000000000000000000000000000000000000",
- "INIT_D": "0000000000100000000000000000000000000000000000000000000000000000000100000001000000010100000101000000000000000000000010000000000000001100000001000000000000000000000011000001010000010000000100000001000000010000000000000000000000000100000001000000000000000000",
- "INIT_E": "0000000000000000000011000000110000001100000011000000010000000100000000000000000000000000000000000000100000001000000001000000010000000000000000000001000000010100000010000000100000000100000001000001001000010000000000000010000000000100001001000000000000000000",
- "INIT_F": "0000000000100000000011000000110000001000001010000000100000000001000000000000000000000100000011000000100000011010000010010000000100000100001001000000000000100000000001000010010000010000000100000001001000110010000000000000000000000000000000000000011000000111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1697, 1698, 1699, 464, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 467, 1707, 1708, 1709, 1710 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 830, "x", "x", "x", "x", "x", "x", "x", 832, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0110111101010010010100110011111001011011001001000010011100111010011101010010101000100101010110000111001100001110011110010100001001011011000100100110001100011010001100010001101001000010000100010111100101010010010000010001101101111010000100110101001000001001",
- "INIT_1": "0111010101001011010011110011000100110111000110100110101000110111010101010000101001010111001100000100011101110111011111110000001001101001000101110100111100000000011000110011111001110011000111100111010100001010011110110000100001100001001111100111010100001010",
- "INIT_2": "0100101001000110011110000101011101010010000011110110101000110101011010110000010001010011010011000110011000000001010111100010000001101101000100100100111001100001010011100000000101111100000000110110111100010000010111100010001100111111000000110100111000010011",
- "INIT_3": "0101101001000011011000100111000101110011000100000100000101111001010100100000000101111001010000110110001101000011010000010010000001100000010110110111001000000011010011110111000001100011010010000101001001011101011100100010110001101011000101000110100001001111",
- "INIT_4": "0111101000010100010011000110100101111110000100110100100101110110011101000000101101010010011011100110111100001000010110000000011101100100010010100101100001011111010111100100000101100111000110000111001000110100010000000101001001000011010110010010000000000011",
- "INIT_5": "0110001001000100011101000001000101001010001111010111110100001000001010110000010000010101001110000100110101010000011110010100010001000101011010000101000100000100010011010000100001101101001100000110001101001100011001110010100001010001010001100111111100100010",
- "INIT_6": "0110101000010100010111000010000001000110000010000111000000011100010011100111000001110000000011000001011000101000011110000000010001101110000000000100110001111000011100100100010001001100001110000101101001001000011110010000110000010110001110000000000100010100",
- "INIT_7": "0111000000101110010001100010001001011010001001000110110000010110011101100001111001111110000000000101100000101110011011000001101001011010001110000100100000011110010100100000101001100000000101100101011100100001000000000001010001101111000010010100110000101000",
- "INIT_8": "0011111000000101011000010000111101010111001110110101010100001011010010110011011001100111000010110100000100101111010111100100000100011111000010010100010000011011011010100010010001111110000000000100000000110110010001000000001001111010001001100110011000001000",
- "INIT_9": "0100111100010101000010000001011001001011001010010101010000001010000010110001111001100010001111010101110101110011010011000001101101111010000011000010000000011010001101100000101101111100000010010101001101101111000011010001101001010000000011110101011101001110",
- "INIT_A": "0100111101001001010110000000010001010011010010010100110000010000010111100000101101011010000000000000100101010111010100000100111001001011000101110100111000000000010000010000111100001110000000100101001100011111010110000000011001010011000011110100101001010110",
- "INIT_B": "0100101100010101010110000000001001001111000110010001101000011110000000010000111101010110000110100101000101001111010000000001111001001111000010010101001001001100000010110001010100000100010110100001101101010001000110100000010001000001010111010100001000010110",
- "INIT_C": "0101011101001001010110100100010001011111010000010001011000000000010100010000101101010110000010000100001101010101010111100100010001000011010011010101000000010110010100110100000101000000000101000100111100000011010010100000010001000001000001110101010000010000",
- "INIT_D": "0011001100100111000111000001001000010101000111110001101000010100000101010000101100010100000010100001101100010101010100000001111000010101000110110001100000010010000001010101101100001110000000000100101100000101010110100101100000011011010101010000101000011100",
- "INIT_E": "0000010100100011001010000010011000001111001000010010000000100110001001010000101100010000000101100001101100000111000101100000100000011011000101010000010000010110000110010001011100100100000010100010100100110111001100000001011000010101000100110011110000100010",
- "INIT_F": "0000100100000101001101000000100000101101000000010010000000001101000100110000110100101101000110110011001000011111001000100010110100111101000010100011101000101101000011000000001100100100000110110010001100110100000100110010011100100111001100110010010100001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1711, 1712, 1713, 386, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 389, 1721, 1722, 1723, 1724 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 778, "x", "x", "x", "x", "x", "x", "x", 780, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.3.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010000001101000001010100000000000010100000110100000110000100100000111100011010000000000010001000001101000010000000001000100100000101010000010000000010000000000000110000000000000110110000000100001000001001001000011100100000100011001010010000011010101010010",
- "INIT_1": "0000101101110000000000010100001100000000010100110000000000101000001000110001010100110000000100100001110101000011001010000110110000100011001000010000010001000110000110000010001000110110001000100001101000110100000100000001001000100000010000000001011000110100",
- "INIT_2": "0001000001000000001001110111000000110011000000000001010100101010000101100010101100100000000000100000101101100010001010010100101000100010010000010000000101000000001100010100000000010000010100000010000001100011000000000000001000000001011000100010001101000010",
- "INIT_3": "0000101100011000000011010100001000001011001100110000110000000000001000110001001000001101001110000011100001100001000111100000000100011001001000000010010100110000000000100100111100110010001000100011100001000010000010100011001000000110001000100010010001100000",
- "INIT_4": "0001010000100010000110110000010000001011001011000001010000000001000000100100010000100101010000000001010000000110000001010000100000010011010001000001011000000000001000000100110000010001000001010011100000000000000101000100000000100001000000100001111000100000",
- "INIT_5": "0010110001100000000110110110010000011001010000000000001001010100000111000100100000010000000001000011111001001100000001000010000000010010010001000000000000000000001110100000010000100010000011000001011000100010000100100000011000001100000100000010100001001100",
- "INIT_6": "0000110100101000001000100101010000000101010001000011011001100000000000010100110000010110011100000010000101010100000001100010000000100001011001000000101001000100000011010110000000000010010001000010100001010000000011100110000000010100010001000011101000000000",
- "INIT_7": "0100000100110000010010000000010001101001000110100101010000000000010101010000000001010010000101100100110100000000010000100010010001001011000000100100110000000000011000010000000001101011000000000110110000010101001010110000000000101000011001010001001101000100",
- "INIT_8": "0011000001111010000101110110000000011100010001000010001101010101001001100100100100001100011001110001000101000000001000000100110000000000010101010111000000000100010001010010101001000010001111100101010100000000010110100000010001100101000110000110000000100110",
- "INIT_9": "0000000000001001000000000100100000110000011000110011011001110100000010100100000100110110010000100010000101101001000010100100010000011011010100100011000001100000000010010010010000001011011001000000011001000001001010000100010100000010010100000010100001000001",
- "INIT_A": "0001100001000101000100000101100000000000010000110000000001000100000010100000010100000010010010100000100001000001000010100101000000000110010010010001001001000110000001000000000100010110010011000000000001010001000111100101000000011110000000010001101001001010",
- "INIT_B": "0001000001000011000101000101000000001010010001110000010001000000000000100000000101010110000001000101100000000001000101000100000000011110010001110001001001010010000101000100100100000000010001000000000001001011000000000001001000010000000000010001111000000000",
- "INIT_C": "0000000001000101010000000100100001001000010011010000100000000100000001100000000100000000000001000000110000000001000001000101100000000100010000010001110000000000000001000101001100001100010000000001001001001001000000000000000000011010000000010001001001010000",
- "INIT_D": "0000001000100001000001000000110000010010000100010001010000001010000000100000000100000100000001000001000000001011000011000100000000001000000001010001001000000000000000000100010100010000000000000100000001000001000011000100000000010100000100010001110000000000",
- "INIT_E": "0001101000100001000011000000100000011010000011110010100000100000001101000010010100001010001100000000010000111001000001000001011000000100000010110000100000100000000000100000100100010110000001000001011000101001000101000010000000000100001101010000001000101000",
- "INIT_F": "0000010000100000000000100011010000010101000011000000011000000000000011010001001000000010000001000000100000000000000101010010001000001110001001010000011000110010000000010010110000000110001001000001001000100011001001100001000000001011001001000000010100100100",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1725, 1726, 1727, 392, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 395, 1735, 1736, 1737, 1738 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 782, "x", "x", "x", "x", "x", "x", "x", 784, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.4.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100010000010010001000010011111001100000001001000010010100110010011000100010100000000001010110100110101001000110010000110000011000010010000101100100001100010010001100000001101001010100000111010011010001010110001101100101000001010111000100100000000101001100",
- "INIT_1": "0010001100001011010011010011000000000001010110100100000100110111010000100000101000010101011110000111010100010110001000000000001001011011000100100101100101010000001100000111110001110011000111100100101000000010010001010000110001001000010101100000000100001010",
- "INIT_2": "0100100000000111001001010001001100100001001011110101011100110100001100000001000000110000001010000111010101010000001000010010000001001110010100100011100001110000011101100011000001001100000000110000000101000000000111010010000100000001000000000010111100110011",
- "INIT_3": "0010000100100010001011010011110000111100000111000011010000111011001001010010100000000001000001100101100000011111000110000010101000010000000110000000000000001000000100000111000001110000000001000011010100110100000011010010100000001000000100000010000001000111",
- "INIT_4": "0001110100010001000111110011101000010011000100100001111000110010010100100000101101100111001011100011000000001000001100010110010100010001010010010111011100111011001001110010000100010010000110000011000100100101001100000110011101110001000111000011000000010011",
- "INIT_5": "0001100101010100000110010001101100011111000111010111110101001010010101010100000000010010001110000011001101110000010110100000011000010011001010100000010000101100001110010111100000110100001100100001010100001000000101000011100000000101001000100010010000100010",
- "INIT_6": "0010010000010001001010000010001101100110001110000101010000011010000000010011000100100101000010110010001101101000010111010000001001000000000100010000110001101011000001100100000001101000001100100010100000001101001010000000011000111011011100010011000000111110",
- "INIT_7": "0101000000001111010001000001001101000001000001010111100100010011011100010001101001000101000000000101110100101111010011010001001101001001001111000100100100000010010010000000011101000000000110100110100000100000001011010011111001001010010010000100100100101010",
- "INIT_8": "0101100000000101000111110000101000011011011010010010001101100010011000100111011000000011000010010100000101101100000100110100000101000100000010000101100000001011010100000000010001101000000000000101110100001011011111010010101101110101001000100101000100011000",
- "INIT_9": "0000011101100000010000000101011000111101000100000010100000000010010010000001111001001000011111010010010101010010010010000000001100001001011001010010110100101011000100010000001000000001010010100101111001101010010000100101101001001010010011110001001001001110",
- "INIT_A": "0011100101111000001000100000011000110101000010000110001000000010001110100100101101100100010000000010100100011110001011000000111000100101010101100100100001100000000010010110101001000000011000100000100101100110000010100000111000001111010010100011110001110110",
- "INIT_B": "0100100101000100011001000110011001101101011110000110100001111110001000110011110001110010001110000110100100101110001100000011111000111001001110000010100001101100011000110011010001100000011110100010010101110000001100000010010000110101001111100010111001101110",
- "INIT_C": "0100001101001000010100000100010001000111000000000001101000001000010001110000011000010000000010000100111100011000010001000000000000000101010110000101000001010110000001010000010000000010000101100000011100000010000010000000000001000011000000100100011001000010",
- "INIT_D": "0000100100101010000100000000001000010001000101000001000000010100000100010000000000000000000010000000000100000100010101000001010000011011000110100000001000010000010100110001000000001110000000000100000100000100010101100000010001011101010100000001111000001100",
- "INIT_E": "0010001100010010001001100001011000100001000000000000000000101010000000010010101000011000001111100000000100100110001001100000000000111001000100000000100000101000000001010000011000010010001100100001000100010010000011100001101000011101001110100011010000100000",
- "INIT_F": "0001100000000111000000000010100100100001001000010010000100001001000000110000110100001001000000010010100000111101001010010010010000001011000010100001010000001101000000010000001000110001001110110010001000011100001000010000011100100101000100010011010100001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1739, 1740, 1741, 398, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 401, 1749, 1750, 1751, 1752 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 786, "x", "x", "x", "x", "x", "x", "x", 788, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.5.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0110000001110111000010000011011001000000001001000000100100111111010011010010001100101001000100100100100101000010010001000111011100100100000101110100110000011110000001000001111001100110000110100000010001010010011011000011101001000100010100100010010000001000",
- "INIT_1": "0100000000101010011000000101000000100001010101110110000000010010010000000010001000100100010110010010000000010110010000000111001100100000010111100100100001111000000000000111110001100001001111110100000100001011011000010000110000100101000110100000000000000011",
- "INIT_2": "0100010000100010001001000001101000000100001101100010010000010010011000000001010000000000001001110000010000110100000000000110011001000000011111100000100001111010010010000111101001001000010001010000110101010000000001000011100000100100000111000000110001101110",
- "INIT_3": "0100010000100110000001000111100001000100000110000000010000111011000001000010100001000100000100100000010000011011010000000011111000000000000111100001000000011010000010110111101001000000000111000000010100111001001001010000100100000100010011000000010001111010",
- "INIT_4": "0100100000010100000001000011111000000000000100100100000000111110001100000000101001000100001000100000000000011000000000000010011000000000010111100100000000111110000001000010011000100000000100000000000001111010000001000111110101000100001111000010010000010111",
- "INIT_5": "0000000101011111000001000011111000000000011111100001000000111011000000110011010100000001000110110000000101110010010000010000111000000000001100110000001000101101000000100011110001000000001100100000000100010101000000010011110101000001001001100000000101100110",
- "INIT_6": "0000000100110111000000010011101100000101001110110100000101010111010000110111000000000001001001100001011100111000010000010101111001000011010110100100010100111110001000100001011101000000011010110100000001101100001000000010111100000000001110000000000100111110",
- "INIT_7": "0100010000101111010000000011001101000101001101010100010100111111010001010001111101000101001010010100000000011110010000000001111001000000000111000100010000111110010001000001111001000100001011100100010000101000000000000010111000000000010110000000010000101010",
- "INIT_8": "0100011001000001000000000111111001000100001110100100100000100010000001000010001000000000010110100100010001001010000101000111011001010100011111100100000000011000010011010011000001110001001010000100000000111111010000000011111101010000001101110100000000010001",
- "INIT_9": "0000000000010111010001000101100000100000011111010010000000001010000000000001111001100000011111010010100001010010010000000101101100100100001011000100000001111110000010000000011000000000010110100000010000011010000000000010101001000100011010110001010001011011",
- "INIT_A": "0000000001111001000001000110010000000010011111110000010000110010010000010010101101000000011101000000110000101111000000000010111000000000001001110000100000111000000001000011111101000000011000100000000001111111010010000110111001000000011111110000100001011110",
- "INIT_B": "0110010000010101010000000010111001000000001111010100010000111000000001000011000101000000011110000100000001111101000000000011110001000000011110010100000000101100000000000011011101000000011110000100000000110101000101000010010000000000001111110100000000111110",
- "INIT_C": "0111001000010011011100000101010000100000010000010010000000001110011000000001111100100110000111000110001001001101001000100000011000100000010101110110010001011100011000000000110100100100000001000010100001011111001001000001110001100100000010110110000000011010",
- "INIT_D": "0010011000011101001000100001000000100010000111110010000000011110001000100001111100101100000100100011100000001111001000000101111000100010000010010010011000010000001000100101100100110010000010100111010000001101001000000101110000100000010101110010000000011110",
- "INIT_E": "0011000000000111001100000000111000100000000100010000010000111110000000100010100100000000001111100000000000110111000001000011101000000100001111110001011000101110000100100000011100000110001111000010111000110101000000000001111000000110001110110000100000010110",
- "INIT_F": "0011001000111101000100000011001100110000000000110011010000001101000000000001110100010000001010110000000000011111000100100000110100010000000011110000000000111101001100000000001100000000001000110010100100110111001000100000110100100100000010110011001000001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1753, 1754, 1755, 404, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 407, 1763, 1764, 1765, 1766 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 790, "x", "x", "x", "x", "x", "x", "x", 792, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.6.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100110100101010010100010000001001111001001110000010010100100000011100010001000001100001000000000011000100010000011111010000100001110101000000100110010100000010001001010000000001101110000000100111110000001000011001000000000101100100000100000111010000010000",
- "INIT_1": "0100010000000000011111010011000001110110010100110010000000100010011000000000000001011101000100000100111101000000010011010000111000011001000100000110100100000000001000010100000001010011000000000011010100110100011101010001000001000001000000000001000101010000",
- "INIT_2": "0111101101000000010110000000000001100011010000000111000001010010010000000100001001110001000000000101110001100010011111010010100001011100000100000111111001100000010111100000000001111100000100000110110001100000010111000100001001011101000000110110111000000010",
- "INIT_3": "0110011001000000011011100100001001011100010000100101111100011001011011000000000001100100011000000111111101100000010111100100000001100100001000000100111000010000010000000000111001010001010000100111001000000010011000110100001001101001011000000101100000100000",
- "INIT_4": "0101100101000000010111100000000001000001000100000100100001000000011101000100011000010001000000100111101101000000011110000000100001110001000000000110000001001000011100110000010001100010010100000100101101000000011011010001000001100110010000100100110000000001",
- "INIT_5": "0101101100000000010010100001000001001011010000000110001101010000001110110100100000000011000000000110001100010000010110110100000001110011001001000101101101000000011110110000000001100011010000000101000100000000011001010010010001101001010000000111110101001010",
- "INIT_6": "0100101100001000010011110001010001110011010000000110001100100000010010110001100001010011000100000110001100100000010110110000000001111111001000000100001100000000010110110010000001000111000001000110101100001000010100110010000001110011001110000000101100000000",
- "INIT_7": "0110000101110000010110110100000001001011010110100110100101000000011100110100000001111011010101100101000101000000010000010100010001011001010010000110000101000000011101110100100001001001010000000110101001000101000010110000000001111110001000010101001101000000",
- "INIT_8": "0100001000001000010110010010100101010010000000100110110100001100010010000100100001010011000001010101000000000001011100100100000001100010000001000110010001000100011100110100101001000101010111000111000101110000011000010100000001100011010000000111001101100010",
- "INIT_9": "0101001000010000010000000000100001000110010000100101100001111000010000000100001001001010000010100101110101100000010000010000010101010001000100000100010100100000000100000000001001111111011001000101000001001000011011000000010001110000000110100111100001001010",
- "INIT_A": "0100011000000100010100100101000001010100010000000100101001000000010101100000010001011110000010100100000001000000000001000000010001001010000010000101110001000100010100000000000001011110000011100101001000000000010100000001000001000000000000000101001000000000",
- "INIT_B": "0001100001010000000111000101000000010010010100100000000001000000000100000000000000010000000010100000000000000000000100000001001001001000000000000101000001011000000000100100000000000100000001000001010000000000000000000000000001010110000101000000101000000000",
- "INIT_C": "0001001001000000010110100100100000010010000001000001101000000100010101000000000001010010010001000100111000000100010000100100010001010010000001000001100000000000010011000000000001001010000000000101111001000000010110100000000001011000000000000001111001000000",
- "INIT_D": "0011100000000010000000000000000000010000000000000001000000011010000101000000000000010100000001000000000000001010000000000100000000010100000101000000110000000000000001000101010000011110000000000001101001000000000111100100000001011110000101000000101000000000",
- "INIT_E": "0001110000100000000100000010100000011100001011000001100000000000000100000000000000011000000000000000100000011010000000100000011000010000000110100000100000000000000110000000110000110000000001000010000000000000001110000000000000111000000101000011010000100000",
- "INIT_F": "0011101000000000001001100001010000011010000010000011001000000000000000000000000100100100000001010010000000100000001100000010001000111001000001000010100000110000001101000010010000100000001000000010100100000011000010000010001000001011001001110001000000100000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1767, 1768, 1769, 410, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 413, 1777, 1778, 1779, 1780 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 794, "x", "x", "x", "x", "x", "x", "x", 796, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.7.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100001001000000001000000000000011110001110000010010000000100000100000001010000100110000000100011001001010000000010000100100000000010000000100010000000000000001110000000000000010010000000100000100001001000001110010100000000100001010000000011001001010000",
- "INIT_1": "0011000001000000000000100111000000000000010000000000101000000000000001000000000000010110000000000000000001000000000111100100110000000000010100000001001001010000000101000100000000000110001000000011110000110100001100000001000000000000010000000001001001010000",
- "INIT_2": "0000000100000000000000110000000000000100000000000001100001010000001011000101000000100000000000000010000101000000001110000110100000100000010100000010000101100000001000000110000000110000010100000010001101100000001000000100001000100001000000110000001101000000",
- "INIT_3": "0001100101000000000010100000001000100000010000000000100000011001000000010000000000111000011000000011100000100000001100000100000000110001001000000011000000000000000000000000000000110101000001000000001000000010000100110000001000100000011000000000000000000000",
- "INIT_4": "0011101101010000001001110000010000110010000000000000000001000000000101010000010000010100000000000001001000000010000111110100100000110000000000000000000000000000000111000000000000110100000000000000000101000000001000010000000000011011000000100001001000000000",
- "INIT_5": "0010010101000000001110010000000000000000010000000001000001000000000110000100100000000000000000000000000000000000001101000000000000010000001000000011100000100000001100000100000000011000010000000011000000000000000100000000010000110000010000000000110000001100",
- "INIT_6": "0000100000001000000001000000010000010000010000000010000001100000000010000100100000110000000100000010000001100000000000000000000000100100011001000000100001000000001000000000000000000100010001000001110001000100000011010000000000101001011110000001000000110000",
- "INIT_7": "0100000000000000010100000000010001001010000010100101000000000000010001000000000001010110000100100100101000000000011000000000000001001100000001000100100000000000010010000000100001000000000000000111000100100000000010000010000000100101011001000000000001000000",
- "INIT_8": "0000001001000010001110010100100100000000010001100011111001001110001010010100100000101101010000000000000001000000000111000100000000001000010001000100110000000100010010100000001001011100000001000101100000111000011000100001100001001000000000000111001000100010",
- "INIT_9": "0000100001010000000010000100000000011010010100100001001001011000000010010100000000010111010010100000100001001000000010000100010100010000010100000000100101000000001000010000010000101110011011000000110001000000000011010100110000011000010100000001100101001000",
- "INIT_A": "0000011001010100000110100101000000001000010000000000101001000100000001000100010000001010010010100000100001000000000111100000010000001000010010000000010001000100000000000100000000001110010011100000000001000000000111100101001000011100010000000001000001010000",
- "INIT_B": "0001001000010000000100000001000000001010000001100000100000000000000100100000000000000000000000000000100000000000000101000001000000000000010100000001000001010000000011000100000000000100010001000000101001000000000110000000000000010110000101000000100001000000",
- "INIT_C": "0000000000000000010010000100100000001100000000000000110000000000000000000000000000000100000000000000010000000100000111000100010000010100010000000000010001000000000100000100000000000010000000000000000001001000000000100000000000001010000000000001001000000000",
- "INIT_D": "0000001000100010000000000000000000010100000000000001010000010000000010000000000000000100000001000000000000000010000010100000000000011110000001000000001000000000000011000001010000000000000000000000010000000000000001000000000000010100000001000000000000000000",
- "INIT_E": "0000000000000000000000100000000000001100000011000000100000000000000000000000000000000110000000000001111000001010000100100000011000001000000100000000001000000000000010000000100000010100000000000001001000100000000000000000000000010100001000000000000000000000",
- "INIT_F": "0001010000100000000101010010010100011001001010000001010000000000000101010000001100001100001001000000100000100000000101000010000000010100000000010000000000110000000111100010010000000010001000000001000100100001000101100000001000000111000000110000000000000100",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1781, 1782, 1783, 416, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 419, 1791, 1792, 1793, 1794 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 798, "x", "x", "x", "x", "x", "x", "x", 800, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.8.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100001011101010010000100000101111100001110010010100000000111011100000011000100100010001000110101001001010111000110100111111101000010011001110110000000101101001100000011010101010010001111110010100001001100001100010011010000000001000001000011000000111100",
- "INIT_1": "0100000000001100000000000011110001000000000111110010001000000110010000000000100000010100000111010100000001001010000111100001111100010000010110000101010001111001011100000011100101000110000010110011010000111101011100000011010100000000010011110001010001011011",
- "INIT_2": "0000000100011001000000010001101100000100001010000001010001110011011000000010010000010000000111110110000101101100001110000011111101000000000111000110000001101110010000000100111001010000010111110010000100111100010000000001110000100001011111000000001100011111",
- "INIT_3": "0010100101101100010010100000111000110000001111000001000000010101000000010010010000111000011011100111100000111100000100000001110000110000001101000011000000100100000000010001111100110101001101010000001100001010000000110010001100100000001110010000000000010011",
- "INIT_4": "0001101100011010001001110011001000010010010101100000001001001010010101010011111000010100000011010011001100110011000010010111101100110001001111110000000100110001000000000011001000110001000110110110000100111110001100010001111000010011001101100000000000111111",
- "INIT_5": "0010100101111011001110010011110100000000011000100101000101100101010110010011101100000001001100010001000100110001000100010000100100000001001111010011100100111001011000010011010101010001000101010000000100011001000000010101010100100001011010110100110100011001",
- "INIT_6": "0010100101101011000001010101110100010001010101110010000100101001000010010001111101110001001110010010000100110111010010010001100101101101011110110100100100011101001000010001101100001101000101010000110100000111011010010011100000111001001110100001100100011001",
- "INIT_7": "0000000001000011000100000101100100001010011110110001100001111111000000000110000100011010011111110000100001111001000010000101010100001000011000010000100001111111000010000111110100001000010111110010100101101110000010000001100101100101001100100000000000010101",
- "INIT_8": "0101101001011110000110010000100001000010010011000110011001101110011010000111100000000001000111000100000100111111010100000111011001011000011011100000110001101111000110100101101100111100011011010001000001001011001100000111110100010000010100010011001001111011",
- "INIT_9": "0010000000000010000010000100111000001010000011100101100001010010000010000101100001001011000000110100000001000101010011000101100100011001000101010000100100011110000001010001000000100110001111100000011000111010010001100111111001010010001111010101000101111100",
- "INIT_A": "0010011000100110001100100101011000100010000111100110111000011010011001000001010000101110010111100010110001011100001011000000111000101000010111000100110001111100010010000111101000001100011111100000100000100000000110100101101000001000010011000111100001110100",
- "INIT_B": "0000000000001100001100000011110000101110001110100010110000111010001100100011100000100000001010000010100000101010001100000011100001100000011000000111010001111100001000000010001001100100011101100110010001100100001101100011111000110110011101100110100000111100",
- "INIT_C": "0000000000011010010011000101111000001000000111100000110000011110010000000001010000000100000100100000010001010010000001000100011000010000000111100100000001001110000000100100111001000010000110100000000001010100010000000001101001001010000110100000100000001110",
- "INIT_D": "0010001000110000000000000001000000010100000100000001001000010010000110000000110000010110000011100001000000010000000100100001001000001110000111000000000000011110000011000001111000010000000011100001010000001010000001000000001000010100000011100000000000000110",
- "INIT_E": "0000000000011100000000100001101000001110000111000000100000011010000000000001101000010010000100000001100000011000000100000001001000011010000110100000010000000110000010000000110000110000001101100000100000011010001010000010100000010000000001000010101000011100",
- "INIT_F": "0001000000011011000001010000111100011001001110110011000100011011000000010000000100001100001011000000100000011000000000100011101000110001001101010000001000100000001101000011010000100010000010000010010100111111000000000000101100000001000111010000000000010110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1795, 1796, 1797, 422, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 425, 1805, 1806, 1807, 1808 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 802, "x", "x", "x", "x", "x", "x", "x", 804, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.9.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010100001011000011011000000010001011100001110000000110000100110010100000011010000000000001000100111000001010010000010000101101001000000000010100100100000101000000000000011100001001000000110100010100000101000010010000111100000100000000010000001100001111000",
- "INIT_1": "0000000000100000001000000101000000000000001100110010000000000010010000000010000000000000010101000100000001000010000011000011111000000000001101000000010001010000010000000111010001000100000010100011010000111100010100000011000000100100000010100001010000010010",
- "INIT_2": "0000000000100000000000000011001001000000000101000010000000010110010000000011010000000000001101100000000000110000000010000111101001000000011100000000000000110000000000000011000000010000011100000110000001110000010000000010000001000000011000000000000000100010",
- "INIT_3": "0000000001101000010000100000101000000000001110000000000000011011000000000110100000100000011110100110000000111000000000000011101000100000001100100000000001111000010000000011111000000100001110000000001100101011011000100000001000100000001000000100000000100010",
- "INIT_4": "0000000000011010000000000011011000000000000101100000000001000010010001000011111000000100000100000100000000110110000010000011111001000000001111100000000000110000000001000011010001000000001100000000000001110000010000000011000000000010001110100000000000110011",
- "INIT_5": "0000000000111100000000000111111001000000001000000000000000010110000010000001100000000000000100100100000000110110000000000001011000000000001101100100000000111010000000000111011001000000000101100000000000010000000000000001010000000000011000100100100000011100",
- "INIT_6": "0000100000101000000001000101011000000000011101000010000000110010000010000111110000010000011100100000000001110100010000000000101000100000011111000100000001111110000000000010000000000100000011100000010001101000000000000111111000000000011111000000000000111010",
- "INIT_7": "0100010001110110010000000101000001001010011110100100010001011010010001000100010001010110010110100100000001001010010000000101111001000100010011000100010001011110010001000100110001000100011011100100000001101100000000000010101001100000011001000000000001100110",
- "INIT_8": "0000010000011010000000000011100000000100011010100000010000101110000010000010100000000100010010000100000001001110000001000001000000000100000110000100010001001100010000100111101001000100011111000100000001111010010000000111111001000000010100000110001001110010",
- "INIT_9": "0000000001010000000001000000100000000010000110100101000001011110000000000101100001000010010111100000100000000001000000000001111101010100010111000100010001011110000001000000000001100100011011100000010000001010000001000000111001010100010110110000010000011000",
- "INIT_A": "0000010001110110000101000111011000000100001011100000010000111010010001000110010000001010011011100000000001101100000000000010111000001100011011000000010000101100000000000010101000001100001011100000000001111100010100000011111001000100001110000000010000011000",
- "INIT_B": "0010010000010100000101000011010000000110001110100000010000111000000000000011000000000000001011000000000000111000000000000011110001000000011101000001010000111000000000000111010000000100001101000000000000100100000000000011110000000000011101100000010000111100",
- "INIT_C": "0010000000000000011011000100100000100100000011000010000000001100011000000000100000100000000011000010000000001100011000000100010000100100000101000010010000000100011000000000000001100100000000100110000000001000001000000000001001100000000010100010000000011010",
- "INIT_D": "0000011000001100001000000000010000100000000101000010001000011100001000000001100000100000000111100010000000001000001000000001101000100100000111100010000000010010001001000001111000100000000100000010000000010100001000000000010000100000000101000010010000000100",
- "INIT_E": "0000000000000000000001100000100000001110000011000000010000001110000010100000010000000110000111000000100000011110000000100001100000000000000110100001001000001100000011000000100000000110000110000000001000111000000000000010100000100100001111000000000000001010",
- "INIT_F": "0010000000110101001101000010010100001000001111010010010000011101000100000000010100100100000011000000000000011010000000100011110000000100000100010011001000000000000001000001011000100010001001000010011000010011000000100000010000000100000000110000011000001010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1809, 1810, 1811, 428, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 431, 1819, 1820, 1821, 1822 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 806, "x", "x", "x", "x", "x", "x", "x", 808, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\canary[0:0]": {
- "hide_name": 1,
- "bits": [ 975 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:25"
- }
- },
- "$abc$13728$n0": {
- "hide_name": 1,
- "bits": [ 956 ],
- "attributes": {
- }
- },
- "$abc$13728$n1": {
- "hide_name": 1,
- "bits": [ 957 ],
- "attributes": {
- }
- },
- "$abc$13728$n10": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$13728$n1000": {
- "hide_name": 1,
- "bits": [ 721 ],
- "attributes": {
- }
- },
- "$abc$13728$n1000_1": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$13728$n1001": {
- "hide_name": 1,
- "bits": [ 722 ],
- "attributes": {
- }
- },
- "$abc$13728$n1001_1": {
- "hide_name": 1,
- "bits": [ 249 ],
- "attributes": {
- }
- },
- "$abc$13728$n1002": {
- "hide_name": 1,
- "bits": [ 723 ],
- "attributes": {
- }
- },
- "$abc$13728$n1002_1": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$13728$n1003": {
- "hide_name": 1,
- "bits": [ 724 ],
- "attributes": {
- }
- },
- "$abc$13728$n1004": {
- "hide_name": 1,
- "bits": [ 725 ],
- "attributes": {
- }
- },
- "$abc$13728$n1005": {
- "hide_name": 1,
- "bits": [ 726 ],
- "attributes": {
- }
- },
- "$abc$13728$n1006": {
- "hide_name": 1,
- "bits": [ 727 ],
- "attributes": {
- }
- },
- "$abc$13728$n1007": {
- "hide_name": 1,
- "bits": [ 728 ],
- "attributes": {
- }
- },
- "$abc$13728$n1008": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$13728$n1009": {
- "hide_name": 1,
- "bits": [ 730 ],
- "attributes": {
- }
- },
- "$abc$13728$n1010": {
- "hide_name": 1,
- "bits": [ 731 ],
- "attributes": {
- }
- },
- "$abc$13728$n1010_1": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$13728$n1011": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$13728$n1011_1": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$13728$n1012": {
- "hide_name": 1,
- "bits": [ 733 ],
- "attributes": {
- }
- },
- "$abc$13728$n1012_1": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$13728$n1013": {
- "hide_name": 1,
- "bits": [ 734 ],
- "attributes": {
- }
- },
- "$abc$13728$n1013_1": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$13728$n1014": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$13728$n1015": {
- "hide_name": 1,
- "bits": [ 274 ],
- "attributes": {
- }
- },
- "$abc$13728$n1016": {
- "hide_name": 1,
- "bits": [ 276 ],
- "attributes": {
- }
- },
- "$abc$13728$n1017": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$13728$n1018": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$13728$n1019": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$13728$n1020": {
- "hide_name": 1,
- "bits": [ 272 ],
- "attributes": {
- }
- },
- "$abc$13728$n1021": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$13728$n1022": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$13728$n1023": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$13728$n1024": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$13728$n1025": {
- "hide_name": 1,
- "bits": [ 284 ],
- "attributes": {
- }
- },
- "$abc$13728$n1026": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$13728$n1029": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$13728$n1031": {
- "hide_name": 1,
- "bits": [ 736 ],
- "attributes": {
- }
- },
- "$abc$13728$n1031_1": {
- "hide_name": 1,
- "bits": [ 288 ],
- "attributes": {
- }
- },
- "$abc$13728$n1032": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$13728$n1033": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$13728$n1034": {
- "hide_name": 1,
- "bits": [ 292 ],
- "attributes": {
- }
- },
- "$abc$13728$n1035": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$13728$n1036": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$13728$n1037": {
- "hide_name": 1,
- "bits": [ 298 ],
- "attributes": {
- }
- },
- "$abc$13728$n1038": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$13728$n1039": {
- "hide_name": 1,
- "bits": [ 300 ],
- "attributes": {
- }
- },
- "$abc$13728$n1040": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$13728$n1048": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$13728$n1052": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$13728$n1054": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$13728$n1055": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$13728$n1056": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$13728$n1057": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$13728$n1058": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$13728$n1059": {
- "hide_name": 1,
- "bits": [ 327 ],
- "attributes": {
- }
- },
- "$abc$13728$n1061": {
- "hide_name": 1,
- "bits": [ 345 ],
- "attributes": {
- }
- },
- "$abc$13728$n1064": {
- "hide_name": 1,
- "bits": [ 350 ],
- "attributes": {
- }
- },
- "$abc$13728$n1065": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$13728$n1065_1": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$13728$n1066": {
- "hide_name": 1,
- "bits": [ 349 ],
- "attributes": {
- }
- },
- "$abc$13728$n1068": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$13728$n1069": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$13728$n1070": {
- "hide_name": 1,
- "bits": [ 30 ],
- "attributes": {
- }
- },
- "$abc$13728$n1070_1": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$13728$n1071": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$13728$n1072": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$13728$n1072_1": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$13728$n1073": {
- "hide_name": 1,
- "bits": [ 360 ],
- "attributes": {
- }
- },
- "$abc$13728$n1074": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$13728$n1075": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$13728$n1076": {
- "hide_name": 1,
- "bits": [ 366 ],
- "attributes": {
- }
- },
- "$abc$13728$n1078": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$13728$n1079": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$13728$n1083_1": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$13728$n1086": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$13728$n1116": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$13728$n1117": {
- "hide_name": 1,
- "bits": [ 474 ],
- "attributes": {
- }
- },
- "$abc$13728$n1118": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$13728$n1119": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$13728$n1120": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$13728$n1121": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$13728$n1129": {
- "hide_name": 1,
- "bits": [ 484 ],
- "attributes": {
- }
- },
- "$abc$13728$n1143_1": {
- "hide_name": 1,
- "bits": [ 487 ],
- "attributes": {
- }
- },
- "$abc$13728$n1144": {
- "hide_name": 1,
- "bits": [ 740 ],
- "attributes": {
- }
- },
- "$abc$13728$n1144_1": {
- "hide_name": 1,
- "bits": [ 490 ],
- "attributes": {
- }
- },
- "$abc$13728$n1146": {
- "hide_name": 1,
- "bits": [ 743 ],
- "attributes": {
- }
- },
- "$abc$13728$n1146_1": {
- "hide_name": 1,
- "bits": [ 493 ],
- "attributes": {
- }
- },
- "$abc$13728$n1147_1": {
- "hide_name": 1,
- "bits": [ 496 ],
- "attributes": {
- }
- },
- "$abc$13728$n1148": {
- "hide_name": 1,
- "bits": [ 745 ],
- "attributes": {
- }
- },
- "$abc$13728$n1150": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$13728$n1152": {
- "hide_name": 1,
- "bits": [ 755 ],
- "attributes": {
- }
- },
- "$abc$13728$n1154": {
- "hide_name": 1,
- "bits": [ 757 ],
- "attributes": {
- }
- },
- "$abc$13728$n1154_1": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$13728$n1155_1": {
- "hide_name": 1,
- "bits": [ 502 ],
- "attributes": {
- }
- },
- "$abc$13728$n1158": {
- "hide_name": 1,
- "bits": [ 505 ],
- "attributes": {
- }
- },
- "$abc$13728$n1161_1": {
- "hide_name": 1,
- "bits": [ 508 ],
- "attributes": {
- }
- },
- "$abc$13728$n1162_1": {
- "hide_name": 1,
- "bits": [ 512 ],
- "attributes": {
- }
- },
- "$abc$13728$n1163": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$13728$n1164": {
- "hide_name": 1,
- "bits": [ 472 ],
- "attributes": {
- }
- },
- "$abc$13728$n1165": {
- "hide_name": 1,
- "bits": [ 518 ],
- "attributes": {
- }
- },
- "$abc$13728$n1166": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$13728$n1168": {
- "hide_name": 1,
- "bits": [ 522 ],
- "attributes": {
- }
- },
- "$abc$13728$n1169": {
- "hide_name": 1,
- "bits": [ 520 ],
- "attributes": {
- }
- },
- "$abc$13728$n1170": {
- "hide_name": 1,
- "bits": [ 526 ],
- "attributes": {
- }
- },
- "$abc$13728$n1171": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$13728$n1172_1": {
- "hide_name": 1,
- "bits": [ 517 ],
- "attributes": {
- }
- },
- "$abc$13728$n1173": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$13728$n1174": {
- "hide_name": 1,
- "bits": [ 760 ],
- "attributes": {
- }
- },
- "$abc$13728$n1174_1": {
- "hide_name": 1,
- "bits": [ 530 ],
- "attributes": {
- }
- },
- "$abc$13728$n1175": {
- "hide_name": 1,
- "bits": [ 761 ],
- "attributes": {
- }
- },
- "$abc$13728$n1175_1": {
- "hide_name": 1,
- "bits": [ 531 ],
- "attributes": {
- }
- },
- "$abc$13728$n1176": {
- "hide_name": 1,
- "bits": [ 533 ],
- "attributes": {
- }
- },
- "$abc$13728$n1177": {
- "hide_name": 1,
- "bits": [ 762 ],
- "attributes": {
- }
- },
- "$abc$13728$n1177_1": {
- "hide_name": 1,
- "bits": [ 535 ],
- "attributes": {
- }
- },
- "$abc$13728$n1178": {
- "hide_name": 1,
- "bits": [ 763 ],
- "attributes": {
- }
- },
- "$abc$13728$n1178_1": {
- "hide_name": 1,
- "bits": [ 541 ],
- "attributes": {
- }
- },
- "$abc$13728$n1179": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$13728$n1179_1": {
- "hide_name": 1,
- "bits": [ 539 ],
- "attributes": {
- }
- },
- "$abc$13728$n1180": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$13728$n1180_1": {
- "hide_name": 1,
- "bits": [ 540 ],
- "attributes": {
- }
- },
- "$abc$13728$n1181": {
- "hide_name": 1,
- "bits": [ 766 ],
- "attributes": {
- }
- },
- "$abc$13728$n1181_1": {
- "hide_name": 1,
- "bits": [ 534 ],
- "attributes": {
- }
- },
- "$abc$13728$n1182": {
- "hide_name": 1,
- "bits": [ 767 ],
- "attributes": {
- }
- },
- "$abc$13728$n1182_1": {
- "hide_name": 1,
- "bits": [ 537 ],
- "attributes": {
- }
- },
- "$abc$13728$n1183": {
- "hide_name": 1,
- "bits": [ 768 ],
- "attributes": {
- }
- },
- "$abc$13728$n1183_1": {
- "hide_name": 1,
- "bits": [ 532 ],
- "attributes": {
- }
- },
- "$abc$13728$n1185": {
- "hide_name": 1,
- "bits": [ 1032 ],
- "attributes": {
- }
- },
- "$abc$13728$n1185_1": {
- "hide_name": 1,
- "bits": [ 549 ],
- "attributes": {
- }
- },
- "$abc$13728$n1186": {
- "hide_name": 1,
- "bits": [ 550 ],
- "attributes": {
- }
- },
- "$abc$13728$n1187": {
- "hide_name": 1,
- "bits": [ 548 ],
- "attributes": {
- }
- },
- "$abc$13728$n1188": {
- "hide_name": 1,
- "bits": [ 552 ],
- "attributes": {
- }
- },
- "$abc$13728$n1191": {
- "hide_name": 1,
- "bits": [ 555 ],
- "attributes": {
- }
- },
- "$abc$13728$n12": {
- "hide_name": 1,
- "bits": [ 10 ],
- "attributes": {
- }
- },
- "$abc$13728$n1201": {
- "hide_name": 1,
- "bits": [ 561 ],
- "attributes": {
- }
- },
- "$abc$13728$n1211": {
- "hide_name": 1,
- "bits": [ 566 ],
- "attributes": {
- }
- },
- "$abc$13728$n1221": {
- "hide_name": 1,
- "bits": [ 571 ],
- "attributes": {
- }
- },
- "$abc$13728$n1231": {
- "hide_name": 1,
- "bits": [ 576 ],
- "attributes": {
- }
- },
- "$abc$13728$n1232": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$13728$n1233": {
- "hide_name": 1,
- "bits": [ 582 ],
- "attributes": {
- }
- },
- "$abc$13728$n1234": {
- "hide_name": 1,
- "bits": [ 580 ],
- "attributes": {
- }
- },
- "$abc$13728$n1235": {
- "hide_name": 1,
- "bits": [ 581 ],
- "attributes": {
- }
- },
- "$abc$13728$n1241": {
- "hide_name": 1,
- "bits": [ 588 ],
- "attributes": {
- }
- },
- "$abc$13728$n1251": {
- "hide_name": 1,
- "bits": [ 593 ],
- "attributes": {
- }
- },
- "$abc$13728$n1261": {
- "hide_name": 1,
- "bits": [ 598 ],
- "attributes": {
- }
- },
- "$abc$13728$n1262": {
- "hide_name": 1,
- "bits": [ 601 ],
- "attributes": {
- }
- },
- "$abc$13728$n1263": {
- "hide_name": 1,
- "bits": [ 604 ],
- "attributes": {
- }
- },
- "$abc$13728$n1264": {
- "hide_name": 1,
- "bits": [ 602 ],
- "attributes": {
- }
- },
- "$abc$13728$n1265": {
- "hide_name": 1,
- "bits": [ 603 ],
- "attributes": {
- }
- },
- "$abc$13728$n1277": {
- "hide_name": 1,
- "bits": [ 614 ],
- "attributes": {
- }
- },
- "$abc$13728$n1278": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$13728$n1283": {
- "hide_name": 1,
- "bits": [ 616 ],
- "attributes": {
- }
- },
- "$abc$13728$n1284": {
- "hide_name": 1,
- "bits": [ 609 ],
- "attributes": {
- }
- },
- "$abc$13728$n1285": {
- "hide_name": 1,
- "bits": [ 611 ],
- "attributes": {
- }
- },
- "$abc$13728$n1297_1": {
- "hide_name": 1,
- "bits": [ 618 ],
- "attributes": {
- }
- },
- "$abc$13728$n1309_1": {
- "hide_name": 1,
- "bits": [ 622 ],
- "attributes": {
- }
- },
- "$abc$13728$n1321_1": {
- "hide_name": 1,
- "bits": [ 626 ],
- "attributes": {
- }
- },
- "$abc$13728$n1323_1": {
- "hide_name": 1,
- "bits": [ 631 ],
- "attributes": {
- }
- },
- "$abc$13728$n1328": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$13728$n1329_1": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$13728$n1330_1": {
- "hide_name": 1,
- "bits": [ 638 ],
- "attributes": {
- }
- },
- "$abc$13728$n1331": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$13728$n1332_1": {
- "hide_name": 1,
- "bits": [ 637 ],
- "attributes": {
- }
- },
- "$abc$13728$n1333_1": {
- "hide_name": 1,
- "bits": [ 632 ],
- "attributes": {
- }
- },
- "$abc$13728$n1345": {
- "hide_name": 1,
- "bits": [ 644 ],
- "attributes": {
- }
- },
- "$abc$13728$n1357": {
- "hide_name": 1,
- "bits": [ 648 ],
- "attributes": {
- }
- },
- "$abc$13728$n1359": {
- "hide_name": 1,
- "bits": [ 653 ],
- "attributes": {
- }
- },
- "$abc$13728$n1364": {
- "hide_name": 1,
- "bits": [ 652 ],
- "attributes": {
- }
- },
- "$abc$13728$n1365": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$13728$n1366": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$13728$n1367": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$13728$n1368": {
- "hide_name": 1,
- "bits": [ 659 ],
- "attributes": {
- }
- },
- "$abc$13728$n1369": {
- "hide_name": 1,
- "bits": [ 654 ],
- "attributes": {
- }
- },
- "$abc$13728$n1387_1": {
- "hide_name": 1,
- "bits": [ 703 ],
- "attributes": {
- }
- },
- "$abc$13728$n1389_1": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$13728$n1391_1": {
- "hide_name": 1,
- "bits": [ 707 ],
- "attributes": {
- }
- },
- "$abc$13728$n1393_1": {
- "hide_name": 1,
- "bits": [ 709 ],
- "attributes": {
- }
- },
- "$abc$13728$n1395_1": {
- "hide_name": 1,
- "bits": [ 711 ],
- "attributes": {
- }
- },
- "$abc$13728$n1397_1": {
- "hide_name": 1,
- "bits": [ 713 ],
- "attributes": {
- }
- },
- "$abc$13728$n1399_1": {
- "hide_name": 1,
- "bits": [ 715 ],
- "attributes": {
- }
- },
- "$abc$13728$n1401_1": {
- "hide_name": 1,
- "bits": [ 717 ],
- "attributes": {
- }
- },
- "$abc$13728$n1419_1": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$13728$n1423_1": {
- "hide_name": 1,
- "bits": [ 742 ],
- "attributes": {
- }
- },
- "$abc$13728$n1427_1": {
- "hide_name": 1,
- "bits": [ 749 ],
- "attributes": {
- }
- },
- "$abc$13728$n1431_1": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$13728$n1432_1": {
- "hide_name": 1,
- "bits": [ 751 ],
- "attributes": {
- }
- },
- "$abc$13728$n1438_1": {
- "hide_name": 1,
- "bits": [ 754 ],
- "attributes": {
- }
- },
- "$abc$13728$n1441_1": {
- "hide_name": 1,
- "bits": [ 758 ],
- "attributes": {
- }
- },
- "$abc$13728$n1491_1": {
- "hide_name": 1,
- "bits": [ 856 ],
- "attributes": {
- }
- },
- "$abc$13728$n1493": {
- "hide_name": 1,
- "bits": [ 862 ],
- "attributes": {
- }
- },
- "$abc$13728$n1495_1": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$13728$n1497": {
- "hide_name": 1,
- "bits": [ 866 ],
- "attributes": {
- }
- },
- "$abc$13728$n1499": {
- "hide_name": 1,
- "bits": [ 868 ],
- "attributes": {
- }
- },
- "$abc$13728$n15": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$13728$n1501": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$13728$n1503": {
- "hide_name": 1,
- "bits": [ 872 ],
- "attributes": {
- }
- },
- "$abc$13728$n1505": {
- "hide_name": 1,
- "bits": [ 874 ],
- "attributes": {
- }
- },
- "$abc$13728$n1507": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$13728$n1509": {
- "hide_name": 1,
- "bits": [ 878 ],
- "attributes": {
- }
- },
- "$abc$13728$n1511": {
- "hide_name": 1,
- "bits": [ 880 ],
- "attributes": {
- }
- },
- "$abc$13728$n1513": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$13728$n1515": {
- "hide_name": 1,
- "bits": [ 884 ],
- "attributes": {
- }
- },
- "$abc$13728$n1517": {
- "hide_name": 1,
- "bits": [ 886 ],
- "attributes": {
- }
- },
- "$abc$13728$n1519_1": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$13728$n1521_1": {
- "hide_name": 1,
- "bits": [ 890 ],
- "attributes": {
- }
- },
- "$abc$13728$n1539_1": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$13728$n1540_1": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$13728$n1541": {
- "hide_name": 1,
- "bits": [ 908 ],
- "attributes": {
- }
- },
- "$abc$13728$n1543": {
- "hide_name": 1,
- "bits": [ 912 ],
- "attributes": {
- }
- },
- "$abc$13728$n1546": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$13728$n1547": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$13728$n1561": {
- "hide_name": 1,
- "bits": [ 937 ],
- "attributes": {
- }
- },
- "$abc$13728$n1575": {
- "hide_name": 1,
- "bits": [ 954 ],
- "attributes": {
- }
- },
- "$abc$13728$n1579": {
- "hide_name": 1,
- "bits": [ 1246 ],
- "attributes": {
- }
- },
- "$abc$13728$n1582": {
- "hide_name": 1,
- "bits": [ 77 ],
- "attributes": {
- }
- },
- "$abc$13728$n1597": {
- "hide_name": 1,
- "bits": [ 976 ],
- "attributes": {
- }
- },
- "$abc$13728$n1598": {
- "hide_name": 1,
- "bits": [ 977 ],
- "attributes": {
- }
- },
- "$abc$13728$n1599": {
- "hide_name": 1,
- "bits": [ 978 ],
- "attributes": {
- }
- },
- "$abc$13728$n1601": {
- "hide_name": 1,
- "bits": [ 979 ],
- "attributes": {
- }
- },
- "$abc$13728$n1602": {
- "hide_name": 1,
- "bits": [ 980 ],
- "attributes": {
- }
- },
- "$abc$13728$n1605": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$13728$n1605_1": {
- "hide_name": 1,
- "bits": [ 981 ],
- "attributes": {
- }
- },
- "$abc$13728$n1606": {
- "hide_name": 1,
- "bits": [ 982 ],
- "attributes": {
- }
- },
- "$abc$13728$n1607": {
- "hide_name": 1,
- "bits": [ 983 ],
- "attributes": {
- }
- },
- "$abc$13728$n1608": {
- "hide_name": 1,
- "bits": [ 984 ],
- "attributes": {
- }
- },
- "$abc$13728$n1609": {
- "hide_name": 1,
- "bits": [ 985 ],
- "attributes": {
- }
- },
- "$abc$13728$n1610": {
- "hide_name": 1,
- "bits": [ 986 ],
- "attributes": {
- }
- },
- "$abc$13728$n1611": {
- "hide_name": 1,
- "bits": [ 987 ],
- "attributes": {
- }
- },
- "$abc$13728$n1613": {
- "hide_name": 1,
- "bits": [ 80 ],
- "attributes": {
- }
- },
- "$abc$13728$n1613_1": {
- "hide_name": 1,
- "bits": [ 988 ],
- "attributes": {
- }
- },
- "$abc$13728$n1614": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$13728$n1614_1": {
- "hide_name": 1,
- "bits": [ 989 ],
- "attributes": {
- }
- },
- "$abc$13728$n1615_1": {
- "hide_name": 1,
- "bits": [ 990 ],
- "attributes": {
- }
- },
- "$abc$13728$n1616_1": {
- "hide_name": 1,
- "bits": [ 991 ],
- "attributes": {
- }
- },
- "$abc$13728$n1619_1": {
- "hide_name": 1,
- "bits": [ 993 ],
- "attributes": {
- }
- },
- "$abc$13728$n1622_1": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$13728$n1623_1": {
- "hide_name": 1,
- "bits": [ 999 ],
- "attributes": {
- }
- },
- "$abc$13728$n1624_1": {
- "hide_name": 1,
- "bits": [ 1002 ],
- "attributes": {
- }
- },
- "$abc$13728$n1625_1": {
- "hide_name": 1,
- "bits": [ 994 ],
- "attributes": {
- }
- },
- "$abc$13728$n1626_1": {
- "hide_name": 1,
- "bits": [ 1003 ],
- "attributes": {
- }
- },
- "$abc$13728$n1627_1": {
- "hide_name": 1,
- "bits": [ 1004 ],
- "attributes": {
- }
- },
- "$abc$13728$n1628_1": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$13728$n1629_1": {
- "hide_name": 1,
- "bits": [ 1006 ],
- "attributes": {
- }
- },
- "$abc$13728$n1632_1": {
- "hide_name": 1,
- "bits": [ 1008 ],
- "attributes": {
- }
- },
- "$abc$13728$n1633_1": {
- "hide_name": 1,
- "bits": [ 1010 ],
- "attributes": {
- }
- },
- "$abc$13728$n1634_1": {
- "hide_name": 1,
- "bits": [ 1011 ],
- "attributes": {
- }
- },
- "$abc$13728$n1636_1": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$13728$n1637": {
- "hide_name": 1,
- "bits": [ 1015 ],
- "attributes": {
- }
- },
- "$abc$13728$n1638": {
- "hide_name": 1,
- "bits": [ 1018 ],
- "attributes": {
- }
- },
- "$abc$13728$n1639": {
- "hide_name": 1,
- "bits": [ 1019 ],
- "attributes": {
- }
- },
- "$abc$13728$n1642": {
- "hide_name": 1,
- "bits": [ 1020 ],
- "attributes": {
- }
- },
- "$abc$13728$n1643": {
- "hide_name": 1,
- "bits": [ 1007 ],
- "attributes": {
- }
- },
- "$abc$13728$n1644": {
- "hide_name": 1,
- "bits": [ 1021 ],
- "attributes": {
- }
- },
- "$abc$13728$n1645": {
- "hide_name": 1,
- "bits": [ 1009 ],
- "attributes": {
- }
- },
- "$abc$13728$n1646_1": {
- "hide_name": 1,
- "bits": [ 1022 ],
- "attributes": {
- }
- },
- "$abc$13728$n1647": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$13728$n1649": {
- "hide_name": 1,
- "bits": [ 1025 ],
- "attributes": {
- }
- },
- "$abc$13728$n1653": {
- "hide_name": 1,
- "bits": [ 1026 ],
- "attributes": {
- }
- },
- "$abc$13728$n1654": {
- "hide_name": 1,
- "bits": [ 1027 ],
- "attributes": {
- }
- },
- "$abc$13728$n1655_1": {
- "hide_name": 1,
- "bits": [ 1030 ],
- "attributes": {
- }
- },
- "$abc$13728$n1657": {
- "hide_name": 1,
- "bits": [ 1035 ],
- "attributes": {
- }
- },
- "$abc$13728$n1658": {
- "hide_name": 1,
- "bits": [ 1028 ],
- "attributes": {
- }
- },
- "$abc$13728$n1659": {
- "hide_name": 1,
- "bits": [ 1040 ],
- "attributes": {
- }
- },
- "$abc$13728$n1660": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$13728$n1661": {
- "hide_name": 1,
- "bits": [ 1045 ],
- "attributes": {
- }
- },
- "$abc$13728$n1662": {
- "hide_name": 1,
- "bits": [ 1048 ],
- "attributes": {
- }
- },
- "$abc$13728$n1663": {
- "hide_name": 1,
- "bits": [ 1049 ],
- "attributes": {
- }
- },
- "$abc$13728$n1664": {
- "hide_name": 1,
- "bits": [ 1051 ],
- "attributes": {
- }
- },
- "$abc$13728$n1665": {
- "hide_name": 1,
- "bits": [ 1052 ],
- "attributes": {
- }
- },
- "$abc$13728$n1666": {
- "hide_name": 1,
- "bits": [ 1031 ],
- "attributes": {
- }
- },
- "$abc$13728$n1667": {
- "hide_name": 1,
- "bits": [ 1055 ],
- "attributes": {
- }
- },
- "$abc$13728$n1668": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$13728$n1669": {
- "hide_name": 1,
- "bits": [ 1058 ],
- "attributes": {
- }
- },
- "$abc$13728$n1670_1": {
- "hide_name": 1,
- "bits": [ 1060 ],
- "attributes": {
- }
- },
- "$abc$13728$n1671_1": {
- "hide_name": 1,
- "bits": [ 525 ],
- "attributes": {
- }
- },
- "$abc$13728$n1672_1": {
- "hide_name": 1,
- "bits": [ 1063 ],
- "attributes": {
- }
- },
- "$abc$13728$n1673_1": {
- "hide_name": 1,
- "bits": [ 1066 ],
- "attributes": {
- }
- },
- "$abc$13728$n1674_1": {
- "hide_name": 1,
- "bits": [ 558 ],
- "attributes": {
- }
- },
- "$abc$13728$n1675_1": {
- "hide_name": 1,
- "bits": [ 1069 ],
- "attributes": {
- }
- },
- "$abc$13728$n1676_1": {
- "hide_name": 1,
- "bits": [ 1072 ],
- "attributes": {
- }
- },
- "$abc$13728$n1677_1": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$13728$n1678_1": {
- "hide_name": 1,
- "bits": [ 1075 ],
- "attributes": {
- }
- },
- "$abc$13728$n1679_1": {
- "hide_name": 1,
- "bits": [ 1078 ],
- "attributes": {
- }
- },
- "$abc$13728$n1680_1": {
- "hide_name": 1,
- "bits": [ 564 ],
- "attributes": {
- }
- },
- "$abc$13728$n1681_1": {
- "hide_name": 1,
- "bits": [ 1081 ],
- "attributes": {
- }
- },
- "$abc$13728$n1682_1": {
- "hide_name": 1,
- "bits": [ 1084 ],
- "attributes": {
- }
- },
- "$abc$13728$n1683_1": {
- "hide_name": 1,
- "bits": [ 563 ],
- "attributes": {
- }
- },
- "$abc$13728$n1684_1": {
- "hide_name": 1,
- "bits": [ 1087 ],
- "attributes": {
- }
- },
- "$abc$13728$n1685_1": {
- "hide_name": 1,
- "bits": [ 1090 ],
- "attributes": {
- }
- },
- "$abc$13728$n1686_1": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$13728$n1687_1": {
- "hide_name": 1,
- "bits": [ 1093 ],
- "attributes": {
- }
- },
- "$abc$13728$n1688_1": {
- "hide_name": 1,
- "bits": [ 1096 ],
- "attributes": {
- }
- },
- "$abc$13728$n1689_1": {
- "hide_name": 1,
- "bits": [ 568 ],
- "attributes": {
- }
- },
- "$abc$13728$n1690_1": {
- "hide_name": 1,
- "bits": [ 1099 ],
- "attributes": {
- }
- },
- "$abc$13728$n1691_1": {
- "hide_name": 1,
- "bits": [ 1102 ],
- "attributes": {
- }
- },
- "$abc$13728$n1692_1": {
- "hide_name": 1,
- "bits": [ 574 ],
- "attributes": {
- }
- },
- "$abc$13728$n1693_1": {
- "hide_name": 1,
- "bits": [ 1105 ],
- "attributes": {
- }
- },
- "$abc$13728$n1694_1": {
- "hide_name": 1,
- "bits": [ 1108 ],
- "attributes": {
- }
- },
- "$abc$13728$n1695_1": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$13728$n1696_1": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$13728$n1697_1": {
- "hide_name": 1,
- "bits": [ 1114 ],
- "attributes": {
- }
- },
- "$abc$13728$n1698_1": {
- "hide_name": 1,
- "bits": [ 578 ],
- "attributes": {
- }
- },
- "$abc$13728$n1699_1": {
- "hide_name": 1,
- "bits": [ 1117 ],
- "attributes": {
- }
- },
- "$abc$13728$n17": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$13728$n1700_1": {
- "hide_name": 1,
- "bits": [ 1120 ],
- "attributes": {
- }
- },
- "$abc$13728$n1701_1": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$13728$n1702_1": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$13728$n1703_1": {
- "hide_name": 1,
- "bits": [ 1126 ],
- "attributes": {
- }
- },
- "$abc$13728$n1704": {
- "hide_name": 1,
- "bits": [ 971 ],
- "attributes": {
- }
- },
- "$abc$13728$n1704_1": {
- "hide_name": 1,
- "bits": [ 590 ],
- "attributes": {
- }
- },
- "$abc$13728$n1705_1": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$13728$n1706": {
- "hide_name": 1,
- "bits": [ 972 ],
- "attributes": {
- }
- },
- "$abc$13728$n1706_1": {
- "hide_name": 1,
- "bits": [ 1132 ],
- "attributes": {
- }
- },
- "$abc$13728$n1707": {
- "hide_name": 1,
- "bits": [ 974 ],
- "attributes": {
- }
- },
- "$abc$13728$n1707_1": {
- "hide_name": 1,
- "bits": [ 596 ],
- "attributes": {
- }
- },
- "$abc$13728$n1708_1": {
- "hide_name": 1,
- "bits": [ 1135 ],
- "attributes": {
- }
- },
- "$abc$13728$n1709_1": {
- "hide_name": 1,
- "bits": [ 1138 ],
- "attributes": {
- }
- },
- "$abc$13728$n1710": {
- "hide_name": 1,
- "bits": [ 595 ],
- "attributes": {
- }
- },
- "$abc$13728$n1711_1": {
- "hide_name": 1,
- "bits": [ 1141 ],
- "attributes": {
- }
- },
- "$abc$13728$n1712": {
- "hide_name": 1,
- "bits": [ 1144 ],
- "attributes": {
- }
- },
- "$abc$13728$n1713_1": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$13728$n1714": {
- "hide_name": 1,
- "bits": [ 1147 ],
- "attributes": {
- }
- },
- "$abc$13728$n1715_1": {
- "hide_name": 1,
- "bits": [ 1150 ],
- "attributes": {
- }
- },
- "$abc$13728$n1716": {
- "hide_name": 1,
- "bits": [ 1151 ],
- "attributes": {
- }
- },
- "$abc$13728$n1717_1": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$13728$n1718": {
- "hide_name": 1,
- "bits": [ 610 ],
- "attributes": {
- }
- },
- "$abc$13728$n1719_1": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$13728$n1720": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$13728$n1721_1": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$13728$n1722": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$13728$n1723_1": {
- "hide_name": 1,
- "bits": [ 1163 ],
- "attributes": {
- }
- },
- "$abc$13728$n1724": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$13728$n1725_1": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$13728$n1726": {
- "hide_name": 1,
- "bits": [ 619 ],
- "attributes": {
- }
- },
- "$abc$13728$n1727_1": {
- "hide_name": 1,
- "bits": [ 1168 ],
- "attributes": {
- }
- },
- "$abc$13728$n1728": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$13728$n1729_1": {
- "hide_name": 1,
- "bits": [ 670 ],
- "attributes": {
- }
- },
- "$abc$13728$n1730": {
- "hide_name": 1,
- "bits": [ 1174 ],
- "attributes": {
- }
- },
- "$abc$13728$n1731_1": {
- "hide_name": 1,
- "bits": [ 1177 ],
- "attributes": {
- }
- },
- "$abc$13728$n1732": {
- "hide_name": 1,
- "bits": [ 1178 ],
- "attributes": {
- }
- },
- "$abc$13728$n1733_1": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$13728$n1734": {
- "hide_name": 1,
- "bits": [ 623 ],
- "attributes": {
- }
- },
- "$abc$13728$n1735_1": {
- "hide_name": 1,
- "bits": [ 1182 ],
- "attributes": {
- }
- },
- "$abc$13728$n1736": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$13728$n1737_1": {
- "hide_name": 1,
- "bits": [ 673 ],
- "attributes": {
- }
- },
- "$abc$13728$n1738": {
- "hide_name": 1,
- "bits": [ 1188 ],
- "attributes": {
- }
- },
- "$abc$13728$n1739_1": {
- "hide_name": 1,
- "bits": [ 1191 ],
- "attributes": {
- }
- },
- "$abc$13728$n1740": {
- "hide_name": 1,
- "bits": [ 1192 ],
- "attributes": {
- }
- },
- "$abc$13728$n1741_1": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$13728$n1742": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$13728$n1743_1": {
- "hide_name": 1,
- "bits": [ 1196 ],
- "attributes": {
- }
- },
- "$abc$13728$n1744": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$13728$n1745_1": {
- "hide_name": 1,
- "bits": [ 676 ],
- "attributes": {
- }
- },
- "$abc$13728$n1746": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$13728$n1747_1": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$13728$n1748": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$13728$n1749_1": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$13728$n1750": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$13728$n1751_1": {
- "hide_name": 1,
- "bits": [ 1212 ],
- "attributes": {
- }
- },
- "$abc$13728$n1752": {
- "hide_name": 1,
- "bits": [ 1213 ],
- "attributes": {
- }
- },
- "$abc$13728$n1753_1": {
- "hide_name": 1,
- "bits": [ 645 ],
- "attributes": {
- }
- },
- "$abc$13728$n1754": {
- "hide_name": 1,
- "bits": [ 1216 ],
- "attributes": {
- }
- },
- "$abc$13728$n1755_1": {
- "hide_name": 1,
- "bits": [ 1219 ],
- "attributes": {
- }
- },
- "$abc$13728$n1756": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$13728$n1757_1": {
- "hide_name": 1,
- "bits": [ 1222 ],
- "attributes": {
- }
- },
- "$abc$13728$n1758": {
- "hide_name": 1,
- "bits": [ 1225 ],
- "attributes": {
- }
- },
- "$abc$13728$n1759_1": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$13728$n1760": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$13728$n1761_1": {
- "hide_name": 1,
- "bits": [ 649 ],
- "attributes": {
- }
- },
- "$abc$13728$n1762": {
- "hide_name": 1,
- "bits": [ 1230 ],
- "attributes": {
- }
- },
- "$abc$13728$n1763_1": {
- "hide_name": 1,
- "bits": [ 1233 ],
- "attributes": {
- }
- },
- "$abc$13728$n1764": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$13728$n1765_1": {
- "hide_name": 1,
- "bits": [ 1236 ],
- "attributes": {
- }
- },
- "$abc$13728$n1766": {
- "hide_name": 1,
- "bits": [ 1239 ],
- "attributes": {
- }
- },
- "$abc$13728$n1767_1": {
- "hide_name": 1,
- "bits": [ 656 ],
- "attributes": {
- }
- },
- "$abc$13728$n1768": {
- "hide_name": 1,
- "bits": [ 1240 ],
- "attributes": {
- }
- },
- "$abc$13728$n1769_1": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$13728$n1770": {
- "hide_name": 1,
- "bits": [ 1242 ],
- "attributes": {
- }
- },
- "$abc$13728$n1771_1": {
- "hide_name": 1,
- "bits": [ 748 ],
- "attributes": {
- }
- },
- "$abc$13728$n1772": {
- "hide_name": 1,
- "bits": [ 1243 ],
- "attributes": {
- }
- },
- "$abc$13728$n1773_1": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$13728$n1774": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$13728$n1775": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$13728$n1776": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$13728$n1777": {
- "hide_name": 1,
- "bits": [ 1248 ],
- "attributes": {
- }
- },
- "$abc$13728$n1778": {
- "hide_name": 1,
- "bits": [ 551 ],
- "attributes": {
- }
- },
- "$abc$13728$n1778_1": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$13728$n1779": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$13728$n1780": {
- "hide_name": 1,
- "bits": [ 1250 ],
- "attributes": {
- }
- },
- "$abc$13728$n1781": {
- "hide_name": 1,
- "bits": [ 992 ],
- "attributes": {
- }
- },
- "$abc$13728$n1782": {
- "hide_name": 1,
- "bits": [ 553 ],
- "attributes": {
- }
- },
- "$abc$13728$n1782_1": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$13728$n1783": {
- "hide_name": 1,
- "bits": [ 1252 ],
- "attributes": {
- }
- },
- "$abc$13728$n1784": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$13728$n1785": {
- "hide_name": 1,
- "bits": [ 752 ],
- "attributes": {
- }
- },
- "$abc$13728$n1785_1": {
- "hide_name": 1,
- "bits": [ 1254 ],
- "attributes": {
- }
- },
- "$abc$13728$n1786": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$13728$n1787": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$13728$n1789_1": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$13728$n1790_1": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$13728$n1791_1": {
- "hide_name": 1,
- "bits": [ 1260 ],
- "attributes": {
- }
- },
- "$abc$13728$n1792_1": {
- "hide_name": 1,
- "bits": [ 1261 ],
- "attributes": {
- }
- },
- "$abc$13728$n1793": {
- "hide_name": 1,
- "bits": [ 1262 ],
- "attributes": {
- }
- },
- "$abc$13728$n1794": {
- "hide_name": 1,
- "bits": [ 470 ],
- "attributes": {
- }
- },
- "$abc$13728$n1796": {
- "hide_name": 1,
- "bits": [ 917 ],
- "attributes": {
- }
- },
- "$abc$13728$n1798": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$13728$n1801": {
- "hide_name": 1,
- "bits": [ 919 ],
- "attributes": {
- }
- },
- "$abc$13728$n1818": {
- "hide_name": 1,
- "bits": [ 930 ],
- "attributes": {
- }
- },
- "$abc$13728$n1819": {
- "hide_name": 1,
- "bits": [ 931 ],
- "attributes": {
- }
- },
- "$abc$13728$n1821": {
- "hide_name": 1,
- "bits": [ 934 ],
- "attributes": {
- }
- },
- "$abc$13728$n1822": {
- "hide_name": 1,
- "bits": [ 935 ],
- "attributes": {
- }
- },
- "$abc$13728$n1861": {
- "hide_name": 1,
- "bits": [ 944 ],
- "attributes": {
- }
- },
- "$abc$13728$n1868": {
- "hide_name": 1,
- "bits": [ 946 ],
- "attributes": {
- }
- },
- "$abc$13728$n1876": {
- "hide_name": 1,
- "bits": [ 949 ],
- "attributes": {
- }
- },
- "$abc$13728$n1881": {
- "hide_name": 1,
- "bits": [ 950 ],
- "attributes": {
- }
- },
- "$abc$13728$n1889": {
- "hide_name": 1,
- "bits": [ 951 ],
- "attributes": {
- }
- },
- "$abc$13728$n19": {
- "hide_name": 1,
- "bits": [ 962 ],
- "attributes": {
- }
- },
- "$abc$13728$n1900": {
- "hide_name": 1,
- "bits": [ 952 ],
- "attributes": {
- }
- },
- "$abc$13728$n1906": {
- "hide_name": 1,
- "bits": [ 953 ],
- "attributes": {
- }
- },
- "$abc$13728$n1914": {
- "hide_name": 1,
- "bits": [ 955 ],
- "attributes": {
- }
- },
- "$abc$13728$n225": {
- "hide_name": 1,
- "bits": [ 963 ],
- "attributes": {
- }
- },
- "$abc$13728$n226": {
- "hide_name": 1,
- "bits": [ 964 ],
- "attributes": {
- }
- },
- "$abc$13728$n229": {
- "hide_name": 1,
- "bits": [ 965 ],
- "attributes": {
- }
- },
- "$abc$13728$n238": {
- "hide_name": 1,
- "bits": [ 966 ],
- "attributes": {
- }
- },
- "$abc$13728$n242": {
- "hide_name": 1,
- "bits": [ 967 ],
- "attributes": {
- }
- },
- "$abc$13728$n25": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$13728$n3": {
- "hide_name": 1,
- "bits": [ 958 ],
- "attributes": {
- }
- },
- "$abc$13728$n30": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$13728$n37": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$13728$n39": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$13728$n395": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$13728$n396": {
- "hide_name": 1,
- "bits": [ 318 ],
- "attributes": {
- }
- },
- "$abc$13728$n41": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$13728$n426": {
- "hide_name": 1,
- "bits": [ 1024 ],
- "attributes": {
- }
- },
- "$abc$13728$n431": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$13728$n44": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$13728$n47": {
- "hide_name": 1,
- "bits": [ 302 ],
- "attributes": {
- }
- },
- "$abc$13728$n49": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$13728$n5": {
- "hide_name": 1,
- "bits": [ 9 ],
- "attributes": {
- }
- },
- "$abc$13728$n50": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$13728$n53": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$13728$n54": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$13728$n55": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$13728$n56": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$13728$n6": {
- "hide_name": 1,
- "bits": [ 254 ],
- "attributes": {
- }
- },
- "$abc$13728$n606": {
- "hide_name": 1,
- "bits": [ 370 ],
- "attributes": {
- }
- },
- "$abc$13728$n62": {
- "hide_name": 1,
- "bits": [ 347 ],
- "attributes": {
- }
- },
- "$abc$13728$n671": {
- "hide_name": 1,
- "bits": [ 969 ],
- "attributes": {
- }
- },
- "$abc$13728$n691": {
- "hide_name": 1,
- "bits": [ 11 ],
- "attributes": {
- }
- },
- "$abc$13728$n8": {
- "hide_name": 1,
- "bits": [ 346 ],
- "attributes": {
- }
- },
- "$abc$13728$n807_1": {
- "hide_name": 1,
- "bits": [ 12 ],
- "attributes": {
- }
- },
- "$abc$13728$n808_1": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$13728$n809_1": {
- "hide_name": 1,
- "bits": [ 22 ],
- "attributes": {
- }
- },
- "$abc$13728$n810_1": {
- "hide_name": 1,
- "bits": [ 18 ],
- "attributes": {
- }
- },
- "$abc$13728$n811_1": {
- "hide_name": 1,
- "bits": [ 24 ],
- "attributes": {
- }
- },
- "$abc$13728$n815": {
- "hide_name": 1,
- "bits": [ 35 ],
- "attributes": {
- }
- },
- "$abc$13728$n816_1": {
- "hide_name": 1,
- "bits": [ 38 ],
- "attributes": {
- }
- },
- "$abc$13728$n820": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$13728$n829": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$13728$n830": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$13728$n831": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$13728$n833": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$13728$n835": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$13728$n836": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$13728$n837": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$13728$n838": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$13728$n839": {
- "hide_name": 1,
- "bits": [ 63 ],
- "attributes": {
- }
- },
- "$abc$13728$n840": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$13728$n841": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$13728$n841_1": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$13728$n842": {
- "hide_name": 1,
- "bits": [ 29 ],
- "attributes": {
- }
- },
- "$abc$13728$n843_1": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$13728$n844": {
- "hide_name": 1,
- "bits": [ 556 ],
- "attributes": {
- }
- },
- "$abc$13728$n844_1": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$13728$n845": {
- "hide_name": 1,
- "bits": [ 76 ],
- "attributes": {
- }
- },
- "$abc$13728$n846_1": {
- "hide_name": 1,
- "bits": [ 75 ],
- "attributes": {
- }
- },
- "$abc$13728$n847": {
- "hide_name": 1,
- "bits": [ 562 ],
- "attributes": {
- }
- },
- "$abc$13728$n847_1": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$13728$n848": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$13728$n849_1": {
- "hide_name": 1,
- "bits": [ 81 ],
- "attributes": {
- }
- },
- "$abc$13728$n850": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$13728$n850_1": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$13728$n851": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$13728$n852_1": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$13728$n853": {
- "hide_name": 1,
- "bits": [ 572 ],
- "attributes": {
- }
- },
- "$abc$13728$n853_1": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$13728$n854": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$13728$n855_1": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$13728$n856": {
- "hide_name": 1,
- "bits": [ 577 ],
- "attributes": {
- }
- },
- "$abc$13728$n856_1": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$13728$n857": {
- "hide_name": 1,
- "bits": [ 68 ],
- "attributes": {
- }
- },
- "$abc$13728$n858_1": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$13728$n859": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$13728$n859_1": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$13728$n860": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$13728$n861_1": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$13728$n862": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$13728$n862_1": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$13728$n863": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$13728$n864_1": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$13728$n865": {
- "hide_name": 1,
- "bits": [ 599 ],
- "attributes": {
- }
- },
- "$abc$13728$n865_1": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$13728$n866": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$13728$n867_1": {
- "hide_name": 1,
- "bits": [ 99 ],
- "attributes": {
- }
- },
- "$abc$13728$n868": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$13728$n868_1": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$13728$n869": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$13728$n870_1": {
- "hide_name": 1,
- "bits": [ 23 ],
- "attributes": {
- }
- },
- "$abc$13728$n871": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$13728$n871_1": {
- "hide_name": 1,
- "bits": [ 26 ],
- "attributes": {
- }
- },
- "$abc$13728$n872": {
- "hide_name": 1,
- "bits": [ 114 ],
- "attributes": {
- }
- },
- "$abc$13728$n873_1": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$13728$n874": {
- "hide_name": 1,
- "bits": [ 624 ],
- "attributes": {
- }
- },
- "$abc$13728$n874_1": {
- "hide_name": 1,
- "bits": [ 25 ],
- "attributes": {
- }
- },
- "$abc$13728$n875": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$13728$n876_1": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$13728$n877": {
- "hide_name": 1,
- "bits": [ 628 ],
- "attributes": {
- }
- },
- "$abc$13728$n877_1": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$13728$n878": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$13728$n879_1": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$13728$n880": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$13728$n880_1": {
- "hide_name": 1,
- "bits": [ 21 ],
- "attributes": {
- }
- },
- "$abc$13728$n883": {
- "hide_name": 1,
- "bits": [ 646 ],
- "attributes": {
- }
- },
- "$abc$13728$n885_1": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$13728$n886": {
- "hide_name": 1,
- "bits": [ 650 ],
- "attributes": {
- }
- },
- "$abc$13728$n886_1": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$13728$n887": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$13728$n888_1": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$13728$n889": {
- "hide_name": 1,
- "bits": [ 655 ],
- "attributes": {
- }
- },
- "$abc$13728$n890": {
- "hide_name": 1,
- "bits": [ 132 ],
- "attributes": {
- }
- },
- "$abc$13728$n891_1": {
- "hide_name": 1,
- "bits": [ 133 ],
- "attributes": {
- }
- },
- "$abc$13728$n892": {
- "hide_name": 1,
- "bits": [ 668 ],
- "attributes": {
- }
- },
- "$abc$13728$n893": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$13728$n894_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$13728$n895": {
- "hide_name": 1,
- "bits": [ 671 ],
- "attributes": {
- }
- },
- "$abc$13728$n895_1": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$13728$n898": {
- "hide_name": 1,
- "bits": [ 674 ],
- "attributes": {
- }
- },
- "$abc$13728$n899": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$13728$n900_1": {
- "hide_name": 1,
- "bits": [ 138 ],
- "attributes": {
- }
- },
- "$abc$13728$n901": {
- "hide_name": 1,
- "bits": [ 677 ],
- "attributes": {
- }
- },
- "$abc$13728$n902": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$13728$n903_1": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$13728$n904": {
- "hide_name": 1,
- "bits": [ 679 ],
- "attributes": {
- }
- },
- "$abc$13728$n906_1": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$13728$n907": {
- "hide_name": 1,
- "bits": [ 682 ],
- "attributes": {
- }
- },
- "$abc$13728$n907_1": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$13728$n910": {
- "hide_name": 1,
- "bits": [ 685 ],
- "attributes": {
- }
- },
- "$abc$13728$n911": {
- "hide_name": 1,
- "bits": [ 17 ],
- "attributes": {
- }
- },
- "$abc$13728$n912_1": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$13728$n913": {
- "hide_name": 1,
- "bits": [ 687 ],
- "attributes": {
- }
- },
- "$abc$13728$n913_1": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$13728$n914": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$13728$n915_1": {
- "hide_name": 1,
- "bits": [ 20 ],
- "attributes": {
- }
- },
- "$abc$13728$n916": {
- "hide_name": 1,
- "bits": [ 689 ],
- "attributes": {
- }
- },
- "$abc$13728$n916_1": {
- "hide_name": 1,
- "bits": [ 16 ],
- "attributes": {
- }
- },
- "$abc$13728$n917": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$13728$n918_1": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$13728$n919": {
- "hide_name": 1,
- "bits": [ 691 ],
- "attributes": {
- }
- },
- "$abc$13728$n921_1": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$13728$n922": {
- "hide_name": 1,
- "bits": [ 693 ],
- "attributes": {
- }
- },
- "$abc$13728$n923": {
- "hide_name": 1,
- "bits": [ 15 ],
- "attributes": {
- }
- },
- "$abc$13728$n925": {
- "hide_name": 1,
- "bits": [ 695 ],
- "attributes": {
- }
- },
- "$abc$13728$n928": {
- "hide_name": 1,
- "bits": [ 697 ],
- "attributes": {
- }
- },
- "$abc$13728$n931": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$13728$n931_1": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$13728$n932": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$13728$n933_1": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$13728$n934": {
- "hide_name": 1,
- "bits": [ 701 ],
- "attributes": {
- }
- },
- "$abc$13728$n934_1": {
- "hide_name": 1,
- "bits": [ 158 ],
- "attributes": {
- }
- },
- "$abc$13728$n936": {
- "hide_name": 1,
- "bits": [ 702 ],
- "attributes": {
- }
- },
- "$abc$13728$n939": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$13728$n940": {
- "hide_name": 1,
- "bits": [ 165 ],
- "attributes": {
- }
- },
- "$abc$13728$n945": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$13728$n946": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$13728$n954": {
- "hide_name": 1,
- "bits": [ 13 ],
- "attributes": {
- }
- },
- "$abc$13728$n957": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$13728$n958": {
- "hide_name": 1,
- "bits": [ 177 ],
- "attributes": {
- }
- },
- "$abc$13728$n959": {
- "hide_name": 1,
- "bits": [ 179 ],
- "attributes": {
- }
- },
- "$abc$13728$n960": {
- "hide_name": 1,
- "bits": [ 180 ],
- "attributes": {
- }
- },
- "$abc$13728$n961": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$13728$n962": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$13728$n964": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$13728$n965": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$13728$n967": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$13728$n968": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$13728$n982": {
- "hide_name": 1,
- "bits": [ 704 ],
- "attributes": {
- }
- },
- "$abc$13728$n984": {
- "hide_name": 1,
- "bits": [ 706 ],
- "attributes": {
- }
- },
- "$abc$13728$n984_1": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$13728$n986": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$13728$n987": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$13728$n988": {
- "hide_name": 1,
- "bits": [ 710 ],
- "attributes": {
- }
- },
- "$abc$13728$n988_1": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$13728$n989": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$13728$n990": {
- "hide_name": 1,
- "bits": [ 712 ],
- "attributes": {
- }
- },
- "$abc$13728$n990_1": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$13728$n991": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$13728$n992": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$13728$n992_1": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$13728$n993": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$13728$n994": {
- "hide_name": 1,
- "bits": [ 716 ],
- "attributes": {
- }
- },
- "$abc$13728$n994_1": {
- "hide_name": 1,
- "bits": [ 220 ],
- "attributes": {
- }
- },
- "$abc$13728$n995": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$13728$n996": {
- "hide_name": 1,
- "bits": [ 718 ],
- "attributes": {
- }
- },
- "$abc$13728$n996_1": {
- "hide_name": 1,
- "bits": [ 206 ],
- "attributes": {
- }
- },
- "$abc$13728$n998": {
- "hide_name": 1,
- "bits": [ 719 ],
- "attributes": {
- }
- },
- "$abc$13728$n999": {
- "hide_name": 1,
- "bits": [ 720 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.C": {
- "hide_name": 1,
- "bits": [ 1823, 1824, 1263, 1264, 1265 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.C": {
- "hide_name": 1,
- "bits": [ 1825, 1826, 1266, 1267, 1268 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.C": {
- "hide_name": 1,
- "bits": [ 1827, 1828, 1829, 1269, 1270 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.C": {
- "hide_name": 1,
- "bits": [ 1830, 1831, 1271, 1272, 1273 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.C": {
- "hide_name": 1,
- "bits": [ 1832, 1833, 1274, 1275, 1276 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.C": {
- "hide_name": 1,
- "bits": [ 1834, 1835, 1277, 1278, 1279 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1398.C": {
- "hide_name": 1,
- "bits": [ 1836, 1837, 1838, 1839, 1280 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:262|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1403.C": {
- "hide_name": 1,
- "bits": [ 1840, 1841, 1842, 1843, 1281 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:261|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.C": {
- "hide_name": 1,
- "bits": [ 1844, 1845, 1282, 1283, 1284 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.C": {
- "hide_name": 1,
- "bits": [ 1846, 1847, 1848, 1849, 1285, 1850 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.C": {
- "hide_name": 1,
- "bits": [ 1851, 1852, 1286, 1287, 1288, 1853 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.C": {
- "hide_name": 1,
- "bits": [ 1854, 1290, 1292, 1294, 1296 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.C": {
- "hide_name": 1,
- "bits": [ 1855, 1856, 1299, 1302, 1305 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.C": {
- "hide_name": 1,
- "bits": [ 1857, 1858, 1308, 1310, 1312 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.C": {
- "hide_name": 1,
- "bits": [ 1859, 1860, 1336, 1358, 1380, 1402, 1424, 1433, 1435, 1437, 1315, 1317, 1319, 1321, 1323, 1325, 1327, 1329, 1331, 1333, 1335, 1338, 1340, 1342, 1344, 1346, 1348, 1350, 1352, 1354, 1356, 1360, 1362, 1364, 1366, 1368, 1370, 1372, 1374, 1376, 1378, 1382, 1384, 1386, 1388, 1390, 1392, 1394, 1396, 1398, 1400, 1404, 1406, 1408, 1410, 1412, 1414, 1416, 1418, 1420, 1422, 1426, 1428, 1430 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13683": {
- "hide_name": 1,
- "bits": [ 1440 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13687": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13691": {
- "hide_name": 1,
- "bits": [ 1442 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13703": {
- "hide_name": 1,
- "bits": [ 1439 ],
- "attributes": {
- }
- },
- "$auto$wreduce.cc:347:run$1333": {
- "hide_name": 1,
- "bits": [ 1298, 1861, 1301, 1304, 1307, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130"
- }
- },
- "$auto$wreduce.cc:347:run$1334": {
- "hide_name": 1,
- "bits": [ 1889, 1890, 1309, 1311, 1313, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135"
- }
- },
- "$techmap1451\\ram.ram0.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1599, 1600, 1601, 1918, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1919, 1609, 1610, 1611, 1612 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1453\\ram.ram0.mem.10.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1627, 1628, 1629, 1920, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1921, 1637, 1638, 1639, 1640 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1455\\ram.ram0.mem.11.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1641, 1642, 1643, 1922, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1923, 1651, 1652, 1653, 1654 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1457\\ram.ram0.mem.5.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1753, 1754, 1755, 1924, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1925, 1763, 1764, 1765, 1766 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1459\\ram.ram0.mem.6.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1767, 1768, 1769, 1926, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1927, 1777, 1778, 1779, 1780 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1461\\ram.ram0.mem.13.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1669, 1670, 1671, 1928, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1929, 1679, 1680, 1681, 1682 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1463\\ram.ram0.mem.15.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1697, 1698, 1699, 1930, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1931, 1707, 1708, 1709, 1710 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1465\\ram.ram0.mem.8.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1795, 1796, 1797, 1932, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1933, 1805, 1806, 1807, 1808 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1467\\ram.ram0.mem.12.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1655, 1656, 1657, 1934, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1935, 1665, 1666, 1667, 1668 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1469\\ram.ram0.mem.9.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1809, 1810, 1811, 1936, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1937, 1819, 1820, 1821, 1822 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1471\\ram.ram0.mem.4.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1739, 1740, 1741, 1938, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1939, 1749, 1750, 1751, 1752 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1473\\ram.ram0.mem.7.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1781, 1782, 1783, 1940, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1941, 1791, 1792, 1793, 1794 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1475\\ram.ram0.mem.3.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1725, 1726, 1727, 1942, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1943, 1735, 1736, 1737, 1738 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1477\\ram.ram0.mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1711, 1712, 1713, 1944, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1945, 1721, 1722, 1723, 1724 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1479\\ram.ram0.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1613, 1614, 1615, 1946, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1947, 1623, 1624, 1625, 1626 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1481\\ram.ram0.mem.14.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1683, 1684, 1685, 1948, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1949, 1693, 1694, 1695, 1696 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap\\cpu.decode.$0\\cnt[4:0]": {
- "hide_name": 1,
- "bits": [ 1289, 1291, 1293, 1295, 1297 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311"
- }
- },
- "$techmap\\riscv_timer.$0\\mtime[63:0]": {
- "hide_name": 1,
- "bits": [ 1314, 1950, 1357, 1379, 1401, 1423, 1432, 1434, 1436, 1438, 1316, 1318, 1320, 1322, 1324, 1326, 1328, 1330, 1332, 1334, 1337, 1339, 1341, 1343, 1345, 1347, 1349, 1351, 1353, 1355, 1359, 1361, 1363, 1365, 1367, 1369, 1371, 1373, 1375, 1377, 1381, 1383, 1385, 1387, 1389, 1391, 1393, 1395, 1397, 1399, 1403, 1405, 1407, 1409, 1411, 1413, 1415, 1417, 1419, 1421, 1425, 1427, 1429, 1431 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31"
- }
- },
- "canary": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:9"
- }
- },
- "cpu.alu.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:4"
- }
- },
- "cpu.alu.en_r": {
- "hide_name": 0,
- "bits": [ 482 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:29"
- }
- },
- "cpu.alu.i_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:5"
- }
- },
- "cpu.alu.i_init": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:8"
- }
- },
- "cpu.alu.i_sh_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:15"
- }
- },
- "cpu.alu.i_sh_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:16"
- }
- },
- "cpu.alu.i_shamt_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:14"
- }
- },
- "cpu.alu.init_r": {
- "hide_name": 0,
- "bits": [ 483 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:32"
- }
- },
- "cpu.alu.last_eq": {
- "hide_name": 0,
- "bits": [ 363 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:103"
- }
- },
- "cpu.alu.msb_lt": {
- "hide_name": 0,
- "bits": [ 362 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:31"
- }
- },
- "cpu.alu.result_eq": {
- "hide_name": 0,
- "bits": [ 359 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:23"
- }
- },
- "cpu.alu.result_lt": {
- "hide_name": 0,
- "bits": [ 361 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:24"
- }
- },
- "cpu.alu.ser_add.c_r": {
- "hide_name": 0,
- "bits": [ 475 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:78|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.alu.ser_add.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:78|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.alu.ser_add_inv_plus_1.c_r": {
- "hide_name": 0,
- "bits": [ 478 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:67|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.alu.ser_add_inv_plus_1.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:67|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.alu.ser_add_inv_shamt_plus1.c_r": {
- "hide_name": 0,
- "bits": [ 737 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:36|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.alu.ser_add_inv_shamt_plus1.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:36|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.alu.ser_eq.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:4"
- }
- },
- "cpu.alu.ser_eq.clr": {
- "hide_name": 0,
- "bits": [ 968 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:7"
- }
- },
- "cpu.alu.ser_eq.o_q": {
- "hide_name": 0,
- "bits": [ 359 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:8"
- }
- },
- "cpu.alu.ser_eq.q": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:12"
- }
- },
- "cpu.alu.ser_lt.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:4"
- }
- },
- "cpu.alu.ser_lt.lt": {
- "hide_name": 0,
- "bits": [ 948 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:12"
- }
- },
- "cpu.alu.ser_lt.lt_r": {
- "hide_name": 0,
- "bits": [ 947 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:10"
- }
- },
- "cpu.alu.ser_lt.o_q": {
- "hide_name": 0,
- "bits": [ 361 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:8"
- }
- },
- "cpu.alu.shamt": {
- "hide_name": 0,
- "bits": [ 513, 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:27"
- }
- },
- "cpu.alu.shamt_reg.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.alu.shamt_reg.data": {
- "hide_name": 0,
- "bits": [ 513, 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.alu.shamt_reg.i_d": {
- "hide_name": 0,
- "bits": [ 738 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:5"
- }
- },
- "cpu.alu.shamt_reg.i_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.alu.shamt_reg.o_par": {
- "hide_name": 0,
- "bits": [ 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.alu.shamt_reg.o_q": {
- "hide_name": 0,
- "bits": [ 513 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.alu.shamt_ser": {
- "hide_name": 0,
- "bits": [ 738 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:34"
- }
- },
- "cpu.alu.shift.cnt": {
- "hide_name": 0,
- "bits": [ 308, 313, 314, 311, 315 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:16"
- }
- },
- "cpu.alu.shift.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:4"
- }
- },
- "cpu.alu.shift.i_load": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:5"
- }
- },
- "cpu.alu.shift.i_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:8"
- }
- },
- "cpu.alu.shift.i_shamt": {
- "hide_name": 0,
- "bits": [ 513, 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:6"
- }
- },
- "cpu.alu.shift.i_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:7"
- }
- },
- "cpu.alu.shift.sh_reg.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.alu.shift.sh_reg.data": {
- "hide_name": 0,
- "bits": [ 500, 506, 1041, 494, 501, 507, 1038, 495, 1056, 503, 1036, 488, 1053, 504, 1033, 489, 497, 1046, 1042, 491, 498, 1047, 1039, 492, 1057, 1043, 1037, 485, 1054, 1044, 1034, 486 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.alu.shift.sh_reg.i_en": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.alu.shift.sh_reg.o_par": {
- "hide_name": 0,
- "bits": [ 506, 1041, 494, 501, 507, 1038, 495, 1056, 503, 1036, 488, 1053, 504, 1033, 489, 497, 1046, 1042, 491, 498, 1047, 1039, 492, 1057, 1043, 1037, 485, 1054, 1044, 1034, 486 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.alu.shift.sh_reg.o_q": {
- "hide_name": 0,
- "bits": [ 500 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.alu.shift.shiftreg": {
- "hide_name": 0,
- "bits": [ 500, 506, 1041, 494, 501, 507, 1038, 495, 1056, 503, 1036, 488, 1053, 504, 1033, 489, 497, 1046, 1042, 491, 498, 1047, 1039, 492, 1057, 1043, 1037, 485, 1054, 1044, 1034, 486 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:12"
- }
- },
- "cpu.alu.shift.signbit": {
- "hide_name": 0,
- "bits": [ 1259 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:14"
- }
- },
- "cpu.alu.shift.wrapped": {
- "hide_name": 0,
- "bits": [ 511 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:15"
- }
- },
- "cpu.alu_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:78"
- }
- },
- "cpu.alu_init": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:79"
- }
- },
- "cpu.alu_sh_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:87"
- }
- },
- "cpu.alu_sh_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:86"
- }
- },
- "cpu.alu_shamt_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:85"
- }
- },
- "cpu.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:11"
- }
- },
- "cpu.csr.csr_in": {
- "hide_name": 0,
- "bits": [ 750 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:37"
- }
- },
- "cpu.csr.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:4"
- }
- },
- "cpu.csr.i_pc": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:9"
- }
- },
- "cpu.csr.mcause": {
- "hide_name": 0,
- "bits": [ 543, 739, 741, 744, 746, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:28"
- }
- },
- "cpu.csr.mcause_en": {
- "hide_name": 0,
- "bits": [ 290 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:34"
- }
- },
- "cpu.csr.mepc": {
- "hide_name": 0,
- "bits": [ 538, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:27"
- }
- },
- "cpu.csr.mepc_en": {
- "hide_name": 0,
- "bits": [ 939 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:33"
- }
- },
- "cpu.csr.mscratch": {
- "hide_name": 0,
- "bits": [ 544, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:26"
- }
- },
- "cpu.csr.mscratch_en": {
- "hide_name": 0,
- "bits": [ 940 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:32"
- }
- },
- "cpu.csr.mtval": {
- "hide_name": 0,
- "bits": [ 542, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:29"
- }
- },
- "cpu.csr.mtval_en": {
- "hide_name": 0,
- "bits": [ 938 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:35"
- }
- },
- "cpu.csr.mtvec": {
- "hide_name": 0,
- "bits": [ 536, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:25"
- }
- },
- "cpu.csr.mtvec_en": {
- "hide_name": 0,
- "bits": [ 941 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:31"
- }
- },
- "cpu.csr_d_sel": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:112"
- }
- },
- "cpu.ctrl.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:4"
- }
- },
- "cpu.ctrl.en_2r": {
- "hide_name": 0,
- "bits": [ 942 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:80"
- }
- },
- "cpu.ctrl.en_pc_2r": {
- "hide_name": 0,
- "bits": [ 528 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:83"
- }
- },
- "cpu.ctrl.en_pc_3r": {
- "hide_name": 0,
- "bits": [ 527 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:84"
- }
- },
- "cpu.ctrl.en_pc_r": {
- "hide_name": 0,
- "bits": [ 961 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:82"
- }
- },
- "cpu.ctrl.en_r": {
- "hide_name": 0,
- "bits": [ 943 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:79"
- }
- },
- "cpu.ctrl.i_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:5"
- }
- },
- "cpu.ctrl.i_pc_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:6"
- }
- },
- "cpu.ctrl.new_pc": {
- "hide_name": 0,
- "bits": [ 759 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:31"
- }
- },
- "cpu.ctrl.o_ibus_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:18"
- }
- },
- "cpu.ctrl.o_ibus_cyc": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:19"
- }
- },
- "cpu.ctrl.o_misalign": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:17"
- }
- },
- "cpu.ctrl.pc": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:29"
- }
- },
- "cpu.ctrl.pc_plus_offset": {
- "hide_name": 0,
- "bits": [ 945 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:25"
- }
- },
- "cpu.ctrl.pc_reg.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.ctrl.pc_reg.data": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.ctrl.pc_reg.i_d": {
- "hide_name": 0,
- "bits": [ 759 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:5"
- }
- },
- "cpu.ctrl.pc_reg.i_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.ctrl.pc_reg.o_par": {
- "hide_name": 0,
- "bits": [ 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.ctrl.pc_reg.o_q": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_4.a": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:4"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_4.c_r": {
- "hide_name": 0,
- "bits": [ 529 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_4.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_offset.c_r": {
- "hide_name": 0,
- "bits": [ 523 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_offset.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_offset.q": {
- "hide_name": 0,
- "bits": [ 945 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:7"
- }
- },
- "cpu.ctrl_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:63"
- }
- },
- "cpu.ctrl_misalign": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:65"
- }
- },
- "cpu.ctrl_pc_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:64"
- }
- },
- "cpu.decode.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:4"
- }
- },
- "cpu.decode.cnt": {
- "hide_name": 0,
- "bits": [ 84, 55, 33, 34, 127 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:73"
- }
- },
- "cpu.decode.cnt_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:291"
- }
- },
- "cpu.decode.go": {
- "hide_name": 0,
- "bits": [ 351 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:287"
- }
- },
- "cpu.decode.i_ctrl_misalign": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:16"
- }
- },
- "cpu.decode.i_wb_en": {
- "hide_name": 0,
- "bits": [ 304 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:6"
- }
- },
- "cpu.decode.i_wb_rdt": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:5"
- }
- },
- "cpu.decode.imm": {
- "hide_name": 0,
- "bits": [ 105, 40, 47, 90, 104, 78, 48, 91, 51, 39, 49, 97, 50, 85, 46, 96, 57, 36, 64, 88, 58, 32, 67, 89, 60, 37, 66, 95, 59, 31, 65, 94 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:210"
- }
- },
- "cpu.decode.o_alu_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:22"
- }
- },
- "cpu.decode.o_alu_init": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:23"
- }
- },
- "cpu.decode.o_alu_sh_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:31"
- }
- },
- "cpu.decode.o_alu_sh_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:30"
- }
- },
- "cpu.decode.o_alu_shamt_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:29"
- }
- },
- "cpu.decode.o_csr_d_sel": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:44"
- }
- },
- "cpu.decode.o_ctrl_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:9"
- }
- },
- "cpu.decode.o_ctrl_pc_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:10"
- }
- },
- "cpu.decode.o_funct3": {
- "hide_name": 0,
- "bits": [ 296, 297, 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:45"
- }
- },
- "cpu.decode.o_mem_dat_valid": {
- "hide_name": 0,
- "bits": [ 547 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:36"
- }
- },
- "cpu.decode.o_mem_en": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:33"
- }
- },
- "cpu.decode.o_mem_init": {
- "hide_name": 0,
- "bits": [ 371 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:35"
- }
- },
- "cpu.decode.o_rf_rd_addr": {
- "hide_name": 0,
- "bits": [ 858, 859, 860, 861, 855 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:18"
- }
- },
- "cpu.decode.o_rf_rd_en": {
- "hide_name": 0,
- "bits": [ 251 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:17"
- }
- },
- "cpu.decode.o_rf_rs1_addr": {
- "hide_name": 0,
- "bits": [ 181, 201, 184, 176, 185 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:20"
- }
- },
- "cpu.decode.o_rf_rs2_addr": {
- "hide_name": 0,
- "bits": [ 995, 1255, 151, 154, 155 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:21"
- }
- },
- "cpu.decode.o_rf_rs_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:19"
- }
- },
- "cpu.decode.opcode": {
- "hide_name": 0,
- "bits": [ 119, 120, 115, 117, 116 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:209"
- }
- },
- "cpu.decode.signbit": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:84"
- }
- },
- "cpu.decode.state": {
- "hide_name": 0,
- "bits": [ 202, 5, 4 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:71"
- }
- },
- "cpu.funct3": {
- "hide_name": 0,
- "bits": [ 296, 297, 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:76"
- }
- },
- "cpu.i_ibus_rdt": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:37"
- }
- },
- "cpu.mem_dat_valid": {
- "hide_name": 0,
- "bits": [ 547 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:101"
- }
- },
- "cpu.mem_en": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:98"
- }
- },
- "cpu.mem_if.adr": {
- "hide_name": 0,
- "bits": [ 933 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:31"
- }
- },
- "cpu.mem_if.bytepos": {
- "hide_name": 0,
- "bits": [ 615, 559 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:69"
- }
- },
- "cpu.mem_if.dat": {
- "hide_name": 0,
- "bits": [ 546, 617, 621, 625, 629, 643, 647, 651, 665, 554, 560, 565, 570, 575, 587, 592, 597, 666, 669, 672, 675, 678, 680, 683, 686, 688, 690, 692, 694, 696, 698, 700 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:32"
- }
- },
- "cpu.mem_if.en_2r": {
- "hide_name": 0,
- "bits": [ 928 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:30"
- }
- },
- "cpu.mem_if.en_r": {
- "hide_name": 0,
- "bits": [ 929 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:29"
- }
- },
- "cpu.mem_if.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:4"
- }
- },
- "cpu.mem_if.i_dat_valid": {
- "hide_name": 0,
- "bits": [ 547 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:7"
- }
- },
- "cpu.mem_if.i_en": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:5"
- }
- },
- "cpu.mem_if.i_funct3": {
- "hide_name": 0,
- "bits": [ 296, 297, 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:9"
- }
- },
- "cpu.mem_if.i_init": {
- "hide_name": 0,
- "bits": [ 371 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:6"
- }
- },
- "cpu.mem_if.init_2r": {
- "hide_name": 0,
- "bits": [ 258 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:82"
- }
- },
- "cpu.mem_if.init_r": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:28"
- }
- },
- "cpu.mem_if.is_half": {
- "hide_name": 0,
- "bits": [ 296 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:57"
- }
- },
- "cpu.mem_if.is_word": {
- "hide_name": 0,
- "bits": [ 297 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:56"
- }
- },
- "cpu.mem_if.misalign": {
- "hide_name": 0,
- "bits": [ 365, 364 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:83"
- }
- },
- "cpu.mem_if.o_wb_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:17"
- }
- },
- "cpu.mem_if.o_wb_cyc": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:21"
- }
- },
- "cpu.mem_if.o_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:18"
- }
- },
- "cpu.mem_if.o_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:22"
- }
- },
- "cpu.mem_if.ser_add_rs1_plus_imm.c_r": {
- "hide_name": 0,
- "bits": [ 932 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.mem_if.ser_add_rs1_plus_imm.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.mem_if.ser_add_rs1_plus_imm.q": {
- "hide_name": 0,
- "bits": [ 933 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:7"
- }
- },
- "cpu.mem_if.shift_reg_adr.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.mem_if.shift_reg_adr.data": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.mem_if.shift_reg_adr.i_d": {
- "hide_name": 0,
- "bits": [ 933 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:5"
- }
- },
- "cpu.mem_if.shift_reg_adr.i_en": {
- "hide_name": 0,
- "bits": [ 285 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.mem_if.shift_reg_adr.o_par": {
- "hide_name": 0,
- "bits": [ 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.mem_if.shift_reg_adr.o_q": {
- "hide_name": 0,
- "bits": [ 756 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.mem_if.signbit": {
- "hide_name": 0,
- "bits": [ 545 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:33"
- }
- },
- "cpu.mem_if.upper_half": {
- "hide_name": 0,
- "bits": [ 559 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:63"
- }
- },
- "cpu.mem_init": {
- "hide_name": 0,
- "bits": [ 371 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:103"
- }
- },
- "cpu.o_dbus_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:39"
- }
- },
- "cpu.o_dbus_cyc": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:43"
- }
- },
- "cpu.o_dbus_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:40"
- }
- },
- "cpu.o_dbus_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:44"
- }
- },
- "cpu.o_ibus_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:34"
- }
- },
- "cpu.o_ibus_cyc": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:35"
- }
- },
- "cpu.o_ibus_stb": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:36"
- }
- },
- "cpu.rd": {
- "hide_name": 0,
- "bits": [ 473 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:61"
- }
- },
- "cpu.rd_addr": {
- "hide_name": 0,
- "bits": [ 858, 859, 860, 861, 855 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:52"
- }
- },
- "cpu.rd_en": {
- "hide_name": 0,
- "bits": [ 251 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:93"
- }
- },
- "cpu.regfile.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:4"
- }
- },
- "cpu.regfile.i_rd": {
- "hide_name": 0,
- "bits": [ 473 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:7"
- }
- },
- "cpu.regfile.i_rd_addr": {
- "hide_name": 0,
- "bits": [ 858, 859, 860, 861, 855 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:6"
- }
- },
- "cpu.regfile.i_rd_en": {
- "hide_name": 0,
- "bits": [ 251 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:5"
- }
- },
- "cpu.regfile.i_rs1_addr": {
- "hide_name": 0,
- "bits": [ 181, 201, 184, 176, 185 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:8"
- }
- },
- "cpu.regfile.i_rs2_addr": {
- "hide_name": 0,
- "bits": [ 995, 1255, 151, 154, 155 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:9"
- }
- },
- "cpu.regfile.i_rs_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:10"
- }
- },
- "cpu.regfile.mask": {
- "hide_name": 0,
- "bits": [ 857, 863, 865, 867, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:94"
- }
- },
- "cpu.regfile.raddr": {
- "hide_name": 0,
- "bits": [ 959, 920, 922, 924, 926 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:89"
- }
- },
- "cpu.regfile.raddr2": {
- "hide_name": 0,
- "bits": [ 255, 921, 923, 925, 927 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:138"
- }
- },
- "cpu.regfile.rs": {
- "hide_name": 0,
- "bits": [ 198, 186, 156, 169, 163, 187, 157, 170, 194, 190, 1000, 1016, 160, 191, 1001, 1017, 196, 182, 149, 166, 164, 183, 150, 167, 192, 188, 997, 1012, 161, 189, 998, 1013 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:92"
- }
- },
- "cpu.regfile.waddr": {
- "hide_name": 0,
- "bits": [ 252, 970, 1300, 1303, 1306 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:90"
- }
- },
- "cpu.rs1_addr": {
- "hide_name": 0,
- "bits": [ 181, 201, 184, 176, 185 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:53"
- }
- },
- "cpu.rs2_addr": {
- "hide_name": 0,
- "bits": [ 995, 1255, 151, 154, 155 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:54"
- }
- },
- "cpu.rs_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:92"
- }
- },
- "q": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:5"
- }
- },
- "ram.ram0.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:29"
- }
- },
- "ram.ram0.din": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:31"
- }
- },
- "ram.ram0.dout": {
- "hide_name": 0,
- "bits": [ 373, 377, 380, 383, 386, 389, 392, 395, 398, 401, 404, 407, 410, 413, 416, 419, 422, 425, 428, 431, 434, 437, 440, 443, 446, 449, 452, 455, 458, 461, 464, 467 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:34"
- }
- },
- "ram.ram0.raddr": {
- "hide_name": 0,
- "bits": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:33"
- }
- },
- "ram.ram0.waddr": {
- "hide_name": 0,
- "bits": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:32"
- }
- },
- "ram.ram0.we": {
- "hide_name": 0,
- "bits": [ 910, 913, 914, 916 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:30"
- }
- },
- "ram.wb_ack_o": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:45"
- }
- },
- "ram.wb_adr_i": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:36"
- }
- },
- "ram.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:33"
- }
- },
- "ram.wb_dat_i": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:37"
- }
- },
- "ram.wb_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:47"
- }
- },
- "ram.wb_rdt": {
- "hide_name": 0,
- "bits": [ 373, 377, 380, 383, 386, 389, 392, 395, 398, 401, 404, 407, 410, 413, 416, 419, 422, 425, 428, 431, 434, 437, 440, 443, 446, 449, 452, 455, 458, 461, 464, 467 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:51"
- }
- },
- "ram.wb_rdt_r": {
- "hide_name": 0,
- "bits": [ 372, 376, 379, 382, 385, 388, 391, 394, 397, 400, 403, 406, 409, 412, 415, 418, 421, 424, 427, 430, 433, 436, 439, 442, 445, 448, 451, 454, 457, 460, 463, 466 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:52"
- }
- },
- "ram.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:34"
- }
- },
- "riscv_timer.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:3"
- }
- },
- "riscv_timer.i_wb_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:5"
- }
- },
- "riscv_timer.i_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:6"
- }
- },
- "riscv_timer.i_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:10"
- }
- },
- "riscv_timer.mtime": {
- "hide_name": 0,
- "bits": [ 1148, 973, 1175, 1189, 639, 1209, 1223, 661, 1064, 1076, 1088, 1100, 583, 1118, 1130, 605, 1156, 1169, 1183, 1197, 1203, 1217, 1231, 1237, 1070, 1082, 1094, 1106, 1112, 1124, 1136, 1142, 1149, 1162, 1176, 1190, 641, 1210, 1224, 663, 1065, 1077, 1089, 1101, 585, 1119, 1131, 607, 1157, 1170, 1184, 1198, 1204, 1218, 1232, 1238, 1071, 1083, 1095, 1107, 1113, 1125, 1137, 1143 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:14"
- }
- },
- "riscv_timer.mtimecmp": {
- "hide_name": 0,
- "bits": [ 1145, 1159, 1172, 1186, 640, 1206, 1220, 662, 1061, 1073, 1085, 1097, 584, 1115, 1127, 606, 1153, 1166, 1180, 1194, 1200, 1214, 1228, 1234, 1067, 1079, 1091, 1103, 1109, 1121, 1133, 1139, 1146, 1160, 1173, 1187, 642, 1207, 1221, 664, 1062, 1074, 1086, 1098, 586, 1116, 1128, 608, 1154, 1167, 1181, 1195, 1201, 1215, 1229, 1235, 1068, 1080, 1092, 1104, 1110, 1122, 1134, 1140 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:15"
- }
- },
- "riscv_timer.o_wb_ack": {
- "hide_name": 0,
- "bits": [ 263 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:12"
- }
- },
- "riscv_timer.o_wb_dat": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:11",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- },
- "rst_reg": {
- "hide_name": 0,
- "bits": [ 960, "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:13"
- }
- },
- "testhalt.i_wb_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:4"
- }
- },
- "testhalt.i_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:6"
- }
- },
- "testhalt.i_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:9"
- }
- },
- "testhalt.o_wb_ack": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:10"
- }
- },
- "testprint.ch": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:14"
- }
- },
- "testprint.i_wb_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:4"
- }
- },
- "testprint.i_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:6"
- }
- },
- "testprint.i_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:9"
- }
- },
- "testprint.o_wb_ack": {
- "hide_name": 0,
- "bits": [ 270 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:10"
- }
- },
- "wb_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:4"
- }
- },
- "wb_intercon0.wb_arbiter_mem.active": {
- "hide_name": 0,
- "bits": [ 280 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:96"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.active": {
- "hide_name": 0,
- "bits": [ 280 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:38"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:33"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.rst": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:34"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.select": {
- "hide_name": 0,
- "bits": [ 282 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:37"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token": {
- "hide_name": 0,
- "bits": [ 323, 321 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:77"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token_lookahead[0]": {
- "hide_name": 0,
- "bits": [ 323, 321 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:30"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token_lookahead[1]": {
- "hide_name": 0,
- "bits": [ 321, 323 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:30"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token_wrap": {
- "hide_name": 0,
- "bits": [ 323, 321, 323, 321 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:79"
- }
- },
- "wb_intercon0.wb_arbiter_mem.master_sel": {
- "hide_name": 0,
- "bits": [ 282 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:95"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:41"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:42"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_ack_o": {
- "hide_name": 0,
- "bits": [ 1983, 1984 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:54",
- "unused_bits": "0 1"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_adr_i": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:45"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_dat_i": {
- "hide_name": 0,
- "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:46"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468, 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:53"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_stb_i": {
- "hide_name": 0,
- "bits": [ 303, 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:50"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_ack_i": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:68"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_adr_o": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:59"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_dat_i": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:67"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_dat_o": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:60"
- }
- },
- "wb_intercon0.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:4"
- }
- },
- "wb_intercon0.wb_cpu_dbus_adr_i": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:6"
- }
- },
- "wb_intercon0.wb_cpu_dbus_cyc_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:10"
- }
- },
- "wb_intercon0.wb_cpu_dbus_dat_i": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:7"
- }
- },
- "wb_intercon0.wb_cpu_dbus_stb_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:11"
- }
- },
- "wb_intercon0.wb_cpu_ibus_adr_i": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:18"
- }
- },
- "wb_intercon0.wb_cpu_ibus_cyc_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:22"
- }
- },
- "wb_intercon0.wb_cpu_ibus_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:26"
- }
- },
- "wb_intercon0.wb_cpu_ibus_stb_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:23"
- }
- },
- "wb_intercon0.wb_m2s_cpu_dbus_mem_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:79"
- }
- },
- "wb_intercon0.wb_m2s_cpu_dbus_mem_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:80"
- }
- },
- "wb_intercon0.wb_m2s_cpu_dbus_mem_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:84"
- }
- },
- "wb_intercon0.wb_m2s_cpu_ibus_mem_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:91"
- }
- },
- "wb_intercon0.wb_m2s_cpu_ibus_mem_stb": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:96"
- }
- },
- "wb_intercon0.wb_mem_ack_i": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:39"
- }
- },
- "wb_intercon0.wb_mem_adr_o": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:30"
- }
- },
- "wb_intercon0.wb_mem_dat_i": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:38"
- }
- },
- "wb_intercon0.wb_mem_dat_o": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:31"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:59"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:60"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_adr_i": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:63"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_cyc_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:67"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_dat_i": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:64"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_stb_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:68"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_ack_i": {
- "hide_name": 0,
- "bits": [ 263, 266, 270, 1984 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:85"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:76"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_dat_i": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:84"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:77"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_stb_o": {
- "hide_name": 0,
- "bits": [ 224, 224, 224, 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:81"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:59"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:60"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_adr_i": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:63"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_cyc_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:67"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:71"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_stb_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:68"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbs_adr_o": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:76"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbs_dat_i": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:84"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbs_stb_o": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:81"
- }
- },
- "wb_intercon0.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:5"
- }
- },
- "wb_intercon0.wb_s2m_cpu_dbus_mem_ack": {
- "hide_name": 0,
- "bits": [ 1984 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:88",
- "unused_bits": "0"
- }
- },
- "wb_intercon0.wb_s2m_cpu_dbus_mem_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:87"
- }
- },
- "wb_intercon0.wb_s2m_cpu_ibus_mem_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:99"
- }
- },
- "wb_intercon0.wb_testhalt_ack_i": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:51"
- }
- },
- "wb_intercon0.wb_testhalt_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:42"
- }
- },
- "wb_intercon0.wb_testhalt_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:43"
- }
- },
- "wb_intercon0.wb_testhalt_stb_o": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:47"
- }
- },
- "wb_intercon0.wb_testprint_ack_i": {
- "hide_name": 0,
- "bits": [ 270 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:63"
- }
- },
- "wb_intercon0.wb_testprint_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:54"
- }
- },
- "wb_intercon0.wb_testprint_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:55"
- }
- },
- "wb_intercon0.wb_testprint_stb_o": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:59"
- }
- },
- "wb_intercon0.wb_timer_ack_i": {
- "hide_name": 0,
- "bits": [ 263 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:75"
- }
- },
- "wb_intercon0.wb_timer_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:66"
- }
- },
- "wb_intercon0.wb_timer_dat_i": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:74",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- },
- "wb_intercon0.wb_timer_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:67"
- }
- },
- "wb_intercon0.wb_timer_stb_o": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:71"
- }
- },
- "wb_m2s_cpu_dbus_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:3"
- }
- },
- "wb_m2s_cpu_dbus_cyc": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:7"
- }
- },
- "wb_m2s_cpu_dbus_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:4"
- }
- },
- "wb_m2s_cpu_dbus_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:8"
- }
- },
- "wb_m2s_cpu_ibus_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:15"
- }
- },
- "wb_m2s_cpu_ibus_cyc": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:19"
- }
- },
- "wb_m2s_cpu_ibus_stb": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:20"
- }
- },
- "wb_m2s_mem_adr": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:27"
- }
- },
- "wb_m2s_mem_dat": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:28"
- }
- },
- "wb_m2s_testhalt_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:39"
- }
- },
- "wb_m2s_testhalt_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:40"
- }
- },
- "wb_m2s_testhalt_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:44"
- }
- },
- "wb_m2s_testprint_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:51"
- }
- },
- "wb_m2s_testprint_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:52"
- }
- },
- "wb_m2s_testprint_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:56"
- }
- },
- "wb_m2s_timer_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:63"
- }
- },
- "wb_m2s_timer_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:64"
- }
- },
- "wb_m2s_timer_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:68"
- }
- },
- "wb_rst": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:18"
- }
- },
- "wb_s2m_cpu_ibus_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:23"
- }
- },
- "wb_s2m_mem_ack": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:36"
- }
- },
- "wb_s2m_mem_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:35"
- }
- },
- "wb_s2m_testhalt_ack": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:48"
- }
- },
- "wb_s2m_testprint_ack": {
- "hide_name": 0,
- "bits": [ 270 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:60"
- }
- },
- "wb_s2m_timer_ack": {
- "hide_name": 0,
- "bits": [ 263 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:72"
- }
- },
- "wb_s2m_timer_dat": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:71",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0121/serv_0.json.gz b/ice40/regressions/issue0121/serv_0.json.gz
new file mode 100644
index 0000000..1d5854b
--- /dev/null
+++ b/ice40/regressions/issue0121/serv_0.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0126/pll-test.json b/ice40/regressions/issue0126/pll-test.json
deleted file mode 100644
index eb8b14d..0000000
--- a/ice40/regressions/issue0126/pll-test.json
+++ /dev/null
@@ -1,5415 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "pll-test.v:4"
- },
- "ports": {
- "clk12m_in": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "out1": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "out2": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- "pll0.pll_inst": {
- "hide_name": 0,
- "type": "SB_PLL40_2_PAD",
- "parameters": {
- "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
- "DIVF": 66,
- "DIVQ": 5,
- "DIVR": 0,
- "ENABLE_ICEGATE_PORTA": 0,
- "ENABLE_ICEGATE_PORTB": 0,
- "FDA_FEEDBACK": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 1,
- "PLLOUT_SELECT_PORTB": "GENCLK",
- "SHIFTREG_DIV_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "pll-test.v:13|pll-test.v:47"
- },
- "port_directions": {
- "BYPASS": "input",
- "DYNAMICDELAY": "input",
- "EXTFEEDBACK": "input",
- "LATCHINPUTVALUE": "input",
- "LOCK": "output",
- "PACKAGEPIN": "input",
- "PLLOUTCOREA": "output",
- "PLLOUTCOREB": "output",
- "PLLOUTGLOBALA": "output",
- "PLLOUTGLOBALB": "output",
- "RESETB": "input",
- "SCLK": "input",
- "SDI": "input",
- "SDO": "output"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "DYNAMICDELAY": [ ],
- "EXTFEEDBACK": [ ],
- "LATCHINPUTVALUE": [ ],
- "LOCK": [ 5 ],
- "PACKAGEPIN": [ 2 ],
- "PLLOUTCOREA": [ 6 ],
- "PLLOUTCOREB": [ 7 ],
- "PLLOUTGLOBALA": [ 4 ],
- "PLLOUTGLOBALB": [ 3 ],
- "RESETB": [ "1" ],
- "SCLK": [ ],
- "SDI": [ ],
- "SDO": [ ]
- }
- }
- },
- "netnames": {
- "clk12m": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "pll-test.v:11"
- }
- },
- "clk12m_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "pll-test.v:5"
- }
- },
- "clk25m": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "pll-test.v:10"
- }
- },
- "out1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "pll-test.v:6"
- }
- },
- "out2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "pll-test.v:7"
- }
- },
- "pll0.LOCK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "pll-test.v:13|pll-test.v:45",
- "unused_bits": "0"
- }
- },
- "pll0.PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "pll-test.v:13|pll-test.v:39"
- }
- },
- "pll0.PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "pll-test.v:13|pll-test.v:41",
- "unused_bits": "0"
- }
- },
- "pll0.PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "pll-test.v:13|pll-test.v:42",
- "unused_bits": "0"
- }
- },
- "pll0.PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "pll-test.v:13|pll-test.v:43"
- }
- },
- "pll0.PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "pll-test.v:13|pll-test.v:44"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0126/pll-test.json.gz b/ice40/regressions/issue0126/pll-test.json.gz
new file mode 100644
index 0000000..6fe7735
--- /dev/null
+++ b/ice40/regressions/issue0126/pll-test.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0127/top.json b/ice40/regressions/issue0127/top.json
deleted file mode 100644
index d1d7a77..0000000
--- a/ice40/regressions/issue0127/top.json
+++ /dev/null
@@ -1,17020 +0,0 @@
-{
- "creator": "Yosys 0.8+52 (git sha1 9228f015, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "hdl/ice40.v:6"
- },
- "ports": {
- "clk12m_in": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "vga_r": {
- "direction": "output",
- "bits": [ 3, 3 ]
- },
- "vga_g": {
- "direction": "output",
- "bits": [ 4, 4 ]
- },
- "vga_b": {
- "direction": "output",
- "bits": [ 3, 3 ]
- },
- "vga_hsync": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "vga_vsync": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "spi_mosi": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "spi_miso": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "spi_clk": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "spi_cs": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "out1": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "out2": {
- "direction": "output",
- "bits": [ 6 ]
- }
- },
- "cells": {
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 12 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 14 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 10 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 16 ],
- "I2": [ 17 ],
- "I3": [ 18 ],
- "O": [ 14 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 19 ],
- "I1": [ 20 ],
- "I2": [ 21 ],
- "I3": [ 22 ],
- "O": [ 18 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 23 ],
- "I1": [ 24 ],
- "I2": [ 25 ],
- "I3": [ 26 ],
- "O": [ 19 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 28 ],
- "I2": [ 29 ],
- "I3": [ 30 ],
- "O": [ 20 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 32 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 15 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ 36 ],
- "I2": [ 37 ],
- "I3": [ 17 ],
- "O": [ 33 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 38 ],
- "I1": [ 39 ],
- "I2": [ 40 ],
- "I3": [ 41 ],
- "O": [ 34 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 43 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 11 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 46 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 48 ],
- "I2": [ 49 ],
- "I3": [ 50 ],
- "O": [ 51 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 53 ],
- "I2": [ 54 ],
- "I3": [ "0" ],
- "O": [ 49 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 56 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 53 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ 59 ],
- "I3": [ "0" ],
- "O": [ 56 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 53 ],
- "I1": [ 60 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 47 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 54 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 60 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 62 ],
- "I2": [ 54 ],
- "I3": [ 52 ],
- "O": [ 63 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 61 ],
- "I2": [ 53 ],
- "I3": [ "0" ],
- "O": [ 62 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 65 ],
- "I2": [ 49 ],
- "I3": [ 66 ],
- "O": [ 67 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 68 ],
- "I2": [ 49 ],
- "I3": [ 69 ],
- "O": [ 70 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 72 ],
- "I2": [ 54 ],
- "I3": [ 52 ],
- "O": [ 73 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ 71 ],
- "I2": [ 53 ],
- "I3": [ "0" ],
- "O": [ 72 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 75 ],
- "I2": [ 49 ],
- "I3": [ 76 ],
- "O": [ 77 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 78 ],
- "I2": [ 49 ],
- "I3": [ 79 ],
- "O": [ 80 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2563
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 54 ],
- "I3": [ 52 ],
- "O": [ 83 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 81 ],
- "I2": [ 53 ],
- "I3": [ "0" ],
- "O": [ 82 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 44 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 85 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 57 ],
- "I2": [ 87 ],
- "I3": [ "0" ],
- "O": [ 88 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 4 ],
- "I2": [ 86 ],
- "I3": [ "0" ],
- "O": [ 87 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 86 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 89 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 90 ],
- "I2": [ 46 ],
- "I3": [ "0" ],
- "O": [ 91 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 92 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 93 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 9 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 95 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 92 ],
- "I1": [ 96 ],
- "I2": [ 97 ],
- "I3": [ 98 ],
- "O": [ 94 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ 94 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 99 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 101 ],
- "I2": [ 102 ],
- "I3": [ 103 ],
- "O": [ 104 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 106 ],
- "I2": [ 107 ],
- "I3": [ 108 ],
- "O": [ 100 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 110 ],
- "I2": [ 111 ],
- "I3": [ 112 ],
- "O": [ 101 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 113 ],
- "I1": [ 114 ],
- "I2": [ 115 ],
- "I3": [ 116 ],
- "O": [ 102 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 118 ],
- "I2": [ 119 ],
- "I3": [ 120 ],
- "O": [ 103 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 109 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 123 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 126 ],
- "I1": [ 127 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 124 ],
- "I2": [ 125 ],
- "I3": [ "0" ],
- "O": [ 129 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 124 ],
- "I1": [ 123 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 130 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 124 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 132 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 133 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 134 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 135 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 138 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 141 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ 22 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 145 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 147 ],
- "I2": [ 41 ],
- "I3": [ 18 ],
- "O": [ 148 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 149 ],
- "I2": [ 40 ],
- "I3": [ 18 ],
- "O": [ 150 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 151 ],
- "I2": [ 39 ],
- "I3": [ 18 ],
- "O": [ 152 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 153 ],
- "I2": [ 38 ],
- "I3": [ 18 ],
- "O": [ 154 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 155 ],
- "I2": [ 32 ],
- "I3": [ 18 ],
- "O": [ 156 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 157 ],
- "I2": [ 37 ],
- "I3": [ 18 ],
- "O": [ 158 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 159 ],
- "I2": [ 31 ],
- "I3": [ 18 ],
- "O": [ 160 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 161 ],
- "I2": [ 35 ],
- "I3": [ 18 ],
- "O": [ 162 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 163 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 164 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 165 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 166 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 168 ],
- "I2": [ 169 ],
- "I3": [ "0" ],
- "O": [ 170 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 143 ],
- "I2": [ 146 ],
- "I3": [ 141 ],
- "O": [ 167 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 166 ],
- "I2": [ 171 ],
- "I3": [ "0" ],
- "O": [ 168 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 134 ],
- "I1": [ 132 ],
- "I2": [ 18 ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 136 ],
- "I2": [ 18 ],
- "I3": [ "0" ],
- "O": [ 169 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 172 ],
- "I2": [ 46 ],
- "I3": [ "0" ],
- "O": [ 173 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 175 ],
- "I2": [ 46 ],
- "I3": [ "0" ],
- "O": [ 176 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 178 ],
- "I2": [ 46 ],
- "I3": [ "0" ],
- "O": [ 179 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 181 ],
- "I2": [ 46 ],
- "I3": [ "0" ],
- "O": [ 182 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 184 ],
- "I2": [ 46 ],
- "I3": [ "0" ],
- "O": [ 185 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 54 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 186 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 54 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 187 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 189 ],
- "I2": [ 190 ],
- "I3": [ 55 ],
- "O": [ 191 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 48 ],
- "I2": [ 58 ],
- "I3": [ 59 ],
- "O": [ 188 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 74 ],
- "I2": [ 59 ],
- "I3": [ 58 ],
- "O": [ 189 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 193 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 194 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 196 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 199 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 202 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 205 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 206 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 211 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 212 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 213 ],
- "I1": [ 214 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 215 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 217 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 218 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 219 ],
- "I1": [ 220 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 223 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 224 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 35 ],
- "I2": [ 36 ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 152 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 226 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 33023
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 228 ],
- "I2": [ 229 ],
- "I3": [ 230 ],
- "O": [ 231 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 152 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 158 ],
- "I1": [ 160 ],
- "I2": [ 162 ],
- "I3": [ 225 ],
- "O": [ 228 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 148 ],
- "I2": [ 150 ],
- "I3": [ 156 ],
- "O": [ 229 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 232 ],
- "I2": [ 45 ],
- "I3": [ 44 ],
- "O": [ 233 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 60 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 232 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 234 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 167 ],
- "I2": [ 237 ],
- "I3": [ "0" ],
- "O": [ 238 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 169 ],
- "I2": [ 171 ],
- "I3": [ 163 ],
- "O": [ 236 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 239 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 240 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 242 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 244 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 246 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 248 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 249 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 158 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 250 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 251 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 252 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 152 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 253 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 150 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 254 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 255 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 256 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 257 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 110 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 258 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 259 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 225 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 262 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 263 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 264 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 126 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 65 ],
- "I2": [ 58 ],
- "I3": [ 59 ],
- "O": [ 268 ]
- }
- },
- "$abc$3383$auto$blifparse.cc:492:parse_blif$3515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 68 ],
- "I2": [ 58 ],
- "I3": [ 268 ],
- "O": [ 190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$402.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 139 ],
- "CO": [ 269 ],
- "I0": [ 164 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$402.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 269 ],
- "CO": [ 270 ],
- "I0": [ 166 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$402.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 270 ],
- "CO": [ 271 ],
- "I0": [ 137 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$402.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 271 ],
- "CO": [ 272 ],
- "I0": [ 135 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$402.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 272 ],
- "CO": [ 43 ],
- "I0": [ 133 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 162 ],
- "CO": [ 273 ],
- "I0": [ "1" ],
- "I1": [ 262 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 273 ],
- "CO": [ 274 ],
- "I0": [ "0" ],
- "I1": [ 160 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 274 ],
- "CO": [ 275 ],
- "I0": [ "0" ],
- "I1": [ 158 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 275 ],
- "CO": [ 276 ],
- "I0": [ "0" ],
- "I1": [ 156 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 276 ],
- "CO": [ 277 ],
- "I0": [ "0" ],
- "I1": [ 154 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 277 ],
- "CO": [ 278 ],
- "I0": [ "0" ],
- "I1": [ 152 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 278 ],
- "CO": [ 279 ],
- "I0": [ "0" ],
- "I1": [ 150 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 279 ],
- "CO": [ 280 ],
- "I0": [ "0" ],
- "I1": [ 148 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 280 ],
- "CO": [ 230 ],
- "I0": [ "0" ],
- "I1": [ 14 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 146 ],
- "CO": [ 281 ],
- "I0": [ "0" ],
- "I1": [ 247 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 281 ],
- "CO": [ 282 ],
- "I0": [ "0" ],
- "I1": [ 143 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 282 ],
- "CO": [ 283 ],
- "I0": [ "0" ],
- "I1": [ 141 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 283 ],
- "CO": [ 284 ],
- "I0": [ "0" ],
- "I1": [ 246 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 284 ],
- "CO": [ 285 ],
- "I0": [ "1" ],
- "I1": [ 263 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 285 ],
- "CO": [ 286 ],
- "I0": [ "1" ],
- "I1": [ 264 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 286 ],
- "CO": [ 287 ],
- "I0": [ "0" ],
- "I1": [ 245 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 287 ],
- "CO": [ 288 ],
- "I0": [ "0" ],
- "I1": [ 244 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 288 ],
- "CO": [ 237 ],
- "I0": [ "0" ],
- "I1": [ 243 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$434.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 246 ],
- "CO": [ 289 ],
- "I0": [ "0" ],
- "I1": [ 263 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$434.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 289 ],
- "CO": [ 290 ],
- "I0": [ "0" ],
- "I1": [ 264 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$434.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 290 ],
- "CO": [ 291 ],
- "I0": [ "1" ],
- "I1": [ 245 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$434.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 291 ],
- "CO": [ 292 ],
- "I0": [ "0" ],
- "I1": [ 244 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$434.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 292 ],
- "CO": [ 42 ],
- "I0": [ "0" ],
- "I1": [ 243 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$439.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 154 ],
- "CO": [ 293 ],
- "I0": [ "0" ],
- "I1": [ 152 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$439.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 293 ],
- "CO": [ 294 ],
- "I0": [ "0" ],
- "I1": [ 150 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$439.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 294 ],
- "CO": [ 295 ],
- "I0": [ "0" ],
- "I1": [ 148 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$439.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 295 ],
- "CO": [ 13 ],
- "I0": [ "0" ],
- "I1": [ 14 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 128 ],
- "I3": [ "0" ],
- "O": [ 192 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 222 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 128 ],
- "CO": [ 298 ],
- "I0": [ "0" ],
- "I1": [ 195 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 299 ],
- "I3": [ 298 ],
- "O": [ 198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 298 ],
- "CO": [ 300 ],
- "I0": [ "0" ],
- "I1": [ 299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 301 ],
- "I3": [ 300 ],
- "O": [ 201 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 300 ],
- "CO": [ 302 ],
- "I0": [ "0" ],
- "I1": [ 301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 303 ],
- "I3": [ 302 ],
- "O": [ 204 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 302 ],
- "CO": [ 304 ],
- "I0": [ "0" ],
- "I1": [ 303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 305 ],
- "I3": [ 304 ],
- "O": [ 207 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 304 ],
- "CO": [ 306 ],
- "I0": [ "0" ],
- "I1": [ 305 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 307 ],
- "I3": [ 306 ],
- "O": [ 210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 306 ],
- "CO": [ 308 ],
- "I0": [ "0" ],
- "I1": [ 307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 309 ],
- "I3": [ 308 ],
- "O": [ 213 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 308 ],
- "CO": [ 310 ],
- "I0": [ "0" ],
- "I1": [ 309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 311 ],
- "I3": [ 310 ],
- "O": [ 216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 310 ],
- "CO": [ 312 ],
- "I0": [ "0" ],
- "I1": [ 311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 313 ],
- "I3": [ 312 ],
- "O": [ 219 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 312 ],
- "CO": [ 297 ],
- "I0": [ "0" ],
- "I1": [ 313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$447.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 92 ],
- "I3": [ "0" ],
- "O": [ 314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$447.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 92 ],
- "CO": [ 315 ],
- "I0": [ "0" ],
- "I1": [ 96 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$447.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 97 ],
- "I3": [ 315 ],
- "O": [ 316 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$447.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 315 ],
- "CO": [ 317 ],
- "I0": [ "0" ],
- "I1": [ 97 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$447.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 98 ],
- "I3": [ 317 ],
- "O": [ 318 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 119 ],
- "I3": [ 320 ],
- "O": [ 321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 320 ],
- "CO": [ 322 ],
- "I0": [ "0" ],
- "I1": [ 119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 120 ],
- "I3": [ 322 ],
- "O": [ 323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 322 ],
- "CO": [ 324 ],
- "I0": [ "0" ],
- "I1": [ 120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 113 ],
- "I3": [ 324 ],
- "O": [ 325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 324 ],
- "CO": [ 326 ],
- "I0": [ "0" ],
- "I1": [ 113 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 114 ],
- "I3": [ 326 ],
- "O": [ 327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 326 ],
- "CO": [ 328 ],
- "I0": [ "0" ],
- "I1": [ 114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 115 ],
- "I3": [ 328 ],
- "O": [ 329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 328 ],
- "CO": [ 330 ],
- "I0": [ "0" ],
- "I1": [ 115 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 116 ],
- "I3": [ 330 ],
- "O": [ 331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 109 ],
- "CO": [ 332 ],
- "I0": [ "0" ],
- "I1": [ 110 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 111 ],
- "I3": [ 332 ],
- "O": [ 333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 332 ],
- "CO": [ 334 ],
- "I0": [ "0" ],
- "I1": [ 111 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 112 ],
- "I3": [ 334 ],
- "O": [ 335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 334 ],
- "CO": [ 336 ],
- "I0": [ "0" ],
- "I1": [ 112 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 105 ],
- "I3": [ 336 ],
- "O": [ 337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 336 ],
- "CO": [ 338 ],
- "I0": [ "0" ],
- "I1": [ 105 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 106 ],
- "I3": [ 338 ],
- "O": [ 339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 338 ],
- "CO": [ 340 ],
- "I0": [ "0" ],
- "I1": [ 106 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 107 ],
- "I3": [ 340 ],
- "O": [ 341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 340 ],
- "CO": [ 342 ],
- "I0": [ "0" ],
- "I1": [ 107 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 108 ],
- "I3": [ 342 ],
- "O": [ 343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 342 ],
- "CO": [ 344 ],
- "I0": [ "0" ],
- "I1": [ 108 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 117 ],
- "I3": [ 344 ],
- "O": [ 345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 344 ],
- "CO": [ 346 ],
- "I0": [ "0" ],
- "I1": [ 117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 118 ],
- "I3": [ 346 ],
- "O": [ 347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 346 ],
- "CO": [ 320 ],
- "I0": [ "0" ],
- "I1": [ 118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$453.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 348 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$453.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 57 ],
- "CO": [ 349 ],
- "I0": [ 58 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$453.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 55 ],
- "I2": [ "1" ],
- "I3": [ 349 ],
- "O": [ 350 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$453.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 349 ],
- "CO": [ 351 ],
- "I0": [ 55 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$453.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 59 ],
- "I2": [ "1" ],
- "I3": [ 351 ],
- "O": [ 352 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 90 ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 354 ],
- "I3": [ 355 ],
- "O": [ 184 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 90 ],
- "CO": [ 356 ],
- "I0": [ "0" ],
- "I1": [ 260 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 357 ],
- "I3": [ 356 ],
- "O": [ 358 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 356 ],
- "CO": [ 359 ],
- "I0": [ "0" ],
- "I1": [ 357 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 360 ],
- "I3": [ 359 ],
- "O": [ 361 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 359 ],
- "CO": [ 362 ],
- "I0": [ "0" ],
- "I1": [ 360 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 363 ],
- "I3": [ 362 ],
- "O": [ 364 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 362 ],
- "CO": [ 365 ],
- "I0": [ "0" ],
- "I1": [ 363 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 366 ],
- "I3": [ 365 ],
- "O": [ 367 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 365 ],
- "CO": [ 368 ],
- "I0": [ "0" ],
- "I1": [ 366 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 369 ],
- "I3": [ 368 ],
- "O": [ 172 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 368 ],
- "CO": [ 370 ],
- "I0": [ "0" ],
- "I1": [ 369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 371 ],
- "I3": [ 370 ],
- "O": [ 175 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 370 ],
- "CO": [ 372 ],
- "I0": [ "0" ],
- "I1": [ 371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 373 ],
- "I3": [ 372 ],
- "O": [ 178 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 372 ],
- "CO": [ 374 ],
- "I0": [ "0" ],
- "I1": [ 373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 375 ],
- "I3": [ 374 ],
- "O": [ 181 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 374 ],
- "CO": [ 355 ],
- "I0": [ "0" ],
- "I1": [ 375 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 35 ],
- "I3": [ "0" ],
- "O": [ 161 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 35 ],
- "CO": [ 376 ],
- "I0": [ "0" ],
- "I1": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 31 ],
- "I3": [ 376 ],
- "O": [ 159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 376 ],
- "CO": [ 377 ],
- "I0": [ "0" ],
- "I1": [ 31 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 37 ],
- "I3": [ 377 ],
- "O": [ 157 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 377 ],
- "CO": [ 378 ],
- "I0": [ "0" ],
- "I1": [ 37 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 32 ],
- "I3": [ 378 ],
- "O": [ 155 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 378 ],
- "CO": [ 379 ],
- "I0": [ "0" ],
- "I1": [ 32 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 38 ],
- "I3": [ 379 ],
- "O": [ 153 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 379 ],
- "CO": [ 380 ],
- "I0": [ "0" ],
- "I1": [ 38 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 39 ],
- "I3": [ 380 ],
- "O": [ 151 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 380 ],
- "CO": [ 381 ],
- "I0": [ "0" ],
- "I1": [ 39 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 40 ],
- "I3": [ 381 ],
- "O": [ 149 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 381 ],
- "CO": [ 382 ],
- "I0": [ "0" ],
- "I1": [ 40 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 41 ],
- "I3": [ 382 ],
- "O": [ 147 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 382 ],
- "CO": [ 383 ],
- "I0": [ "0" ],
- "I1": [ 41 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 17 ],
- "I3": [ 383 ],
- "O": [ 16 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 22 ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 22 ],
- "CO": [ 384 ],
- "I0": [ "0" ],
- "I1": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 30 ],
- "I3": [ 384 ],
- "O": [ 142 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 384 ],
- "CO": [ 385 ],
- "I0": [ "0" ],
- "I1": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 23 ],
- "I3": [ 385 ],
- "O": [ 140 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 385 ],
- "CO": [ 386 ],
- "I0": [ "0" ],
- "I1": [ 23 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 24 ],
- "I3": [ 386 ],
- "O": [ 138 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 386 ],
- "CO": [ 387 ],
- "I0": [ "0" ],
- "I1": [ 24 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 27 ],
- "I3": [ 387 ],
- "O": [ 163 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 387 ],
- "CO": [ 388 ],
- "I0": [ "0" ],
- "I1": [ 27 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 28 ],
- "I3": [ 388 ],
- "O": [ 165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 388 ],
- "CO": [ 389 ],
- "I0": [ "0" ],
- "I1": [ 28 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 29 ],
- "I3": [ 389 ],
- "O": [ 136 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 389 ],
- "CO": [ 390 ],
- "I0": [ "0" ],
- "I1": [ 29 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 25 ],
- "I3": [ 390 ],
- "O": [ 134 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 390 ],
- "CO": [ 391 ],
- "I0": [ "0" ],
- "I1": [ 25 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 26 ],
- "I3": [ 391 ],
- "O": [ 132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$465.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 252 ],
- "CO": [ 392 ],
- "I0": [ 253 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$465.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 254 ],
- "I2": [ "1" ],
- "I3": [ 392 ],
- "O": [ 393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$465.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 392 ],
- "CO": [ 394 ],
- "I0": [ 254 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$465.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 255 ],
- "I2": [ "1" ],
- "I3": [ 394 ],
- "O": [ 395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1047": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 83 ],
- "E": [ 240 ],
- "Q": [ 84 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1048": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 80 ],
- "E": [ 240 ],
- "Q": [ 78 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1049": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 77 ],
- "E": [ 240 ],
- "Q": [ 75 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1050": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 73 ],
- "E": [ 240 ],
- "Q": [ 74 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1051": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 70 ],
- "E": [ 240 ],
- "Q": [ 68 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1052": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 67 ],
- "E": [ 240 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1053": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 63 ],
- "E": [ 240 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1054": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 51 ],
- "E": [ 240 ],
- "Q": [ 48 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1055": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 348 ],
- "E": [ 87 ],
- "Q": [ 57 ],
- "S": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1056": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 259 ],
- "E": [ 88 ],
- "Q": [ 58 ],
- "S": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1057": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 350 ],
- "E": [ 87 ],
- "Q": [ 55 ],
- "S": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1058": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 352 ],
- "E": [ 87 ],
- "Q": [ 59 ],
- "S": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1059": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 186 ],
- "Q": [ 52 ],
- "S": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1060": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:78|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 187 ],
- "Q": [ 54 ],
- "S": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1202": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 36 ],
- "E": [ 86 ],
- "Q": [ 397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1203": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 31 ],
- "E": [ 86 ],
- "Q": [ 398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1204": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 37 ],
- "E": [ 86 ],
- "Q": [ 399 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1205": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 353 ],
- "E": [ 89 ],
- "Q": [ 90 ],
- "R": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1206": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 261 ],
- "E": [ 91 ],
- "Q": [ 260 ],
- "R": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1207": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 358 ],
- "E": [ 89 ],
- "Q": [ 357 ],
- "R": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1208": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 361 ],
- "E": [ 89 ],
- "Q": [ 360 ],
- "R": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1209": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 364 ],
- "E": [ 89 ],
- "Q": [ 363 ],
- "R": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1210": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 367 ],
- "E": [ 89 ],
- "Q": [ 366 ],
- "R": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1211": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 173 ],
- "E": [ 89 ],
- "Q": [ 369 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1212": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 176 ],
- "E": [ 89 ],
- "Q": [ 371 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1213": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 179 ],
- "E": [ 89 ],
- "Q": [ 373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1214": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 182 ],
- "E": [ 89 ],
- "Q": [ 375 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1215": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 185 ],
- "E": [ 89 ],
- "Q": [ 354 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1216": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 233 ],
- "Q": [ 44 ],
- "R": [ 45 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1217": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:139|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 85 ],
- "Q": [ 45 ],
- "R": [ 45 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$550": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 267 ],
- "E": [ 99 ],
- "Q": [ 266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$551": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 9 ],
- "E": [ 95 ],
- "Q": [ 400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$552": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 314 ],
- "Q": [ 92 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$553": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 257 ],
- "E": [ 93 ],
- "Q": [ 96 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$554": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 316 ],
- "Q": [ 97 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$555": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 318 ],
- "Q": [ 98 ],
- "R": [ 9 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$556": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 401 ],
- "E": [ 99 ],
- "Q": [ 193 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$557": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 402 ],
- "E": [ 99 ],
- "Q": [ 196 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$558": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 403 ],
- "E": [ 99 ],
- "Q": [ 199 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$559": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 404 ],
- "E": [ 99 ],
- "Q": [ 202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$560": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 405 ],
- "E": [ 99 ],
- "Q": [ 205 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$561": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 406 ],
- "E": [ 99 ],
- "Q": [ 208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$562": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 407 ],
- "E": [ 99 ],
- "Q": [ 211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$563": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 408 ],
- "E": [ 99 ],
- "Q": [ 214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$564": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 409 ],
- "E": [ 99 ],
- "Q": [ 217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$565": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 410 ],
- "E": [ 99 ],
- "Q": [ 220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$566": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 411 ],
- "E": [ 99 ],
- "Q": [ 223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$572": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 400 ],
- "E": [ 99 ],
- "Q": [ 123 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$574": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 402 ],
- "E": [ 241 ],
- "Q": [ 401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$575": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 403 ],
- "E": [ 241 ],
- "Q": [ 402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$576": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 404 ],
- "E": [ 241 ],
- "Q": [ 403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$577": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 405 ],
- "E": [ 241 ],
- "Q": [ 404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$578": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 406 ],
- "E": [ 241 ],
- "Q": [ 405 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$579": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 407 ],
- "E": [ 241 ],
- "Q": [ 406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$580": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 408 ],
- "E": [ 241 ],
- "Q": [ 407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$581": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 409 ],
- "E": [ 241 ],
- "Q": [ 408 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$582": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 410 ],
- "E": [ 241 ],
- "Q": [ 409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$583": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 411 ],
- "E": [ 241 ],
- "Q": [ 410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$584": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 412 ],
- "E": [ 241 ],
- "Q": [ 411 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$585": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 413 ],
- "E": [ 241 ],
- "Q": [ 412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$586": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 414 ],
- "E": [ 241 ],
- "Q": [ 413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$587": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 415 ],
- "E": [ 241 ],
- "Q": [ 414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$588": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:54|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 7 ],
- "E": [ 241 ],
- "Q": [ 415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$589": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 319 ],
- "E": [ 242 ],
- "Q": [ 109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$590": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 258 ],
- "E": [ 121 ],
- "Q": [ 110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$591": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 333 ],
- "E": [ 242 ],
- "Q": [ 111 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$592": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 335 ],
- "E": [ 242 ],
- "Q": [ 112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$593": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 337 ],
- "E": [ 242 ],
- "Q": [ 105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$594": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 339 ],
- "E": [ 242 ],
- "Q": [ 106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$595": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 341 ],
- "E": [ 242 ],
- "Q": [ 107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$596": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 343 ],
- "E": [ 242 ],
- "Q": [ 108 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$597": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 345 ],
- "E": [ 242 ],
- "Q": [ 117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$598": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 347 ],
- "E": [ 242 ],
- "Q": [ 118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$599": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 321 ],
- "E": [ 242 ],
- "Q": [ 119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$600": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 323 ],
- "E": [ 242 ],
- "Q": [ 120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$601": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 325 ],
- "E": [ 242 ],
- "Q": [ 113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$602": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 327 ],
- "E": [ 242 ],
- "Q": [ 114 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$603": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 329 ],
- "E": [ 242 ],
- "Q": [ 115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$604": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 331 ],
- "E": [ 242 ],
- "Q": [ 116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$605": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 124 ],
- "E": [ 130 ],
- "Q": [ 122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$606": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 194 ],
- "E": [ 125 ],
- "Q": [ 128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$607": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 197 ],
- "E": [ 129 ],
- "Q": [ 195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$608": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 200 ],
- "E": [ 125 ],
- "Q": [ 299 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$609": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 203 ],
- "E": [ 125 ],
- "Q": [ 301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$610": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 206 ],
- "E": [ 125 ],
- "Q": [ 303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$611": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 209 ],
- "E": [ 125 ],
- "Q": [ 305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$612": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 212 ],
- "E": [ 125 ],
- "Q": [ 307 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$613": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 215 ],
- "E": [ 125 ],
- "Q": [ 309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$614": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 218 ],
- "E": [ 125 ],
- "Q": [ 311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$615": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 221 ],
- "E": [ 125 ],
- "Q": [ 313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$616": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 224 ],
- "E": [ 125 ],
- "Q": [ 296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 104 ],
- "Q": [ 234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$623": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 265 ],
- "E": [ 124 ],
- "Q": [ 126 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$624": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 193 ],
- "E": [ 131 ],
- "Q": [ 416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$625": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 196 ],
- "E": [ 131 ],
- "Q": [ 417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$626": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 199 ],
- "E": [ 131 ],
- "Q": [ 418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$627": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 202 ],
- "E": [ 131 ],
- "Q": [ 419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$628": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 205 ],
- "E": [ 131 ],
- "Q": [ 420 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$629": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 208 ],
- "E": [ 131 ],
- "Q": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$630": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 211 ],
- "E": [ 131 ],
- "Q": [ 422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$631": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:118|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 214 ],
- "E": [ 131 ],
- "Q": [ 423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 424 ],
- "Q": [ 127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:147|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 8 ],
- "D": [ 266 ],
- "Q": [ 425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 425 ],
- "Q": [ 424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 248 ],
- "Q": [ 35 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 225 ],
- "Q": [ 36 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 249 ],
- "Q": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 250 ],
- "Q": [ 37 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 251 ],
- "Q": [ 32 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 252 ],
- "Q": [ 38 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 253 ],
- "Q": [ 39 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 254 ],
- "Q": [ 40 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 255 ],
- "Q": [ 41 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 256 ],
- "Q": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$903": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 145 ],
- "Q": [ 22 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$904": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 144 ],
- "Q": [ 21 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$905": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 142 ],
- "Q": [ 30 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$906": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 140 ],
- "Q": [ 23 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$907": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 138 ],
- "Q": [ 24 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$908": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 163 ],
- "Q": [ 27 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$909": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 165 ],
- "Q": [ 28 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$910": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 136 ],
- "Q": [ 29 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$911": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 134 ],
- "Q": [ 25 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$912": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 132 ],
- "Q": [ 26 ],
- "R": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$917": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 154 ],
- "Q": [ 174 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$918": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 226 ],
- "Q": [ 177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$919": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 393 ],
- "Q": [ 180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$920": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 395 ],
- "Q": [ 183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$922": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 170 ],
- "Q": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$925": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 191 ],
- "Q": [ 3 ],
- "R": [ 12 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$929": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 11 ],
- "Q": [ 4 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$931": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 231 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$932": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:69|/work/app/yosys/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 396 ],
- "D": [ 238 ],
- "Q": [ 5 ]
- }
- },
- "pll0.pll_inst": {
- "hide_name": 0,
- "type": "SB_PLL40_2_PAD",
- "parameters": {
- "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
- "DIVF": 66,
- "DIVQ": 5,
- "DIVR": 0,
- "ENABLE_ICEGATE_PORTA": 0,
- "ENABLE_ICEGATE_PORTB": 0,
- "FDA_FEEDBACK": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 1,
- "PLLOUT_SELECT_PORTB": "GENCLK",
- "SHIFTREG_DIV_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:24|hdl/lattice/pll.v:18"
- },
- "port_directions": {
- "BYPASS": "input",
- "DYNAMICDELAY": "input",
- "EXTFEEDBACK": "input",
- "LATCHINPUTVALUE": "input",
- "LOCK": "output",
- "PACKAGEPIN": "input",
- "PLLOUTCOREA": "output",
- "PLLOUTCOREB": "output",
- "PLLOUTGLOBALA": "output",
- "PLLOUTGLOBALB": "output",
- "RESETB": "input",
- "SCLK": "input",
- "SDI": "input",
- "SDO": "output"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "DYNAMICDELAY": [ ],
- "EXTFEEDBACK": [ ],
- "LATCHINPUTVALUE": [ ],
- "LOCK": [ 426 ],
- "PACKAGEPIN": [ 2 ],
- "PLLOUTCOREA": [ 427 ],
- "PLLOUTCOREB": [ 428 ],
- "PLLOUTGLOBALA": [ 429 ],
- "PLLOUTGLOBALB": [ 396 ],
- "RESETB": [ "1" ],
- "SCLK": [ ],
- "SDI": [ ],
- "SDO": [ ]
- }
- },
- "vga.pixeldata0.chardata.pattern_rom.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1011110111010000000111001100000110101101110000010110110011000001101011110100000100100110010000010111010100010000000000000000000000000000000000000100010000000000000000000100000001000000000000000100110010000000100011000000000011001000000000000000000000000000",
- "INIT_1": "1101111000100000000111111110000000110011001100100001101110110001101110110011001100000111011100010100011000110000000000000000000011011101000100000000110111010001000111001101000111011101000100010001011001010001000101110111000101010111001100000000000000000000",
- "INIT_2": "1101111101100000000100100010000010011000100100000111110110010001101110100001000000010011001000000101010001000000000000000000000011111100100000000011111111000000000111011111000010111111110100010001110111010000001111111110000001111100100000000000000000000000",
- "INIT_3": "1100110000000000000111011100000011011101110100000001100110010000001110011001000010111101110000000110010000000000000000000000000000110011001000100001001000100000100110110001001001110101000100010011001100010000000110111010000011111110010000000000000000000000",
- "INIT_4": "1100111000100000111100110000000011100000000100001110000000010000011000000001000011110011000000000100011000100000000000000000000000011101110100010000110011010001001011001100000111101100010000010010010001000001000001000101000100010101010100010001000100010001",
- "INIT_5": "0000110011000000111111000000000011110000000000001011101000100001011010011000000101100100000100010001010101010001000000000000000011010001000100011100111000110001111111110000000111111111001000111111111100000001010001100011000111011101010100010001000100010001",
- "INIT_6": "1000000011001100100000001100110010001000110011000110101011001100010001001100110000000101010001000001000001000100000000000000000011010101010000001000000000010000100000000001000010010001000000001001000000000000100100010001000010010000000000000000000000000000",
- "INIT_7": "1101110000000000000111001101000000011101110000000010110111010001101111110100000000010110011100000101010000000000000000000000000000001000110001000000100111010100000011011101010111001100110101011100100001000101000100000100010100010001010101010000000000000000",
- "INIT_8": "1010111011111001111111010001100111111101010100011011110101010001101111010101000110111101010100010110010000010001000000000000000000100010000000000001001000100000110111110011000000011111111100010011111111110000100111100110000001100110000000000000000000000000",
- "INIT_9": "1110111100010000000001111111000010001110011000000110011100010000100010111011000000000111011100000110011100010000000000000000000000110110011000000101010100000000010110001001000001111110100000001001111001110000000101110110000001110110000000000000000000000000",
- "INIT_A": "1110111011000000000111111110000000001110111100000000111111100000001111101100000000000100010100000011011101100000000000000000000011100011000000011110001100000001111000110010000111111011100000011110001100000001111000110100000101110111010100010000000000000000",
- "INIT_B": "1011100111010100101101011100110011110100110111001111001011001100001100101101110000010011011001000011001001100100000000000000000011110011000100011101111100110001000111111111000100101110110000000000010001000000000001100110000000100110010000000000000000000000",
- "INIT_C": "1111101000000000010110111010000011011011101100000111111110110001000111111110000000010111011000000011011101000000000000000000000000111011110001001001101101100100100101110110000011111111000100010001111111110000000100110110010000110010010001000000000000000000",
- "INIT_D": "1101110011000000111100000000000011110001000100010101000100010001111100010001000111110000000000001101110011000000000000000000000011011100010000000011000100000000101100010001000011011001000100011111110100010000001101010100000001010100010000000000000000000000",
- "INIT_E": "0100000000000000110000010000000011100010000100001101100100010001111000100001000011000001000000000100000000000000000000000000000010010011001100011011011101010001111001000000000001000000000000001010000000000000101000100000000010000010001000000000000000000000",
- "INIT_F": "1111110011001100000100010000100000110001000010000011001110011000000110111011000010011011001100011011001100010001000000000000000000010001000100010001000100010001001100010001000010111011000101001011000111000000000101010000000001010000000000000000000000000000",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 397, 398, 399, 430, 431, 432, 433, 434, 435, 436, "0" ],
- "RCLK": [ 396 ],
- "RCLKE": [ 46 ],
- "RDATA": [ 437, 81, 438, 439, 440, 79, 441, 442, 443, 76, 444, 445, 446, 71, 447, 448 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.pixeldata0.chardata.pattern_rom.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000010011011011000011011100000000000101110010110000010101000110000001011110101100000101011000100000000001010111000000000000000000000000000000000000000001000100000001000000000000000100000001000000010000000100000001000000000000000000010001000000000000000000",
- "INIT_1": "0000000011101110000011001110001100001110111100110000110111110011000001111111101100000101011100110000000001110110000000000000000000001100110111010000110111010001000011011101000000001101110111010000110111010010000011011111001100001100111101110000000000000000",
- "INIT_2": "0000010011001101000011101110000000001100111110100000110111010111000001001101100000000110011000010000011001100100000000000000000000000100110011000000110011100011000011001101000100000101110110110000010001110001000001000100001100000100010001000000000000000000",
- "INIT_3": "0000000011001100000001000100000100000100110110010000110011110011000011001101001100000100110010110000000001000110000000000000000000000100111010110000011011101000000011101111100100000101111111010000010011111011000001101110100100000100011011100000000000000000",
- "INIT_4": "0000000011001100000000000000110100000000000111100000000000011110000000000001011000000000000011010000000001000100000000000000000000011111111100010001110111110010000111011100001000011101110011100001110111000010000111011111001000011111111100010001000100010001",
- "INIT_5": "0000110011010001000011011100000000001101110011000000110011110111000011001100010000001100110000000000110011000000000000000000000000010001110111010001110111110010000111011100001100010011001000110001000100000011000100010011001000010001000101010001000100010001",
- "INIT_6": "0000110111010000000011011101000100001100110110010000110011101011000011001100010100000100010001010000010001000001000000000000000000000110011011010000010001111010000001000111101000000100011010110000010001001000000001000101100100000100010010000000000000000000",
- "INIT_7": "0000000011001100000011101111000000001100111000110000110111010011000001001100100100000100010100000000000001000100000000000000000000001111111100000000111111110001000011001101000100001100110010010000110011001101000001000100010100000100010000000000000000000000",
- "INIT_8": "0000001000100010000000000100011000000100110110110000110111010011000011001111001100000100110010100000000001000110000000000000000000001101111100100000111111110001000011111111110100001111111100110000111111110001000011111111100100000101011101100000000000000000",
- "INIT_9": "0000100111111110000001110111000000000000100010000000100101010110000001011101100000000111011100000000000101110110000000000000000000001110111000100000111011100001000011001111011000001100110001000000110011011000000001100110000100000100011001100000000000000000",
- "INIT_A": "0000000011111111000011111110000000001100110000010000110011010000000011111110001000000110011100010000011001100010000000000000000000000000000011000000000000001100000000100010111000001010100111010000000100111100000001010001111000000100010101010000000000000000",
- "INIT_B": "0000010011011011000011001100011100001100110101100000110011000000000011001101000000000110011000010000011001100010000000000000000000000001001111110000001111111101000011111111000100001110111000100000011001100000000001100110000000000100011000100000000000000000",
- "INIT_C": "0000000010101110000000100010010100000000100111010000100111110111000011101110000100000110011000010000010001100011000000000000000000001100111000110000011011101001000000100110110100000001101111110000101011110101000001100110000100000100011000100000000000000000",
- "INIT_D": "0000000000100110000000000000111100000000000111110000000110011101000000000001111100000000000011110000000000000100000000000000000000000100110011000000010011001010000000010101111100000001000101010000000110011011000000000000001000000100010001000000000000000000",
- "INIT_E": "0000110011001100000000000000110100000000001111100000000100010101000000000011111000000000000011010000110011001100000000000000000000000001000110010000000100011001000000010001101100000001001101110000000101011111000001000100100000000000000010000000000000000000",
- "INIT_F": "0000110011001110000010000000000100001000000000110000100010010011000000001001100100000011001110010000000100110011000000000000000000000011001100010000000100110011000000000001001100001100100100010000000011001011000000000010011100000010001000000000000000000000",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 397, 398, 399, 430, 431, 432, 433, 434, 435, 436, "0" ],
- "RCLK": [ 396 ],
- "RCLKE": [ 46 ],
- "RDATA": [ 449, 69, 450, 451, 452, 66, 453, 454, 455, 61, 456, 457, 458, 50, 459, 460 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000001000000010000000100000000000000001000000100000000100000001000000110000001100000010000000000000000000000011000000010000000100000011000000100000001000000000000000000000001000000001000000010000001000000010000000100000000000000000000000110000000000000000",
- "INIT_1": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000001",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000",
- "INIT_5": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_9": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_D": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "RCLK": [ 396 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 461, 462, 463, 430, 464, 465, 466, 467, 468, 469, 470, 431, 471, 472, 473, 474 ],
- "RE": [ "1" ],
- "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ],
- "WCLK": [ 396 ],
- "WCLKE": [ 235 ],
- "WDATA": [ "x", "x", "x", 416, "x", "x", "x", "x", "x", "x", "x", 417, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000001000000011000000110000001100000010000000100000001100000011000000100000000100000010000000000000001000000000000000100000000100000000000000110000000000000010000000010000001100000001000000110000000100000001000000010000000100000000000000010000000100000000",
- "INIT_1": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "RCLK": [ 396 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 475, 476, 477, 432, 478, 479, 480, 481, 482, 483, 484, 433, 485, 486, 487, 488 ],
- "RE": [ "1" ],
- "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ],
- "WCLK": [ 396 ],
- "WCLKE": [ 235 ],
- "WDATA": [ "x", "x", "x", 418, "x", "x", "x", "x", "x", "x", "x", 419, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000100000000000000010000000000000001000000010000000100000000000000000000000100000001000000000000000000000000000000000000000000000000000000010000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000",
- "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000100000010",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000",
- "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000",
- "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "RCLK": [ 396 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 489, 490, 491, 434, 492, 493, 494, 495, 496, 497, 498, 435, 499, 500, 501, 502 ],
- "RE": [ "1" ],
- "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ],
- "WCLK": [ 396 ],
- "WCLKE": [ 235 ],
- "WDATA": [ "x", "x", "x", 420, "x", "x", "x", "x", "x", "x", "x", 421, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.3.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000000000011000000000000001100000000000000110000000000000011000000000000001100000000000000100000000000000011000000000000001100000000000000110000000000000010000000000000001000000000000000110000000000000011000000000000001100000000000000110000000000000011",
- "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_5": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:277|/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "RCLK": [ 396 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 503, 504, 505, 436, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517 ],
- "RE": [ "1" ],
- "WADDR": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ],
- "WCLK": [ 396 ],
- "WCLKE": [ 235 ],
- "WDATA": [ "x", "x", "x", 422, "x", "x", "x", "x", "x", "x", "x", 423, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$abc$3383$n104": {
- "hide_name": 1,
- "bits": [ 88 ],
- "attributes": {
- }
- },
- "$abc$3383$n107": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$3383$n110": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$3383$n111": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$3383$n115": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$3383$n116": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$3383$n117": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$3383$n118": {
- "hide_name": 1,
- "bits": [ 99 ],
- "attributes": {
- }
- },
- "$abc$3383$n123": {
- "hide_name": 1,
- "bits": [ 242 ],
- "attributes": {
- }
- },
- "$abc$3383$n124": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$3383$n129": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$3383$n136": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$3383$n138": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$3383$n139": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$3383$n141": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$3383$n142": {
- "hide_name": 1,
- "bits": [ 244 ],
- "attributes": {
- }
- },
- "$abc$3383$n144": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$3383$n148": {
- "hide_name": 1,
- "bits": [ 246 ],
- "attributes": {
- }
- },
- "$abc$3383$n150": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$3383$n151": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$3383$n153": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$3383$n154": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$3383$n197": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$3383$n198": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$3383$n200": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$3383$n201": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$3383$n204": {
- "hide_name": 1,
- "bits": [ 156 ],
- "attributes": {
- }
- },
- "$abc$3383$n206": {
- "hide_name": 1,
- "bits": [ 158 ],
- "attributes": {
- }
- },
- "$abc$3383$n207": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$3383$n210": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$3383$n237_1": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$3383$n238": {
- "hide_name": 1,
- "bits": [ 20 ],
- "attributes": {
- }
- },
- "$abc$3383$n239": {
- "hide_name": 1,
- "bits": [ 15 ],
- "attributes": {
- }
- },
- "$abc$3383$n240": {
- "hide_name": 1,
- "bits": [ 33 ],
- "attributes": {
- }
- },
- "$abc$3383$n241": {
- "hide_name": 1,
- "bits": [ 34 ],
- "attributes": {
- }
- },
- "$abc$3383$n244": {
- "hide_name": 1,
- "bits": [ 46 ],
- "attributes": {
- }
- },
- "$abc$3383$n245_1": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$3383$n246": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$3383$n247": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$3383$n248": {
- "hide_name": 1,
- "bits": [ 11 ],
- "attributes": {
- }
- },
- "$abc$3383$n248_1": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$3383$n249": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$3383$n251": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$3383$n255_1": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$3383$n259_1": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$3383$n267_1": {
- "hide_name": 1,
- "bits": [ 94 ],
- "attributes": {
- }
- },
- "$abc$3383$n270_1": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$3383$n271_1": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$3383$n272": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$3383$n273_1": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$3383$n278": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$3383$n280": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$3383$n283": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$3383$n286": {
- "hide_name": 1,
- "bits": [ 181 ],
- "attributes": {
- }
- },
- "$abc$3383$n289": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$3383$n294": {
- "hide_name": 1,
- "bits": [ 186 ],
- "attributes": {
- }
- },
- "$abc$3383$n295": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$3383$n299": {
- "hide_name": 1,
- "bits": [ 167 ],
- "attributes": {
- }
- },
- "$abc$3383$n3": {
- "hide_name": 1,
- "bits": [ 12 ],
- "attributes": {
- }
- },
- "$abc$3383$n300": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$3383$n301": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$3383$n302_1": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$3383$n304": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$3383$n310": {
- "hide_name": 1,
- "bits": [ 198 ],
- "attributes": {
- }
- },
- "$abc$3383$n313": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$3383$n314_1": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$3383$n315_1": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$3383$n316": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$3383$n319": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$3383$n322": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$3383$n325": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$3383$n328": {
- "hide_name": 1,
- "bits": [ 216 ],
- "attributes": {
- }
- },
- "$abc$3383$n330_1": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$3383$n331": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$3383$n331_1": {
- "hide_name": 1,
- "bits": [ 228 ],
- "attributes": {
- }
- },
- "$abc$3383$n332_1": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$3383$n334": {
- "hide_name": 1,
- "bits": [ 222 ],
- "attributes": {
- }
- },
- "$abc$3383$n334_1": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$3383$n337": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$3383$n361": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$3383$n362": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$3383$n363": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$3383$n364": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$3383$n365": {
- "hide_name": 1,
- "bits": [ 138 ],
- "attributes": {
- }
- },
- "$abc$3383$n366": {
- "hide_name": 1,
- "bits": [ 163 ],
- "attributes": {
- }
- },
- "$abc$3383$n366_1": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$3383$n367": {
- "hide_name": 1,
- "bits": [ 165 ],
- "attributes": {
- }
- },
- "$abc$3383$n367_1": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$3383$n368": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$3383$n369": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$3383$n370": {
- "hide_name": 1,
- "bits": [ 132 ],
- "attributes": {
- }
- },
- "$abc$3383$n371": {
- "hide_name": 1,
- "bits": [ 161 ],
- "attributes": {
- }
- },
- "$abc$3383$n373": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$3383$n374": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$3383$n375": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- }
- },
- "$abc$3383$n376": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$3383$n377": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$3383$n378": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$3383$n379": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$3383$n380": {
- "hide_name": 1,
- "bits": [ 16 ],
- "attributes": {
- }
- },
- "$abc$3383$n383": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$3383$n386": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$3383$n388": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$3383$n393": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$3383$n395": {
- "hide_name": 1,
- "bits": [ 13 ],
- "attributes": {
- }
- },
- "$abc$3383$n396": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$3383$n397": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$3383$n398": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$3383$n399": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$3383$n404": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$3383$n406": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$3383$n415": {
- "hide_name": 1,
- "bits": [ 233 ],
- "attributes": {
- }
- },
- "$abc$3383$n5": {
- "hide_name": 1,
- "bits": [ 10 ],
- "attributes": {
- }
- },
- "$abc$3383$n58": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$3383$n7": {
- "hide_name": 1,
- "bits": [ 18 ],
- "attributes": {
- }
- },
- "$abc$3383$n86": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$3383$n91": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$402.C": {
- "hide_name": 1,
- "bits": [ 518, 519, 520, 521, 522, 523, 269, 270, 271, 272 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$412.C": {
- "hide_name": 1,
- "bits": [ 524, 525, 273, 274, 275, 276, 277, 278, 279, 280 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:56|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$423.C": {
- "hide_name": 1,
- "bits": [ 526, 527, 281, 282, 283, 284, 285, 286, 287, 288 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:51|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$434.C": {
- "hide_name": 1,
- "bits": [ 528, 529, 530, 531, 532, 533, 289, 290, 291, 292 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:63|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$439.C": {
- "hide_name": 1,
- "bits": [ 534, 535, 536, 537, 538, 539, 540, 293, 294, 295 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$444.C": {
- "hide_name": 1,
- "bits": [ 541, 542, 298, 300, 302, 304, 306, 308, 310, 312, 297, 543, 544, 545, 546, 547 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:113|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$447.C": {
- "hide_name": 1,
- "bits": [ 548, 549, 315, 317 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$450.C": {
- "hide_name": 1,
- "bits": [ 550, 551, 332, 334, 336, 338, 340, 342, 344, 346, 320, 322, 324, 326, 328, 330 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:96|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$453.C": {
- "hide_name": 1,
- "bits": [ 552, 553, 349, 351 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:62|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$456.C": {
- "hide_name": 1,
- "bits": [ 554, 555, 356, 359, 362, 365, 368, 370, 372, 374, 355 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$459.C": {
- "hide_name": 1,
- "bits": [ 556, 557, 376, 377, 378, 379, 380, 381, 382, 383 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:39|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$462.C": {
- "hide_name": 1,
- "bits": [ 558, 559, 384, 385, 386, 387, 388, 389, 390, 391 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:43|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$465.C": {
- "hide_name": 1,
- "bits": [ 560, 561, 562, 563, 564, 565, 566, 392, 394 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:66|/work/app/yosys/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$techmap482\\vga.vram.mem.3.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 503, 504, 505, 567, 506, 507, 508, 509, 510, 511, 512, 568, 514, 515, 516, 517 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap484\\vga.vram.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 475, 476, 477, 569, 478, 479, 480, 481, 482, 483, 484, 570, 485, 486, 487, 488 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap486\\vga.vram.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 461, 462, 463, 571, 464, 465, 466, 467, 468, 469, 470, 572, 471, 472, 473, 474 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap488\\vga.vram.mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 489, 490, 491, 573, 492, 493, 494, 495, 496, 497, 498, 574, 499, 500, 501, 502 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap490\\vga.pixeldata0.chardata.pattern_rom.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 449, 575, 450, 451, 452, 576, 453, 454, 455, 577, 456, 457, 458, 578, 459, 460 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap492\\vga.pixeldata0.chardata.pattern_rom.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 437, 579, 438, 439, 440, 580, 441, 442, 443, 581, 444, 445, 446, 582, 447, 448 ],
- "attributes": {
- "src": "/work/app/yosys/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap\\sdi.$add$hdl/spi_debug_ifc.v:45$79_Y": {
- "hide_name": 1,
- "bits": [ 314, 583, 316, 318 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:45"
- }
- },
- "$techmap\\vga.pixeldata0.$1\\next_bitcount[3:0]": {
- "hide_name": 1,
- "bits": [ 348, 584, 350, 352 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:43"
- }
- },
- "$techmap\\vga.pixeldata0.chardata.$add$hdl/vga/chardata.v:130$114_Y": {
- "hide_name": 1,
- "bits": [ 353, 585, 358, 361, 364, 367, 586, 587, 588, 589, 590 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:130"
- }
- },
- "clk12m": {
- "hide_name": 0,
- "bits": [ 429 ],
- "attributes": {
- "src": "hdl/ice40.v:22",
- "unused_bits": "0"
- }
- },
- "clk12m_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "hdl/ice40.v:7"
- }
- },
- "clk25m": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:21"
- }
- },
- "out1": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "hdl/ice40.v:17"
- }
- },
- "out2": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:18"
- }
- },
- "pll0.LOCK": {
- "hide_name": 0,
- "bits": [ 426 ],
- "attributes": {
- "src": "hdl/ice40.v:24|hdl/lattice/pll.v:15",
- "unused_bits": "0"
- }
- },
- "pll0.PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "hdl/ice40.v:24|hdl/lattice/pll.v:9"
- }
- },
- "pll0.PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 427 ],
- "attributes": {
- "src": "hdl/ice40.v:24|hdl/lattice/pll.v:11",
- "unused_bits": "0"
- }
- },
- "pll0.PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 428 ],
- "attributes": {
- "src": "hdl/ice40.v:24|hdl/lattice/pll.v:12",
- "unused_bits": "0"
- }
- },
- "pll0.PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 429 ],
- "attributes": {
- "src": "hdl/ice40.v:24|hdl/lattice/pll.v:13",
- "unused_bits": "0"
- }
- },
- "pll0.PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:24|hdl/lattice/pll.v:14"
- }
- },
- "sdi.addr": {
- "hide_name": 0,
- "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296, "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:73"
- }
- },
- "sdi.addr_next": {
- "hide_name": 0,
- "bits": [ 194, 197, 200, 203, 206, 209, 212, 215, 218, 221, 224, "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:77"
- }
- },
- "sdi.data": {
- "hide_name": 0,
- "bits": [ 416, 417, 418, 419, 420, 421, 422, 423, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:74"
- }
- },
- "sdi.data_next": {
- "hide_name": 0,
- "bits": [ 193, 196, 199, 202, 205, 208, 211, 214, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:78"
- }
- },
- "sdi.delay": {
- "hide_name": 0,
- "bits": [ 109, 110, 111, 112, 105, 106, 107, 108, 117, 118, 119, 120, 113, 114, 115, 116 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:83"
- }
- },
- "sdi.delay_next": {
- "hide_name": 0,
- "bits": [ 319, 258, 333, 335, 337, 339, 341, 343, 345, 347, 321, 323, 325, 327, 329, 331 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:84"
- }
- },
- "sdi.enabled": {
- "hide_name": 0,
- "bits": [ 234 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:72"
- }
- },
- "sdi.enabled_next": {
- "hide_name": 0,
- "bits": [ 104 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:79"
- }
- },
- "sdi.spi_clk": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:7"
- }
- },
- "sdi.spi_count": {
- "hide_name": 0,
- "bits": [ 92, 96, 97, 98 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:19"
- }
- },
- "sdi.spi_cs_i": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:8"
- }
- },
- "sdi.spi_data": {
- "hide_name": 0,
- "bits": [ 193, 196, 199, 202, 205, 208, 211, 214, 217, 220, 223, "x", "x", "x", "x", "x", 123 ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:18"
- }
- },
- "sdi.spi_data_i": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:9"
- }
- },
- "sdi.spi_data_next": {
- "hide_name": 0,
- "bits": [ 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, "x", "x", "x", "x", "x", 400 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:28"
- }
- },
- "sdi.spi_flag": {
- "hide_name": 0,
- "bits": [ 400 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:21"
- }
- },
- "sdi.spi_flag_next": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:31"
- }
- },
- "sdi.spi_next": {
- "hide_name": 0,
- "bits": [ 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 7 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:25"
- }
- },
- "sdi.spi_shift": {
- "hide_name": 0,
- "bits": [ "x", 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415 ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:17"
- }
- },
- "sdi.spi_shift_next": {
- "hide_name": 0,
- "bits": [ "x", 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 7 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:27"
- }
- },
- "sdi.spi_signal": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:20"
- }
- },
- "sdi.spi_signal_next": {
- "hide_name": 0,
- "bits": [ 267 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:30"
- }
- },
- "sdi.sync_spi_sys.a": {
- "hide_name": 0,
- "bits": [ 425 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:142"
- }
- },
- "sdi.sync_spi_sys.b": {
- "hide_name": 0,
- "bits": [ 424 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145"
- }
- },
- "sdi.sync_spi_sys.c": {
- "hide_name": 0,
- "bits": [ 127 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145"
- }
- },
- "sdi.sync_spi_sys.rxclk": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:138"
- }
- },
- "sdi.sync_spi_sys.rxdat": {
- "hide_name": 0,
- "bits": [ 127 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:139"
- }
- },
- "sdi.sync_spi_sys.txclk": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:136"
- }
- },
- "sdi.sync_spi_sys.txdat": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:137"
- }
- },
- "sdi.sys_clk": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:11"
- }
- },
- "sdi.sys_signal": {
- "hide_name": 0,
- "bits": [ 127 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:62"
- }
- },
- "sdi.sys_signal_ack": {
- "hide_name": 0,
- "bits": [ 126 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:71"
- }
- },
- "sdi.sys_signal_ack_next": {
- "hide_name": 0,
- "bits": [ 265 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:80"
- }
- },
- "sdi.sys_waddr_o": {
- "hide_name": 0,
- "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296, "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:13"
- }
- },
- "sdi.sys_wdata_o": {
- "hide_name": 0,
- "bits": [ 416, 417, 418, 419, 420, 421, 422, 423, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:14"
- }
- },
- "sdi.sys_wr_o": {
- "hide_name": 0,
- "bits": [ 235 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:12"
- }
- },
- "sdi.wr": {
- "hide_name": 0,
- "bits": [ 122 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:75"
- }
- },
- "sdi.wr_next": {
- "hide_name": 0,
- "bits": [ 124 ],
- "attributes": {
- "src": "hdl/ice40.v:50|hdl/spi_debug_ifc.v:81"
- }
- },
- "spi_clk": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hdl/ice40.v:15"
- }
- },
- "spi_cs": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:16"
- }
- },
- "spi_miso": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "hdl/ice40.v:14"
- }
- },
- "spi_mosi": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:13"
- }
- },
- "vga.advance": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:19"
- }
- },
- "vga.b": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:16"
- }
- },
- "vga.blu": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:6"
- }
- },
- "vga.clk25m": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:3"
- }
- },
- "vga.g": {
- "hide_name": 0,
- "bits": [ 3, 3, 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:15"
- }
- },
- "vga.grn": {
- "hide_name": 0,
- "bits": [ 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:5"
- }
- },
- "vga.hs": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:7"
- }
- },
- "vga.line": {
- "hide_name": 0,
- "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:20"
- }
- },
- "vga.newline": {
- "hide_name": 0,
- "bits": [ 86 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:18"
- }
- },
- "vga.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 191, 191, 191, 191, 191, 191, 191, 191 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:21"
- }
- },
- "vga.pixeldata0.advance": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:17"
- }
- },
- "vga.pixeldata0.bitcount": {
- "hide_name": 0,
- "bits": [ 57, 58, 55, 59 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:40"
- }
- },
- "vga.pixeldata0.cdata": {
- "hide_name": 0,
- "bits": [ 84, 78, 75, 74, 68, 65, 64, 48 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:39"
- }
- },
- "vga.pixeldata0.chardata.cdata": {
- "hide_name": 0,
- "bits": [ 81, 79, 76, 71, 69, 66, 61, 50 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:92"
- }
- },
- "vga.pixeldata0.chardata.clk": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:88"
- }
- },
- "vga.pixeldata0.chardata.line": {
- "hide_name": 0,
- "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:91"
- }
- },
- "vga.pixeldata0.chardata.newline": {
- "hide_name": 0,
- "bits": [ 86 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:89"
- }
- },
- "vga.pixeldata0.chardata.next_addr": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", 173, 176, 179, 182, 185 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:106"
- }
- },
- "vga.pixeldata0.chardata.next_pline": {
- "hide_name": 0,
- "bits": [ 36, 31, 37 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:103"
- }
- },
- "vga.pixeldata0.chardata.pline": {
- "hide_name": 0,
- "bits": [ 397, 398, 399 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:103"
- }
- },
- "vga.pixeldata0.chardata.state": {
- "hide_name": 0,
- "bits": [ 44, 45 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:105"
- }
- },
- "vga.pixeldata0.chardata.vram_addr": {
- "hide_name": 0,
- "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:95"
- }
- },
- "vga.pixeldata0.chardata.vram_data": {
- "hide_name": 0,
- "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:29|hdl/vga/chardata.v:94"
- }
- },
- "vga.pixeldata0.clk": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:15"
- }
- },
- "vga.pixeldata0.line": {
- "hide_name": 0,
- "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:18"
- }
- },
- "vga.pixeldata0.new_cdata": {
- "hide_name": 0,
- "bits": [ 81, 79, 76, 71, 69, 66, 61, 50 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:26"
- }
- },
- "vga.pixeldata0.newline": {
- "hide_name": 0,
- "bits": [ 86 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:16"
- }
- },
- "vga.pixeldata0.next_cdata": {
- "hide_name": 0,
- "bits": [ 83, 80, 77, 73, 70, 67, 63, 51 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:39"
- }
- },
- "vga.pixeldata0.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 191, 191, 191, 191, 191, 191, 191, 191 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:20"
- }
- },
- "vga.pixeldata0.state": {
- "hide_name": 0,
- "bits": [ 52, 54 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:41"
- }
- },
- "vga.pixeldata0.vram_addr": {
- "hide_name": 0,
- "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:23"
- }
- },
- "vga.pixeldata0.vram_data": {
- "hide_name": 0,
- "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:43|hdl/vga/chardata.v:22"
- }
- },
- "vga.r": {
- "hide_name": 0,
- "bits": [ 3, 3, 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:14"
- }
- },
- "vga.red": {
- "hide_name": 0,
- "bits": [ 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:4"
- }
- },
- "vga.vga0.advance": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:19"
- }
- },
- "vga.vga0.b": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:16"
- }
- },
- "vga.vga0.clk": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:11"
- }
- },
- "vga.vga0.g": {
- "hide_name": 0,
- "bits": [ 3, 3, 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:15"
- }
- },
- "vga.vga0.hcount": {
- "hide_name": 0,
- "bits": [ 22, 21, 30, 23, 24, 27, 28, 29, 25, 26 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:24"
- }
- },
- "vga.vga0.hs": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:12"
- }
- },
- "vga.vga0.line": {
- "hide_name": 0,
- "bits": [ 36, 31, 37, 32, 174, 177, 180, 183 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:20"
- }
- },
- "vga.vga0.newline": {
- "hide_name": 0,
- "bits": [ 86 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:18"
- }
- },
- "vga.vga0.next_hcount": {
- "hide_name": 0,
- "bits": [ 591, 592, 593, 594, 139, 164, 166, 137, 135, 133 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:27"
- }
- },
- "vga.vga0.next_hs": {
- "hide_name": 0,
- "bits": [ 238 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:29"
- }
- },
- "vga.vga0.next_line": {
- "hide_name": 0,
- "bits": [ "x", 225, 249, 250, 251, 154, 226, 393, 395 ],
- "attributes": {
- }
- },
- "vga.vga0.next_startline": {
- "hide_name": 0,
- "bits": [ 170 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:31"
- }
- },
- "vga.vga0.next_vcount": {
- "hide_name": 0,
- "bits": [ 248, 225, 249, 250, 251, 252, 253, 254, 255, 256 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:28"
- }
- },
- "vga.vga0.next_vs": {
- "hide_name": 0,
- "bits": [ 231 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:29"
- }
- },
- "vga.vga0.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 191, 191, 191, 191, 191, 191, 191, 191 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:21"
- }
- },
- "vga.vga0.r": {
- "hide_name": 0,
- "bits": [ 3, 3, 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:14"
- }
- },
- "vga.vga0.vcount": {
- "hide_name": 0,
- "bits": [ 35, 36, 31, 37, 32, 38, 39, 40, 41, 17 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:25"
- }
- },
- "vga.vga0.vs": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:23|hdl/vga/vga.v:13"
- }
- },
- "vga.vram.clk": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:8"
- }
- },
- "vga.vram.raddr": {
- "hide_name": 0,
- "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:11"
- }
- },
- "vga.vram.rdata": {
- "hide_name": 0,
- "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:12"
- }
- },
- "vga.vram.waddr": {
- "hide_name": 0,
- "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:9"
- }
- },
- "vga.vram.wdata": {
- "hide_name": 0,
- "bits": [ 416, 417, 418, 419, 420, 421, 422, 423 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:10"
- }
- },
- "vga.vram.we": {
- "hide_name": 0,
- "bits": [ 235 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:53|hdl/vga/videoram.v:8"
- }
- },
- "vga.vram_raddr": {
- "hide_name": 0,
- "bits": [ 90, 260, 357, 360, 363, 366, 369, 371, 373, 375, 354 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:40"
- }
- },
- "vga.vram_rdata": {
- "hide_name": 0,
- "bits": [ 430, 431, 432, 433, 434, 435, 436, 513 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:41"
- }
- },
- "vga.vram_waddr": {
- "hide_name": 0,
- "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:9"
- }
- },
- "vga.vram_wdata": {
- "hide_name": 0,
- "bits": [ 416, 417, 418, 419, 420, 421, 422, 423 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:10"
- }
- },
- "vga.vram_we": {
- "hide_name": 0,
- "bits": [ 235 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:11"
- }
- },
- "vga.vs": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:62|hdl/vga/vga40x30x2.v:8"
- }
- },
- "vga_b": {
- "hide_name": 0,
- "bits": [ 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:10"
- }
- },
- "vga_g": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:9"
- }
- },
- "vga_hsync": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "hdl/ice40.v:11"
- }
- },
- "vga_r": {
- "hide_name": 0,
- "bits": [ 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:8"
- }
- },
- "vga_vsync": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:12"
- }
- },
- "waddr": {
- "hide_name": 0,
- "bits": [ 128, 195, 299, 301, 303, 305, 307, 309, 311, 313, 296, "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:45"
- }
- },
- "wdata": {
- "hide_name": 0,
- "bits": [ 416, 417, 418, 419, 420, 421, 422, 423, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:46"
- }
- },
- "we": {
- "hide_name": 0,
- "bits": [ 235 ],
- "attributes": {
- "src": "hdl/ice40.v:47"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0127/top.json.gz b/ice40/regressions/issue0127/top.json.gz
new file mode 100644
index 0000000..874fe33
--- /dev/null
+++ b/ice40/regressions/issue0127/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0145/top.json b/ice40/regressions/issue0145/top.json
deleted file mode 100644
index cf1dee5..0000000
--- a/ice40/regressions/issue0145/top.json
+++ /dev/null
@@ -1,6977 +0,0 @@
-{
- "creator": "Yosys 0.8+148 (git sha1 e112d2fb, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK_OSC100": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LED1": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "$abc$223$auto$blifparse.cc:492:parse_blif$224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 6 ],
- "I3": [ "0" ],
- "O": [ 7 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 8 ],
- "I3": [ 9 ],
- "O": [ 10 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 9 ],
- "CO": [ 11 ],
- "I0": [ "0" ],
- "I1": [ 8 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 12 ],
- "I3": [ 11 ],
- "O": [ 13 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 11 ],
- "CO": [ 14 ],
- "I0": [ "0" ],
- "I1": [ 12 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 15 ],
- "I3": [ 14 ],
- "O": [ 16 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 14 ],
- "CO": [ 17 ],
- "I0": [ "0" ],
- "I1": [ 15 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 18 ],
- "I3": [ 17 ],
- "O": [ 19 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 17 ],
- "CO": [ 20 ],
- "I0": [ "0" ],
- "I1": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 21 ],
- "I3": [ 20 ],
- "O": [ 22 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 20 ],
- "CO": [ 23 ],
- "I0": [ "0" ],
- "I1": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 24 ],
- "I3": [ 23 ],
- "O": [ 25 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 23 ],
- "CO": [ 26 ],
- "I0": [ "0" ],
- "I1": [ 24 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 27 ],
- "I3": [ 26 ],
- "O": [ 28 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 26 ],
- "CO": [ 29 ],
- "I0": [ "0" ],
- "I1": [ 27 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 30 ],
- "I3": [ 29 ],
- "O": [ 31 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 29 ],
- "CO": [ 32 ],
- "I0": [ "0" ],
- "I1": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 33 ],
- "I3": [ 32 ],
- "O": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 32 ],
- "CO": [ 35 ],
- "I0": [ "0" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 36 ],
- "I3": [ 35 ],
- "O": [ 37 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 35 ],
- "CO": [ 38 ],
- "I0": [ "0" ],
- "I1": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 6 ],
- "CO": [ 39 ],
- "I0": [ "0" ],
- "I1": [ 4 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 40 ],
- "I3": [ 38 ],
- "O": [ 41 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 38 ],
- "CO": [ 42 ],
- "I0": [ "0" ],
- "I1": [ 40 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 43 ],
- "I3": [ 42 ],
- "O": [ 44 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 42 ],
- "CO": [ 45 ],
- "I0": [ "0" ],
- "I1": [ 43 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 46 ],
- "I3": [ 45 ],
- "O": [ 47 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 45 ],
- "CO": [ 48 ],
- "I0": [ "0" ],
- "I1": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 49 ],
- "I3": [ 48 ],
- "O": [ 50 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 48 ],
- "CO": [ 51 ],
- "I0": [ "0" ],
- "I1": [ 49 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3 ],
- "I3": [ 51 ],
- "O": [ 52 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 53 ],
- "I3": [ 39 ],
- "O": [ 54 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 39 ],
- "CO": [ 55 ],
- "I0": [ "0" ],
- "I1": [ 53 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 56 ],
- "I3": [ 55 ],
- "O": [ 57 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 55 ],
- "CO": [ 58 ],
- "I0": [ "0" ],
- "I1": [ 56 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 59 ],
- "I3": [ 58 ],
- "O": [ 60 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 58 ],
- "CO": [ 61 ],
- "I0": [ "0" ],
- "I1": [ 59 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 62 ],
- "I3": [ 61 ],
- "O": [ 63 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 61 ],
- "CO": [ 64 ],
- "I0": [ "0" ],
- "I1": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 65 ],
- "I3": [ 64 ],
- "O": [ 66 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 64 ],
- "CO": [ 67 ],
- "I0": [ "0" ],
- "I1": [ 65 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 68 ],
- "I3": [ 67 ],
- "O": [ 69 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 67 ],
- "CO": [ 70 ],
- "I0": [ "0" ],
- "I1": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 71 ],
- "I3": [ 70 ],
- "O": [ 72 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 70 ],
- "CO": [ 73 ],
- "I0": [ "0" ],
- "I1": [ 71 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 74 ],
- "I3": [ 73 ],
- "O": [ 75 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 73 ],
- "CO": [ 9 ],
- "I0": [ "0" ],
- "I1": [ 74 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$60": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 7 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$61": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 5 ],
- "E": [ 6 ],
- "Q": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$62": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 54 ],
- "Q": [ 53 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$63": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 57 ],
- "Q": [ 56 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$64": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 60 ],
- "Q": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$65": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 63 ],
- "Q": [ 62 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$66": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 66 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$67": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 69 ],
- "Q": [ 68 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$68": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 72 ],
- "Q": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$69": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 75 ],
- "Q": [ 74 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$70": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 10 ],
- "Q": [ 8 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$71": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 13 ],
- "Q": [ 12 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$72": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 16 ],
- "Q": [ 15 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$73": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 19 ],
- "Q": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$74": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 22 ],
- "Q": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$75": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 25 ],
- "Q": [ 24 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$76": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 28 ],
- "Q": [ 27 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$77": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 31 ],
- "Q": [ 30 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$78": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 34 ],
- "Q": [ 33 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$79": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 37 ],
- "Q": [ 36 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$80": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 41 ],
- "Q": [ 40 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$81": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 44 ],
- "Q": [ 43 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$82": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 47 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$83": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 50 ],
- "Q": [ 49 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$84": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 76 ],
- "D": [ 52 ],
- "Q": [ 3 ]
- }
- },
- "pll": {
- "hide_name": 0,
- "type": "SB_PLL40_PAD",
- "parameters": {
- "DIVF": 47,
- "DIVQ": 5,
- "DIVR": 4,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 2
- },
- "attributes": {
- "BEL": "X16/Y33/pll_3",
- "module_not_derived": 1,
- "src": "top.v:9"
- },
- "port_directions": {
- "BYPASS": "input",
- "PACKAGEPIN": "input",
- "PLLOUTCORE": "output",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "PACKAGEPIN": [ 2 ],
- "PLLOUTCORE": [ 76 ],
- "RESETB": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\count[24:0]": {
- "hide_name": 1,
- "bits": [ 7, 5, 54, 57, 60, 63, 66, 69, 72, 75, 10, 13, 16, 19, 22, 25, 28, 31, 34, 37, 41, 44, 47, 50, 52 ],
- "attributes": {
- "src": "top.v:25"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$4.C": {
- "hide_name": 1,
- "bits": [ 77, 78, 39, 55, 58, 61, 64, 67, 70, 73, 9, 11, 14, 17, 20, 23, 26, 29, 32, 35, 38, 42, 45, 48, 51 ],
- "attributes": {
- "src": "top.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "CLK_OSC100": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "LED1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "clk": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "count": {
- "hide_name": 0,
- "bits": [ 6, 4, 53, 56, 59, 62, 65, 68, 71, 74, 8, 12, 15, 18, 21, 24, 27, 30, 33, 36, 40, 43, 46, 49, 3 ],
- "attributes": {
- "src": "top.v:22"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0145/top.json.gz b/ice40/regressions/issue0145/top.json.gz
new file mode 100644
index 0000000..f516b67
--- /dev/null
+++ b/ice40/regressions/issue0145/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0148/ice40.json b/ice40/regressions/issue0148/ice40.json
deleted file mode 100644
index 9e841f5..0000000
--- a/ice40/regressions/issue0148/ice40.json
+++ /dev/null
@@ -1,52198 +0,0 @@
-{
- "creator": "Yosys 0.8+148 (git sha1 e112d2fb, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "hdl/ice40.v:8"
- },
- "ports": {
- "clk12m_in": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "vga_r": {
- "direction": "output",
- "bits": [ 3, 3 ]
- },
- "vga_g": {
- "direction": "output",
- "bits": [ 4, 4 ]
- },
- "vga_b": {
- "direction": "output",
- "bits": [ 5, 5 ]
- },
- "vga_hsync": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "vga_vsync": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "spi_mosi": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "spi_miso": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "spi_clk": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "spi_cs": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "out1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "out2": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 14 ],
- "I2": [ 15 ],
- "I3": [ "0" ],
- "O": [ 16 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 18 ],
- "I2": [ 19 ],
- "I3": [ "0" ],
- "O": [ 13 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ 21 ],
- "I2": [ 22 ],
- "I3": [ 23 ],
- "O": [ 19 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 25 ],
- "I2": [ 26 ],
- "I3": [ "0" ],
- "O": [ 14 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 28 ],
- "I2": [ 29 ],
- "I3": [ 30 ],
- "O": [ 31 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 33 ],
- "I2": [ 34 ],
- "I3": [ 35 ],
- "O": [ 27 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 37 ],
- "I2": [ 38 ],
- "I3": [ 39 ],
- "O": [ 34 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 41 ],
- "I2": [ 42 ],
- "I3": [ "0" ],
- "O": [ 43 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ 46 ],
- "I3": [ 47 ],
- "O": [ 40 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 50 ],
- "I3": [ 51 ],
- "O": [ 44 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 53 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 48 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 52 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 58 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 56 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 59 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 62 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ "0" ],
- "O": [ 66 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 71 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 63 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ 74 ],
- "I3": [ "0" ],
- "O": [ 61 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 72 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 78 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 77 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 73 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 74 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 84 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 86 ],
- "I2": [ 87 ],
- "I3": [ "0" ],
- "O": [ 60 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 85 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 86 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 87 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 89 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 88 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 91 ],
- "I2": [ 92 ],
- "I3": [ 93 ],
- "O": [ 57 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 86 ],
- "I2": [ 87 ],
- "I3": [ "0" ],
- "O": [ 90 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 88 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 91 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 94 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 95 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 96 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 77 ],
- "I3": [ 63 ],
- "O": [ 92 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 93 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 144
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ 101 ],
- "I3": [ "0" ],
- "O": [ 55 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 26880
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 61 ],
- "I2": [ 60 ],
- "I3": [ 102 ],
- "O": [ 101 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 74 ],
- "I2": [ 72 ],
- "I3": [ 103 ],
- "O": [ 102 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 103 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 106 ],
- "I3": [ "0" ],
- "O": [ 54 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 107 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 107 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 92 ],
- "I2": [ 91 ],
- "I3": [ 93 ],
- "O": [ 105 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 109 ],
- "I2": [ 110 ],
- "I3": [ "0" ],
- "O": [ 106 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 94 ],
- "I2": [ 95 ],
- "I3": [ 88 ],
- "O": [ 108 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 109 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 111 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 112 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 113 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 115 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 114 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 110 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 116 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 117 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 54 ],
- "I2": [ 120 ],
- "I3": [ 121 ],
- "O": [ 53 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 106 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 120 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 123 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 109 ],
- "I2": [ 110 ],
- "I3": [ "0" ],
- "O": [ 122 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 126 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 129 ],
- "I2": [ 130 ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 128 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 129 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 131 ],
- "O": [ 130 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 132 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 133 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 134 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 135 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 63 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 136 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 141 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 50 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 121 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 140 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 143 ],
- "I2": [ 144 ],
- "I3": [ "0" ],
- "O": [ 141 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 123 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 142 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 146 ],
- "I2": [ 147 ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 126 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 149 ],
- "I2": [ 150 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 129 ],
- "I2": [ 130 ],
- "I3": [ "0" ],
- "O": [ 148 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 151 ],
- "I1": [ 152 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 149 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 131 ],
- "O": [ 151 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 152 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 154 ],
- "O": [ 153 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 155 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 154 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 158 ],
- "I3": [ "0" ],
- "O": [ 150 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 156 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 157 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 158 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 63 ],
- "I2": [ 160 ],
- "I3": [ 161 ],
- "O": [ 147 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 160 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 161 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 163 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 159 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 136 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 121 ],
- "I1": [ 58 ],
- "I2": [ 54 ],
- "I3": [ "0" ],
- "O": [ 49 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 51 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 167 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 168 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 172 ],
- "O": [ 173 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 170 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 166 ],
- "I2": [ 165 ],
- "I3": [ "0" ],
- "O": [ 174 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ 162 ],
- "I2": [ 66 ],
- "I3": [ 154 ],
- "O": [ 175 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 166 ],
- "I2": [ 164 ],
- "I3": [ "0" ],
- "O": [ 176 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 178 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 47 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 180 ],
- "I2": [ 181 ],
- "I3": [ 182 ],
- "O": [ 42 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 184 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 179 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 52 ],
- "I2": [ 53 ],
- "I3": [ 51 ],
- "O": [ 184 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 185 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 131 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 186 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 136 ],
- "I3": [ 131 ],
- "O": [ 187 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 190 ],
- "O": [ 188 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 191 ],
- "I1": [ 192 ],
- "I2": [ 193 ],
- "I3": [ 194 ],
- "O": [ 182 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 196 ],
- "I2": [ 197 ],
- "I3": [ 198 ],
- "O": [ 194 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 202 ],
- "I2": [ 203 ],
- "I3": [ 204 ],
- "O": [ 199 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 205 ],
- "I1": [ 206 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 201 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ 209 ],
- "I3": [ 176 ],
- "O": [ 210 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 211 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 212 ],
- "O": [ 207 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 213 ],
- "O": [ 208 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 207 ],
- "I3": [ 168 ],
- "O": [ 214 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 207 ],
- "I2": [ 174 ],
- "I3": [ 209 ],
- "O": [ 215 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 217 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 202 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ 220 ],
- "I3": [ 176 ],
- "O": [ 221 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 222 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 220 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 223 ],
- "O": [ 218 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 224 ],
- "O": [ 219 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 219 ],
- "I1": [ 220 ],
- "I2": [ 218 ],
- "I3": [ 168 ],
- "O": [ 225 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 219 ],
- "I1": [ 218 ],
- "I2": [ 174 ],
- "I3": [ 220 ],
- "O": [ 226 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 228 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ 231 ],
- "I3": [ 176 ],
- "O": [ 232 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 233 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 231 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 234 ],
- "O": [ 229 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 235 ],
- "O": [ 230 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 231 ],
- "I2": [ 229 ],
- "I3": [ 168 ],
- "O": [ 236 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 229 ],
- "I2": [ 174 ],
- "I3": [ 231 ],
- "O": [ 237 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 238 ],
- "I1": [ 239 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 204 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 241 ],
- "I2": [ 242 ],
- "I3": [ 176 ],
- "O": [ 243 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 244 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 242 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 245 ],
- "O": [ 240 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 246 ],
- "O": [ 241 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 242 ],
- "I2": [ 240 ],
- "I3": [ 168 ],
- "O": [ 247 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 240 ],
- "I2": [ 174 ],
- "I3": [ 242 ],
- "O": [ 248 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 250 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 250 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 255 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 252 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 257 ],
- "I1": [ 258 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 198 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 259 ],
- "I1": [ 260 ],
- "I2": [ 102 ],
- "I3": [ 51 ],
- "O": [ 258 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 61 ],
- "I2": [ 60 ],
- "I3": [ "0" ],
- "O": [ 260 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 135 ],
- "I2": [ 261 ],
- "I3": [ 262 ],
- "O": [ 259 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 62 ],
- "I3": [ 88 ],
- "O": [ 261 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 264 ],
- "O": [ 262 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 265 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 195 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 268 ],
- "I1": [ 269 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 271 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 191 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 272 ],
- "I1": [ 273 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 274 ],
- "I1": [ 275 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 277 ],
- "I2": [ 278 ],
- "I3": [ 279 ],
- "O": [ 193 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 281 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 276 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 283 ],
- "I2": [ 284 ],
- "I3": [ 285 ],
- "O": [ 281 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46080
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 63 ],
- "I2": [ 286 ],
- "I3": [ 51 ],
- "O": [ 282 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 286 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 174 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 94 ],
- "I3": [ 77 ],
- "O": [ 284 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 289 ],
- "O": [ 285 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 291 ],
- "I2": [ 199 ],
- "I3": [ 200 ],
- "O": [ 277 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 293 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 290 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 256 ],
- "I1": [ 274 ],
- "I2": [ 294 ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 296 ],
- "I2": [ 199 ],
- "I3": [ 200 ],
- "O": [ 278 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ 299 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 297 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 300 ],
- "I1": [ 301 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 302 ],
- "I1": [ 303 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 304 ],
- "I2": [ 305 ],
- "I3": [ 306 ],
- "O": [ 303 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 74 ],
- "O": [ 304 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 307 ],
- "I3": [ 83 ],
- "O": [ 305 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 307 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 93 ],
- "I2": [ 308 ],
- "I3": [ "0" ],
- "O": [ 306 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 309 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 310 ],
- "O": [ 308 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 311 ],
- "I1": [ 312 ],
- "I2": [ 313 ],
- "I3": [ 47 ],
- "O": [ 192 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 314 ],
- "I1": [ 315 ],
- "I2": [ 316 ],
- "I3": [ "0" ],
- "O": [ 311 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 317 ],
- "I1": [ 318 ],
- "I2": [ 319 ],
- "I3": [ 320 ],
- "O": [ 314 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 321 ],
- "I1": [ 322 ],
- "I2": [ 323 ],
- "I3": [ 324 ],
- "O": [ 317 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 241 ],
- "I2": [ 242 ],
- "I3": [ "0" ],
- "O": [ 321 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 118 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 322 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 99 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 323 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 79 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 324 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 325 ],
- "I1": [ 326 ],
- "I2": [ 327 ],
- "I3": [ 328 ],
- "O": [ 318 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 325 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 326 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ 231 ],
- "I3": [ "0" ],
- "O": [ 327 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ 138 ],
- "I2": [ 66 ],
- "I3": [ 131 ],
- "O": [ 328 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 329 ],
- "I2": [ 330 ],
- "I3": [ 331 ],
- "O": [ 319 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 332 ],
- "I1": [ 333 ],
- "I2": [ 66 ],
- "I3": [ 334 ],
- "O": [ 329 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 335 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 334 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 337 ],
- "I2": [ 66 ],
- "I3": [ 338 ],
- "O": [ 330 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 339 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 338 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 81 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 331 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 340 ],
- "I1": [ 341 ],
- "I2": [ 342 ],
- "I3": [ 343 ],
- "O": [ 320 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 64 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 340 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ 75 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 341 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ 345 ],
- "I2": [ 66 ],
- "I3": [ 346 ],
- "O": [ 342 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 347 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 346 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 348 ],
- "I1": [ 349 ],
- "I2": [ 66 ],
- "I3": [ 350 ],
- "O": [ 343 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 350 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 164 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 315 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 314 ],
- "I1": [ 316 ],
- "I2": [ 352 ],
- "I3": [ 353 ],
- "O": [ 312 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 331 ],
- "I2": [ 354 ],
- "I3": [ 355 ],
- "O": [ 353 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 356 ],
- "I2": [ 103 ],
- "I3": [ 174 ],
- "O": [ 354 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ 287 ],
- "I2": [ 168 ],
- "I3": [ 357 ],
- "O": [ 355 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 171 ],
- "I2": [ 51 ],
- "I3": [ 359 ],
- "O": [ 357 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 165 ],
- "I2": [ 164 ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 361 ],
- "I2": [ 362 ],
- "I3": [ 363 ],
- "O": [ 181 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 365 ],
- "I2": [ 366 ],
- "I3": [ 47 ],
- "O": [ 360 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 55 ],
- "I2": [ 54 ],
- "I3": [ 51 ],
- "O": [ 364 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 367 ],
- "I2": [ 368 ],
- "I3": [ 369 ],
- "O": [ 365 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 370 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 370 ],
- "I3": [ 114 ],
- "O": [ 368 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 371 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 372 ],
- "O": [ 369 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 373 ],
- "I1": [ 374 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 361 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 375 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 374 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 377 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 375 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 378 ],
- "I1": [ 379 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 377 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 380 ],
- "I1": [ 381 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 362 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 383 ],
- "I2": [ 101 ],
- "I3": [ 51 ],
- "O": [ 381 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 383 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 384 ],
- "I2": [ 385 ],
- "I3": [ 386 ],
- "O": [ 382 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 384 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 98 ],
- "I3": [ 96 ],
- "O": [ 385 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 387 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 388 ],
- "O": [ 386 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 389 ],
- "I1": [ 390 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ 392 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 390 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 393 ],
- "I1": [ 394 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 392 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ 396 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 180 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 397 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 396 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 398 ],
- "I1": [ 399 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 397 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 401 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 403 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 41 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 404 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 403 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 405 ],
- "I1": [ 406 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 404 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 407 ],
- "I1": [ 408 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 406 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 410 ],
- "I2": [ 411 ],
- "I3": [ 412 ],
- "O": [ 413 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ 415 ],
- "I2": [ 416 ],
- "I3": [ 417 ],
- "O": [ 409 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 419 ],
- "I2": [ 420 ],
- "I3": [ 421 ],
- "O": [ 410 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 422 ],
- "I1": [ 423 ],
- "I2": [ 424 ],
- "I3": [ 425 ],
- "O": [ 411 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 427 ],
- "I2": [ 428 ],
- "I3": [ 429 ],
- "O": [ 412 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 430 ],
- "I2": [ 431 ],
- "I3": [ "0" ],
- "O": [ 432 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 433 ],
- "I2": [ 434 ],
- "I3": [ 435 ],
- "O": [ 431 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 436 ],
- "I2": [ 437 ],
- "I3": [ 438 ],
- "O": [ 435 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 374 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 436 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 199 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 440 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 441 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 437 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 442 ],
- "I1": [ 443 ],
- "I2": [ 200 ],
- "I3": [ 199 ],
- "O": [ 441 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 271 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 442 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 445 ],
- "I2": [ 440 ],
- "I3": [ 276 ],
- "O": [ 438 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 290 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 444 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 362 ],
- "I1": [ 446 ],
- "I2": [ 447 ],
- "I3": [ 448 ],
- "O": [ 434 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 390 ],
- "I2": [ 449 ],
- "I3": [ 440 ],
- "O": [ 446 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 450 ],
- "I2": [ 440 ],
- "I3": [ 198 ],
- "O": [ 447 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 451 ],
- "I2": [ 440 ],
- "I3": [ 279 ],
- "O": [ 448 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 452 ],
- "I1": [ 396 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 433 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 403 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 430 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 455 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 457 ],
- "I2": [ 458 ],
- "I3": [ 459 ],
- "O": [ 454 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47787
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 457 ],
- "I2": [ 458 ],
- "I3": [ 459 ],
- "O": [ 460 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ 461 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 10 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 464 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 461 ],
- "I1": [ 465 ],
- "I2": [ 466 ],
- "I3": [ 467 ],
- "O": [ 463 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ 463 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 468 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ 418 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 469 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ 471 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 472 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 474 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 470 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 471 ],
- "I1": [ 470 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 475 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 313 ],
- "I1": [ 472 ],
- "I2": [ 476 ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 471 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 476 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 478 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 479 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ 29 ],
- "I2": [ 480 ],
- "I3": [ 481 ],
- "O": [ 478 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 35 ],
- "I2": [ 32 ],
- "I3": [ 33 ],
- "O": [ 480 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 38 ],
- "I1": [ 37 ],
- "I2": [ 30 ],
- "I3": [ 36 ],
- "O": [ 481 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 482 ],
- "I2": [ 16 ],
- "I3": [ 31 ],
- "O": [ 483 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 25 ],
- "I2": [ 15 ],
- "I3": [ 26 ],
- "O": [ 482 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 485 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 486 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 487 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 488 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 489 ],
- "I2": [ 487 ],
- "I3": [ "0" ],
- "O": [ 490 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 311 ],
- "I1": [ 312 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 491 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 365 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 493 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 495 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 496 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 497 ],
- "I1": [ 170 ],
- "I2": [ 498 ],
- "I3": [ 499 ],
- "O": [ 494 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 500 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 498 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 349 ],
- "I1": [ 348 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 500 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 501 ],
- "I1": [ 171 ],
- "I2": [ 51 ],
- "I3": [ 502 ],
- "O": [ 499 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 500 ],
- "I3": [ 350 ],
- "O": [ 495 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 496 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 504 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 505 ],
- "I1": [ 506 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 507 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 51 ],
- "I2": [ 509 ],
- "I3": [ 510 ],
- "O": [ 505 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 511 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 509 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 344 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 513 ],
- "O": [ 510 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 511 ],
- "I3": [ 346 ],
- "O": [ 506 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ 507 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 515 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ 517 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 518 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 519 ],
- "I1": [ 51 ],
- "I2": [ 520 ],
- "I3": [ 521 ],
- "O": [ 516 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 522 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 337 ],
- "I1": [ 336 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 522 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 524 ],
- "O": [ 521 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 522 ],
- "I3": [ 338 ],
- "O": [ 517 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 518 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 526 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 527 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 528 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ 529 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 530 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 531 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 532 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 533 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 535 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 536 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 537 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 538 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ 539 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ 541 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 542 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 348 ],
- "I1": [ 543 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 544 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ 545 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 546 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 547 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 548 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 332 ],
- "I1": [ 549 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 551 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 553 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 554 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 234 ],
- "I1": [ 555 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 245 ],
- "I1": [ 557 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 558 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 559 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 249 ],
- "I2": [ 485 ],
- "I3": [ "0" ],
- "O": [ 3 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 249 ],
- "I2": [ 485 ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 560 ],
- "I2": [ 458 ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 457 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 560 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 562 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 563 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ 560 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 459 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 564 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 459 ],
- "I2": [ 457 ],
- "I3": [ 458 ],
- "O": [ 565 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 332 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 566 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 568 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 569 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 241 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 570 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 571 ],
- "I1": [ 572 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 574 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 575 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 576 ],
- "I1": [ 577 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 578 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 579 ],
- "I2": [ 454 ],
- "I3": [ 580 ],
- "O": [ 576 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 581 ],
- "I2": [ 454 ],
- "I3": [ 562 ],
- "O": [ 580 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 582 ],
- "I1": [ 575 ],
- "I2": [ 583 ],
- "I3": [ 562 ],
- "O": [ 577 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 573 ],
- "I2": [ 585 ],
- "I3": [ 586 ],
- "O": [ 587 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 588 ],
- "I2": [ 454 ],
- "I3": [ 580 ],
- "O": [ 586 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 581 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 585 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 589 ],
- "I1": [ 573 ],
- "I2": [ 585 ],
- "I3": [ 590 ],
- "O": [ 591 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 592 ],
- "I2": [ 454 ],
- "I3": [ 580 ],
- "O": [ 590 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 593 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 595 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 596 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 597 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 598 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 599 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 600 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 601 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 602 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 603 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 604 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 605 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 606 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 607 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 608 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 583 ],
- "I1": [ 609 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 611 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 583 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 579 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 614 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 615 ],
- "I1": [ 459 ],
- "I2": [ 455 ],
- "I3": [ "0" ],
- "O": [ 616 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 571 ],
- "I1": [ 458 ],
- "I2": [ 455 ],
- "I3": [ "0" ],
- "O": [ 617 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 457 ],
- "I2": [ 455 ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 619 ],
- "I1": [ 620 ],
- "I2": [ 621 ],
- "I3": [ 622 ],
- "O": [ 623 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 624 ],
- "I1": [ 611 ],
- "I2": [ 564 ],
- "I3": [ "0" ],
- "O": [ 625 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 583 ],
- "I2": [ 564 ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 628 ],
- "I1": [ 629 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 619 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ 631 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 620 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 632 ],
- "I1": [ 609 ],
- "I2": [ 564 ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 633 ],
- "I1": [ 634 ],
- "I2": [ 635 ],
- "I3": [ 622 ],
- "O": [ 636 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 637 ],
- "I1": [ 638 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 633 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 634 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 642 ],
- "I2": [ 643 ],
- "I3": [ 622 ],
- "O": [ 644 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 645 ],
- "I1": [ 646 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 641 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 648 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 642 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 649 ],
- "I1": [ 650 ],
- "I2": [ 651 ],
- "I3": [ 622 ],
- "O": [ 652 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 653 ],
- "I1": [ 654 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 649 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 655 ],
- "I1": [ 656 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 650 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 657 ],
- "I1": [ 658 ],
- "I2": [ 659 ],
- "I3": [ 622 ],
- "O": [ 660 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 661 ],
- "I1": [ 662 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 657 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 658 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 666 ],
- "I2": [ 667 ],
- "I3": [ 622 ],
- "O": [ 668 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 669 ],
- "I1": [ 670 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 665 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 672 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 666 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 673 ],
- "I1": [ 674 ],
- "I2": [ 675 ],
- "I3": [ 622 ],
- "O": [ 676 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 678 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 673 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 679 ],
- "I1": [ 680 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 674 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 681 ],
- "I1": [ 682 ],
- "I2": [ 683 ],
- "I3": [ 622 ],
- "O": [ 684 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 685 ],
- "I1": [ 686 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 681 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 687 ],
- "I1": [ 688 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 682 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 689 ],
- "I1": [ 690 ],
- "I2": [ 691 ],
- "I3": [ 622 ],
- "O": [ 692 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 693 ],
- "I1": [ 694 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 689 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 696 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 690 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 697 ],
- "I1": [ 698 ],
- "I2": [ 699 ],
- "I3": [ 622 ],
- "O": [ 700 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 702 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 697 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 703 ],
- "I1": [ 704 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 698 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 705 ],
- "I1": [ 706 ],
- "I2": [ 707 ],
- "I3": [ 622 ],
- "O": [ 708 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 709 ],
- "I1": [ 710 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 705 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 711 ],
- "I1": [ 712 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 706 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 714 ],
- "I2": [ 715 ],
- "I3": [ 622 ],
- "O": [ 716 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 717 ],
- "I1": [ 718 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 713 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 720 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 714 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 721 ],
- "I1": [ 722 ],
- "I2": [ 723 ],
- "I3": [ 622 ],
- "O": [ 724 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 725 ],
- "I1": [ 726 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 721 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 727 ],
- "I1": [ 728 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 722 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 729 ],
- "I1": [ 730 ],
- "I2": [ 731 ],
- "I3": [ 622 ],
- "O": [ 732 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 733 ],
- "I1": [ 734 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 729 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 735 ],
- "I1": [ 736 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 730 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 737 ],
- "I1": [ 738 ],
- "I2": [ 739 ],
- "I3": [ 622 ],
- "O": [ 740 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 741 ],
- "I1": [ 742 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 737 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 744 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 738 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 745 ],
- "I1": [ 746 ],
- "I2": [ 747 ],
- "I3": [ 622 ],
- "O": [ 748 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 750 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 745 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 751 ],
- "I1": [ 752 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 746 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 753 ],
- "I1": [ 754 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 755 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 756 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 757 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 758 ],
- "I1": [ 759 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 761 ],
- "I1": [ 762 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 763 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 764 ],
- "I1": [ 765 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 766 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 768 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 769 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 771 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 772 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 774 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 775 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 777 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 778 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 779 ],
- "I1": [ 780 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 781 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 783 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 784 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 786 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 787 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 789 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 790 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 792 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 793 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 794 ],
- "I1": [ 795 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 796 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 797 ],
- "I1": [ 798 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 799 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 444 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 800 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 451 ],
- "I1": [ 295 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 801 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 195 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 802 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 804 ],
- "I2": [ 805 ],
- "I3": [ 588 ],
- "O": [ 806 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 807 ],
- "I1": [ 808 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 803 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ 628 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 804 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 810 ],
- "I2": [ 811 ],
- "I3": [ 588 ],
- "O": [ 812 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 813 ],
- "I1": [ 814 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 809 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 637 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 810 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 816 ],
- "I2": [ 817 ],
- "I3": [ 588 ],
- "O": [ 818 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 819 ],
- "I1": [ 820 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 815 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 645 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 816 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 822 ],
- "I2": [ 823 ],
- "I3": [ 588 ],
- "O": [ 824 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 825 ],
- "I1": [ 826 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 821 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 655 ],
- "I1": [ 653 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 822 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 828 ],
- "I2": [ 829 ],
- "I3": [ 588 ],
- "O": [ 830 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 831 ],
- "I1": [ 832 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 827 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 661 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 828 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 834 ],
- "I2": [ 835 ],
- "I3": [ 588 ],
- "O": [ 836 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 837 ],
- "I1": [ 838 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 833 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 669 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 834 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 840 ],
- "I2": [ 841 ],
- "I3": [ 588 ],
- "O": [ 842 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 843 ],
- "I1": [ 844 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 839 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 679 ],
- "I1": [ 677 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 840 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 846 ],
- "I2": [ 847 ],
- "I3": [ 588 ],
- "O": [ 848 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 850 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 845 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 687 ],
- "I1": [ 685 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 846 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 852 ],
- "I2": [ 853 ],
- "I3": [ 588 ],
- "O": [ 854 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 856 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 851 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 693 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 852 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 858 ],
- "I2": [ 859 ],
- "I3": [ 588 ],
- "O": [ 860 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 861 ],
- "I1": [ 862 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 857 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 703 ],
- "I1": [ 701 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 858 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 864 ],
- "I2": [ 865 ],
- "I3": [ 588 ],
- "O": [ 866 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 867 ],
- "I1": [ 868 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 863 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 711 ],
- "I1": [ 709 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 864 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 869 ],
- "I1": [ 870 ],
- "I2": [ 871 ],
- "I3": [ 588 ],
- "O": [ 872 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 873 ],
- "I1": [ 874 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 869 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 717 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 870 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 875 ],
- "I1": [ 876 ],
- "I2": [ 877 ],
- "I3": [ 588 ],
- "O": [ 878 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 879 ],
- "I1": [ 880 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 875 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 727 ],
- "I1": [ 725 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 876 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 881 ],
- "I1": [ 882 ],
- "I2": [ 883 ],
- "I3": [ 588 ],
- "O": [ 884 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 885 ],
- "I1": [ 886 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 881 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 735 ],
- "I1": [ 733 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 882 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 888 ],
- "I2": [ 889 ],
- "I3": [ 588 ],
- "O": [ 890 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 891 ],
- "I1": [ 892 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 887 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 741 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 888 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 894 ],
- "I2": [ 895 ],
- "I3": [ 588 ],
- "O": [ 896 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 897 ],
- "I1": [ 898 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 893 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 751 ],
- "I1": [ 749 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 894 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 196 ],
- "I1": [ 195 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 899 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 24 ],
- "I2": [ 26 ],
- "I3": [ "0" ],
- "O": [ 900 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 901 ],
- "I2": [ 17 ],
- "I3": [ 31 ],
- "O": [ 902 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 903 ],
- "I2": [ 25 ],
- "I3": [ 31 ],
- "O": [ 904 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 905 ],
- "I2": [ 20 ],
- "I3": [ 31 ],
- "O": [ 906 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 907 ],
- "I2": [ 21 ],
- "I3": [ 31 ],
- "O": [ 908 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 909 ],
- "I2": [ 22 ],
- "I3": [ 31 ],
- "O": [ 910 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 911 ],
- "I2": [ 23 ],
- "I3": [ 31 ],
- "O": [ 912 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 913 ],
- "I2": [ 18 ],
- "I3": [ 31 ],
- "O": [ 914 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 915 ],
- "I2": [ 916 ],
- "I3": [ 917 ],
- "O": [ 918 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ 28 ],
- "I2": [ 29 ],
- "I3": [ 27 ],
- "O": [ 916 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 919 ],
- "I1": [ 17 ],
- "I2": [ 18 ],
- "I3": [ "0" ],
- "O": [ 915 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ 21 ],
- "I2": [ 22 ],
- "I3": [ 23 ],
- "O": [ 919 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 920 ],
- "I2": [ 921 ],
- "I3": [ 922 ],
- "O": [ 917 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 923 ],
- "I1": [ 924 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 926 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 927 ],
- "I1": [ 485 ],
- "I2": [ 489 ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ 929 ],
- "I2": [ 930 ],
- "I3": [ "0" ],
- "O": [ 927 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 926 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 925 ],
- "I1": [ 923 ],
- "I2": [ 932 ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 933 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ 936 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 937 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 937 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 938 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 939 ],
- "I1": [ 940 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 941 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 941 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 942 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 943 ],
- "I1": [ 944 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 945 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 946 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 947 ],
- "I1": [ 948 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 949 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 949 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 950 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 951 ],
- "I1": [ 952 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 953 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 954 ],
- "I1": [ 955 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 956 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 957 ],
- "I1": [ 955 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 958 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 960 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 961 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 960 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 963 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 964 ],
- "I1": [ 965 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 966 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 967 ],
- "I1": [ 965 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 968 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 969 ],
- "I1": [ 970 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 971 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 972 ],
- "I1": [ 970 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 973 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 975 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 976 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 977 ],
- "I1": [ 975 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 978 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 979 ],
- "I1": [ 980 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 981 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 982 ],
- "I1": [ 980 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 983 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 985 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 986 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 987 ],
- "I1": [ 985 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 988 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 609 ],
- "I1": [ 989 ],
- "I2": [ 561 ],
- "I3": [ 592 ],
- "O": [ 990 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 459 ],
- "I2": [ 458 ],
- "I3": [ 611 ],
- "O": [ 989 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 991 ],
- "I1": [ 992 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 993 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 994 ],
- "I1": [ 170 ],
- "I2": [ 995 ],
- "I3": [ 996 ],
- "O": [ 991 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 334 ],
- "I1": [ 566 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 995 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 171 ],
- "I2": [ 51 ],
- "I3": [ 998 ],
- "O": [ 996 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 566 ],
- "I3": [ 334 ],
- "O": [ 992 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ 910 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 999 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 49 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1000 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 1001 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1002 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 47 ],
- "I2": [ 199 ],
- "I3": [ "0" ],
- "O": [ 1001 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 1001 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1003 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 202 ],
- "I2": [ 203 ],
- "I3": [ 1004 ],
- "O": [ 1005 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 47 ],
- "I2": [ 204 ],
- "I3": [ "0" ],
- "O": [ 1004 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 201 ],
- "I2": [ 202 ],
- "I3": [ 203 ],
- "O": [ 1006 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 454 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1007 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 565 ],
- "I1": [ 1008 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1009 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1014 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1011 ],
- "I1": [ 1012 ],
- "I2": [ 1010 ],
- "I3": [ 1013 ],
- "O": [ 1015 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1011 ],
- "I1": [ 1010 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1016 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1012 ],
- "I2": [ 1011 ],
- "I3": [ 1013 ],
- "O": [ 1017 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1018 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1019 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1011 ],
- "I2": [ 1010 ],
- "I3": [ 1013 ],
- "O": [ 1020 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1021 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1022 ],
- "I1": [ 1023 ],
- "I2": [ 1024 ],
- "I3": [ "0" ],
- "O": [ 1025 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1026 ],
- "I1": [ 1027 ],
- "I2": [ 1028 ],
- "I3": [ "0" ],
- "O": [ 1022 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1029 ],
- "I1": [ 1030 ],
- "I2": [ 1031 ],
- "I3": [ "0" ],
- "O": [ 1026 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1032 ],
- "I1": [ 1033 ],
- "I2": [ 1034 ],
- "I3": [ "0" ],
- "O": [ 1029 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1035 ],
- "I1": [ 1036 ],
- "I2": [ 1037 ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1038 ],
- "I2": [ 338 ],
- "I3": [ 1039 ],
- "O": [ 1035 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 1040 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 1039 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1040 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 220 ],
- "I3": [ 334 ],
- "O": [ 1038 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 350 ],
- "I2": [ 1041 ],
- "I3": [ "0" ],
- "O": [ 1036 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 338 ],
- "I3": [ 346 ],
- "O": [ 1041 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 334 ],
- "I2": [ 1042 ],
- "I3": [ "0" ],
- "O": [ 1037 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 209 ],
- "I3": [ 220 ],
- "O": [ 1042 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1044 ],
- "I2": [ 1045 ],
- "I3": [ "0" ],
- "O": [ 1033 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 159 ],
- "I2": [ 1046 ],
- "I3": [ 114 ],
- "O": [ 1043 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1046 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1047 ],
- "I2": [ 154 ],
- "I3": [ 1048 ],
- "O": [ 1044 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1048 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1047 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 114 ],
- "I2": [ 1049 ],
- "I3": [ "0" ],
- "O": [ 1045 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 154 ],
- "I3": [ 131 ],
- "O": [ 1049 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1050 ],
- "I1": [ 1051 ],
- "I2": [ 1052 ],
- "I3": [ "0" ],
- "O": [ 1034 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 154 ],
- "I2": [ 1047 ],
- "I3": [ "0" ],
- "O": [ 1050 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1053 ],
- "I1": [ 94 ],
- "I2": [ 1040 ],
- "I3": [ 338 ],
- "O": [ 1052 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1053 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 338 ],
- "I2": [ 1038 ],
- "I3": [ "0" ],
- "O": [ 1051 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1054 ],
- "I1": [ 1055 ],
- "I2": [ 1056 ],
- "I3": [ "0" ],
- "O": [ 1030 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1057 ],
- "I1": [ 1058 ],
- "I2": [ 1059 ],
- "I3": [ "0" ],
- "O": [ 1054 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 1060 ],
- "I2": [ 77 ],
- "I3": [ 1061 ],
- "O": [ 1057 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 1062 ],
- "I2": [ 83 ],
- "I3": [ "0" ],
- "O": [ 1061 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 88 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1062 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 511 ],
- "I2": [ 83 ],
- "I3": [ 88 ],
- "O": [ 1060 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 96 ],
- "I2": [ 1062 ],
- "I3": [ 1063 ],
- "O": [ 1058 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 83 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1063 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 63 ],
- "I3": [ 77 ],
- "O": [ 1059 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 59534
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1065 ],
- "I2": [ 1066 ],
- "I3": [ 1046 ],
- "O": [ 1055 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1067 ],
- "I2": [ 131 ],
- "I3": [ 1068 ],
- "O": [ 1064 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 154 ],
- "I3": [ 350 ],
- "O": [ 1068 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 350 ],
- "I3": [ 154 ],
- "O": [ 1067 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 114 ],
- "I2": [ 186 ],
- "I3": [ "0" ],
- "O": [ 1066 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1069 ],
- "I2": [ 88 ],
- "I3": [ 1070 ],
- "O": [ 1065 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 96 ],
- "I3": [ 114 ],
- "O": [ 1070 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 114 ],
- "I3": [ 96 ],
- "O": [ 1069 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36527
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1071 ],
- "I1": [ 567 ],
- "I2": [ 1072 ],
- "I3": [ 63 ],
- "O": [ 1056 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 511 ],
- "I2": [ 1074 ],
- "I3": [ 83 ],
- "O": [ 1071 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1073 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1074 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 77 ],
- "I2": [ 1060 ],
- "I3": [ "0" ],
- "O": [ 1072 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1075 ],
- "I1": [ 1076 ],
- "I2": [ 1077 ],
- "I3": [ "0" ],
- "O": [ 1031 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1078 ],
- "I1": [ 1079 ],
- "I2": [ 1080 ],
- "I3": [ 1053 ],
- "O": [ 1075 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1081 ],
- "I2": [ 350 ],
- "I3": [ 1082 ],
- "O": [ 1078 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 338 ],
- "I3": [ 346 ],
- "O": [ 1082 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 338 ],
- "I3": [ 346 ],
- "O": [ 1081 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 338 ],
- "I2": [ 1040 ],
- "I3": [ "0" ],
- "O": [ 1080 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 131 ],
- "I2": [ 1067 ],
- "I3": [ "0" ],
- "O": [ 1079 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1050 ],
- "I1": [ 1052 ],
- "I2": [ 1051 ],
- "I3": [ "0" ],
- "O": [ 1076 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1066 ],
- "I2": [ 1065 ],
- "I3": [ 1046 ],
- "O": [ 1077 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1083 ],
- "I1": [ 1084 ],
- "I2": [ 1085 ],
- "I3": [ "0" ],
- "O": [ 1027 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 1087 ],
- "I2": [ 1088 ],
- "I3": [ "0" ],
- "O": [ 1083 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1057 ],
- "I1": [ 1058 ],
- "I2": [ 1059 ],
- "I3": [ "0" ],
- "O": [ 1086 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1045 ],
- "I2": [ 1044 ],
- "I3": [ "0" ],
- "O": [ 1087 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1089 ],
- "I1": [ 1090 ],
- "I2": [ 1091 ],
- "I3": [ 1092 ],
- "O": [ 1088 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 63 ],
- "I2": [ 1093 ],
- "I3": [ "0" ],
- "O": [ 1089 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 1093 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1062 ],
- "I1": [ 511 ],
- "I2": [ 1063 ],
- "I3": [ 96 ],
- "O": [ 1090 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 114 ],
- "I2": [ 1094 ],
- "I3": [ "0" ],
- "O": [ 1091 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1094 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 88 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1092 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1032 ],
- "I1": [ 1033 ],
- "I2": [ 1034 ],
- "I3": [ "0" ],
- "O": [ 1084 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1095 ],
- "I1": [ 1096 ],
- "I2": [ 1097 ],
- "I3": [ "0" ],
- "O": [ 1085 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1098 ],
- "I1": [ 1099 ],
- "I2": [ 1100 ],
- "I3": [ "0" ],
- "O": [ 1095 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 167 ],
- "I2": [ 1101 ],
- "I3": [ "0" ],
- "O": [ 1098 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1049 ],
- "I2": [ 114 ],
- "I3": [ "0" ],
- "O": [ 1101 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1041 ],
- "I2": [ 350 ],
- "I3": [ 1102 ],
- "O": [ 1099 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 1103 ],
- "I2": [ 346 ],
- "I3": [ "0" ],
- "O": [ 1102 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 338 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1103 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 350 ],
- "I2": [ 167 ],
- "I3": [ 1104 ],
- "O": [ 1100 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 131 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1104 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1035 ],
- "I1": [ 1036 ],
- "I2": [ 1037 ],
- "I3": [ "0" ],
- "O": [ 1096 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1105 ],
- "I1": [ 1106 ],
- "I2": [ 1107 ],
- "I3": [ "0" ],
- "O": [ 1097 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1042 ],
- "I2": [ 334 ],
- "I3": [ 1108 ],
- "O": [ 1105 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 1109 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 1108 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 209 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1109 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 334 ],
- "I2": [ 1103 ],
- "I3": [ 1110 ],
- "O": [ 1106 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1110 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 231 ],
- "I2": [ 1109 ],
- "I3": [ 1111 ],
- "O": [ 1107 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 220 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1111 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54315
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1054 ],
- "I1": [ 1055 ],
- "I2": [ 1056 ],
- "I3": [ 1112 ],
- "O": [ 1028 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 77 ],
- "I3": [ 63 ],
- "O": [ 1112 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1113 ],
- "I1": [ 1114 ],
- "I2": [ 1115 ],
- "I3": [ 1116 ],
- "O": [ 1023 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1083 ],
- "I1": [ 1085 ],
- "I2": [ 1084 ],
- "I3": [ "0" ],
- "O": [ 1113 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1117 ],
- "I1": [ 1118 ],
- "I2": [ 1119 ],
- "I3": [ "0" ],
- "O": [ 1114 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36465
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1098 ],
- "I1": [ 1099 ],
- "I2": [ 1100 ],
- "I3": [ 1120 ],
- "O": [ 1117 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 77 ],
- "I2": [ 1121 ],
- "I3": [ 1122 ],
- "O": [ 1120 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 96 ],
- "I2": [ 1123 ],
- "I3": [ 1124 ],
- "O": [ 1121 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1094 ],
- "I1": [ 511 ],
- "I2": [ 1092 ],
- "I3": [ 114 ],
- "O": [ 1123 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 511 ],
- "I2": [ 114 ],
- "I3": [ 131 ],
- "O": [ 1124 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 83 ],
- "I3": [ 88 ],
- "O": [ 1122 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11220
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1105 ],
- "I1": [ 1106 ],
- "I2": [ 1107 ],
- "I3": [ 1125 ],
- "O": [ 1118 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 136 ],
- "I2": [ 1104 ],
- "I3": [ 350 ],
- "O": [ 1125 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1126 ],
- "I1": [ 1127 ],
- "I2": [ 1128 ],
- "I3": [ 1129 ],
- "O": [ 1119 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 62 ],
- "I2": [ 1110 ],
- "I3": [ 334 ],
- "O": [ 1126 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1109 ],
- "I1": [ 287 ],
- "I2": [ 1111 ],
- "I3": [ 231 ],
- "O": [ 1127 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 154 ],
- "I2": [ 1130 ],
- "I3": [ "0" ],
- "O": [ 1128 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1130 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1131 ],
- "I1": [ 1132 ],
- "I2": [ 1133 ],
- "I3": [ "0" ],
- "O": [ 1129 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 220 ],
- "I3": [ 334 ],
- "O": [ 1131 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 242 ],
- "I3": [ 231 ],
- "O": [ 1132 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 370 ],
- "I2": [ 209 ],
- "I3": [ 338 ],
- "O": [ 1133 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 570 ],
- "I1": [ 63 ],
- "I2": [ 1134 ],
- "I3": [ "0" ],
- "O": [ 1115 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36465
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 1087 ],
- "I2": [ 1088 ],
- "I3": [ 1135 ],
- "O": [ 1134 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 1093 ],
- "I2": [ 63 ],
- "I3": [ 1136 ],
- "O": [ 1135 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 1136 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1095 ],
- "I1": [ 1096 ],
- "I2": [ 1097 ],
- "I3": [ 1137 ],
- "O": [ 1116 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54349
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1089 ],
- "I1": [ 1090 ],
- "I2": [ 1091 ],
- "I3": [ 1092 ],
- "O": [ 1137 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11008
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1054 ],
- "I1": [ 1055 ],
- "I2": [ 1056 ],
- "I3": [ 1112 ],
- "O": [ 1024 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 49 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1138 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1139 ],
- "I1": [ 141 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 1140 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1141 ],
- "I1": [ 1142 ],
- "I2": [ 1143 ],
- "I3": [ "0" ],
- "O": [ 1139 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1144 ],
- "I1": [ 1145 ],
- "I2": [ 1146 ],
- "I3": [ "0" ],
- "O": [ 1141 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1147 ],
- "I1": [ 1148 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1144 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 131 ],
- "I2": [ 1150 ],
- "I3": [ "0" ],
- "O": [ 1147 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 350 ],
- "I3": [ 154 ],
- "O": [ 1150 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 88 ],
- "I2": [ 384 ],
- "I3": [ 1151 ],
- "O": [ 1148 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1151 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 151 ],
- "I1": [ 152 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 1149 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1152 ],
- "I1": [ 1153 ],
- "I2": [ 1154 ],
- "I3": [ "0" ],
- "O": [ 1145 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 161 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 83 ],
- "I2": [ 1155 ],
- "I3": [ 1156 ],
- "O": [ 1153 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1155 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1156 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 158 ],
- "I3": [ "0" ],
- "O": [ 1154 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 149 ],
- "I2": [ 150 ],
- "I3": [ "0" ],
- "O": [ 1146 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 146 ],
- "I2": [ 147 ],
- "I3": [ "0" ],
- "O": [ 1142 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2820
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 63 ],
- "I2": [ 160 ],
- "I3": [ 161 ],
- "O": [ 1143 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 143 ],
- "I2": [ 144 ],
- "I3": [ "0" ],
- "O": [ 1157 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16640
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1157 ],
- "I1": [ 1158 ],
- "I2": [ 1159 ],
- "I3": [ 1139 ],
- "O": [ 1160 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1141 ],
- "I1": [ 1143 ],
- "I2": [ 1142 ],
- "I3": [ "0" ],
- "O": [ 1158 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1161 ],
- "I1": [ 1162 ],
- "I2": [ 1163 ],
- "I3": [ "0" ],
- "O": [ 1159 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1144 ],
- "I1": [ 1145 ],
- "I2": [ 1146 ],
- "I3": [ "0" ],
- "O": [ 1161 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1164 ],
- "I1": [ 1165 ],
- "I2": [ 1166 ],
- "I3": [ "0" ],
- "O": [ 1162 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1147 ],
- "I1": [ 1148 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1164 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1167 ],
- "I1": [ 1168 ],
- "I2": [ 1169 ],
- "I3": [ "0" ],
- "O": [ 1165 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1150 ],
- "I2": [ 131 ],
- "I3": [ 1170 ],
- "O": [ 1167 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 153 ],
- "I2": [ 350 ],
- "I3": [ "0" ],
- "O": [ 1170 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 154 ],
- "I2": [ 1171 ],
- "I3": [ "0" ],
- "O": [ 1168 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1171 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 96 ],
- "I2": [ 1172 ],
- "I3": [ "0" ],
- "O": [ 1169 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 131 ],
- "I3": [ 114 ],
- "O": [ 1172 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1173 ],
- "I1": [ 1174 ],
- "I2": [ 1175 ],
- "I3": [ "0" ],
- "O": [ 1166 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1155 ],
- "I1": [ 136 ],
- "I2": [ 1156 ],
- "I3": [ 83 ],
- "O": [ 1173 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 370 ],
- "I2": [ 1151 ],
- "I3": [ 88 ],
- "O": [ 1174 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 77 ],
- "I2": [ 1176 ],
- "I3": [ "0" ],
- "O": [ 1175 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 88 ],
- "I3": [ 83 ],
- "O": [ 1176 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 63 ],
- "I2": [ 1177 ],
- "I3": [ "0" ],
- "O": [ 1163 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1152 ],
- "I1": [ 1153 ],
- "I2": [ 1154 ],
- "I3": [ "0" ],
- "O": [ 1177 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1178 ],
- "I1": [ 1179 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1180 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1181 ],
- "I1": [ 1182 ],
- "I2": [ 1183 ],
- "I3": [ "0" ],
- "O": [ 1178 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 1185 ],
- "I2": [ 1186 ],
- "I3": [ "0" ],
- "O": [ 1181 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1187 ],
- "I1": [ 1188 ],
- "I2": [ 1189 ],
- "I3": [ "0" ],
- "O": [ 1184 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1190 ],
- "I1": [ 1191 ],
- "I2": [ 1192 ],
- "I3": [ "0" ],
- "O": [ 1187 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1193 ],
- "I1": [ 1151 ],
- "I2": [ 1194 ],
- "I3": [ "0" ],
- "O": [ 1190 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1172 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 1194 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 131 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1193 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1176 ],
- "I2": [ 77 ],
- "I3": [ 1195 ],
- "O": [ 1191 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 83 ],
- "I3": [ 88 ],
- "O": [ 1195 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 83 ],
- "I2": [ 1196 ],
- "I3": [ "0" ],
- "O": [ 1192 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 96 ],
- "I3": [ 88 ],
- "O": [ 1196 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1167 ],
- "I1": [ 1168 ],
- "I2": [ 1169 ],
- "I3": [ "0" ],
- "O": [ 1188 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1197 ],
- "I1": [ 1198 ],
- "I2": [ 1199 ],
- "I3": [ "0" ],
- "O": [ 1189 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1171 ],
- "I2": [ 154 ],
- "I3": [ 1200 ],
- "O": [ 1197 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1200 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 350 ],
- "I2": [ 1081 ],
- "I3": [ "0" ],
- "O": [ 1198 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 154 ],
- "I2": [ 367 ],
- "I3": [ 1193 ],
- "O": [ 1199 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1164 ],
- "I1": [ 1165 ],
- "I2": [ 1166 ],
- "I3": [ "0" ],
- "O": [ 1185 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 63 ],
- "I2": [ 1201 ],
- "I3": [ 1202 ],
- "O": [ 1186 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1173 ],
- "I1": [ 1175 ],
- "I2": [ 1174 ],
- "I3": [ "0" ],
- "O": [ 1201 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1202 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1161 ],
- "I1": [ 1162 ],
- "I2": [ 1163 ],
- "I3": [ "0" ],
- "O": [ 1182 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 511 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 1183 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1158 ],
- "I1": [ 1159 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1203 ],
- "I1": [ 1204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1205 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1181 ],
- "I1": [ 1183 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1203 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1206 ],
- "I1": [ 1207 ],
- "I2": [ 1208 ],
- "I3": [ "0" ],
- "O": [ 1204 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 1186 ],
- "I2": [ 1185 ],
- "I3": [ "0" ],
- "O": [ 1206 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1209 ],
- "I1": [ 1210 ],
- "I2": [ 1211 ],
- "I3": [ "0" ],
- "O": [ 1207 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1187 ],
- "I1": [ 1189 ],
- "I2": [ 1188 ],
- "I3": [ "0" ],
- "O": [ 1209 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 1213 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1210 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1190 ],
- "I1": [ 1192 ],
- "I2": [ 1191 ],
- "I3": [ "0" ],
- "O": [ 1212 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1214 ],
- "I1": [ 1215 ],
- "I2": [ 1074 ],
- "I3": [ "0" ],
- "O": [ 1213 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 83 ],
- "I2": [ 1073 ],
- "I3": [ "0" ],
- "O": [ 1214 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 1202 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 1215 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 1217 ],
- "I2": [ 1218 ],
- "I3": [ "0" ],
- "O": [ 1211 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1197 ],
- "I1": [ 1198 ],
- "I2": [ 1199 ],
- "I3": [ "0" ],
- "O": [ 1216 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1078 ],
- "I1": [ 1080 ],
- "I2": [ 1079 ],
- "I3": [ 1053 ],
- "O": [ 1217 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1220 ],
- "I2": [ 1221 ],
- "I3": [ "0" ],
- "O": [ 1218 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1196 ],
- "I2": [ 83 ],
- "I3": [ 1222 ],
- "O": [ 1219 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 88 ],
- "I3": [ 96 ],
- "O": [ 1222 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 367 ],
- "I1": [ 62 ],
- "I2": [ 1193 ],
- "I3": [ 154 ],
- "O": [ 1220 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 88 ],
- "I2": [ 1069 ],
- "I3": [ "0" ],
- "O": [ 1221 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2820
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 63 ],
- "I2": [ 1201 ],
- "I3": [ 1202 ],
- "O": [ 1208 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1223 ],
- "I1": [ 1224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1225 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1206 ],
- "I1": [ 1207 ],
- "I2": [ 1208 ],
- "I3": [ "0" ],
- "O": [ 1223 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 1227 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1224 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1209 ],
- "I1": [ 1210 ],
- "I2": [ 1211 ],
- "I3": [ "0" ],
- "O": [ 1226 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 1213 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1227 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1229 ],
- "I1": [ 1230 ],
- "I2": [ 1231 ],
- "I3": [ "0" ],
- "O": [ 1228 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 1217 ],
- "I2": [ 1218 ],
- "I3": [ "0" ],
- "O": [ 1229 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1075 ],
- "I1": [ 1076 ],
- "I2": [ 1077 ],
- "I3": [ "0" ],
- "O": [ 1230 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1232 ],
- "I1": [ 1233 ],
- "I2": [ 1234 ],
- "I3": [ "0" ],
- "O": [ 1231 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1214 ],
- "I1": [ 1074 ],
- "I2": [ 1215 ],
- "I3": [ "0" ],
- "O": [ 1232 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1221 ],
- "I2": [ 1220 ],
- "I3": [ "0" ],
- "O": [ 1233 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 567 ],
- "I1": [ 63 ],
- "I2": [ 1071 ],
- "I3": [ 1072 ],
- "O": [ 1234 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1235 ],
- "I1": [ 1236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1237 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 1227 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1235 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1238 ],
- "I1": [ 1239 ],
- "I2": [ 1240 ],
- "I3": [ "0" ],
- "O": [ 1236 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1029 ],
- "I1": [ 1030 ],
- "I2": [ 1031 ],
- "I3": [ "0" ],
- "O": [ 1238 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1229 ],
- "I1": [ 1230 ],
- "I2": [ 1231 ],
- "I3": [ "0" ],
- "O": [ 1239 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1232 ],
- "I1": [ 1234 ],
- "I2": [ 1233 ],
- "I3": [ "0" ],
- "O": [ 1240 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1242 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1243 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1238 ],
- "I1": [ 1239 ],
- "I2": [ 1240 ],
- "I3": [ "0" ],
- "O": [ 1241 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1026 ],
- "I1": [ 1027 ],
- "I2": [ 1028 ],
- "I3": [ "0" ],
- "O": [ 1242 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 141 ],
- "I2": [ 1139 ],
- "I3": [ 1157 ],
- "O": [ 1244 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1157 ],
- "I1": [ 1139 ],
- "I2": [ 1158 ],
- "I3": [ 1159 ],
- "O": [ 1245 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1178 ],
- "I1": [ 1179 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1246 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1203 ],
- "I1": [ 1204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1247 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1223 ],
- "I1": [ 1224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1248 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1235 ],
- "I1": [ 1236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1249 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1242 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1250 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 256 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1251 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1252 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1253 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1254 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1255 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1256 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1257 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1258 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1259 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1260 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1261 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1262 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1263 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1264 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1265 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1266 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1267 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1268 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1269 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1270 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1271 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1272 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1273 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 567 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1274 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1275 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1276 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 570 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1277 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1278 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 465 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1279 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1280 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1281 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1282 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 29 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1283 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1002 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1284 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1285 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1286 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1287 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1003 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1288 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 77 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1289 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1290 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1291 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 131 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1292 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1293 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1294 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 334 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1295 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1296 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1297 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 231 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1298 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1299 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1300 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1301 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1302 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 23 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1303 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1304 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1305 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1306 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 38 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1307 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 37 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1308 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1309 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1310 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1311 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 114 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1312 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1313 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1314 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 242 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1315 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1316 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1317 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 12787
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 168 ],
- "I2": [ 159 ],
- "I3": [ 154 ],
- "O": [ 1318 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 175 ],
- "I2": [ 1318 ],
- "I3": [ 173 ],
- "O": [ 45 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1319 ],
- "I1": [ 1320 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1321 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1322 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1321 ],
- "O": [ 1323 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 214 ],
- "I2": [ 215 ],
- "I3": [ 1323 ],
- "O": [ 206 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1324 ],
- "I1": [ 1325 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1326 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1327 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1326 ],
- "O": [ 1328 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 221 ],
- "I1": [ 225 ],
- "I2": [ 226 ],
- "I3": [ 1328 ],
- "O": [ 217 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1330 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1331 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1332 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1331 ],
- "O": [ 1333 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 236 ],
- "I2": [ 237 ],
- "I3": [ 1333 ],
- "O": [ 228 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1334 ],
- "I1": [ 1335 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1336 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1337 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1336 ],
- "O": [ 1338 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 247 ],
- "I2": [ 248 ],
- "I3": [ 1338 ],
- "O": [ 239 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 1340 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1342 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1343 ],
- "I1": [ 1342 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1345 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1346 ],
- "I1": [ 1347 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1348 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1349 ],
- "I1": [ 1348 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1350 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1351 ],
- "I1": [ 1352 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1353 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1354 ],
- "I1": [ 1353 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1355 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1357 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1358 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1359 ],
- "I1": [ 1358 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1360 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1361 ],
- "I1": [ 1362 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1363 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1364 ],
- "I1": [ 1363 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1365 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ 1367 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1368 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1369 ],
- "I1": [ 1368 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1370 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ 1372 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1373 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1374 ],
- "I1": [ 1373 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1375 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1376 ],
- "I1": [ 1377 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1378 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1379 ],
- "I1": [ 1378 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1380 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1381 ],
- "I1": [ 1382 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1383 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1384 ],
- "I1": [ 1383 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1385 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1386 ],
- "I1": [ 1387 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1388 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1389 ],
- "I1": [ 1388 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1390 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1391 ],
- "I1": [ 1392 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1393 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1394 ],
- "I1": [ 1393 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1395 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1396 ],
- "I1": [ 1397 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1398 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1399 ],
- "I1": [ 1398 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1400 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1401 ],
- "I1": [ 1402 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1403 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1404 ],
- "I1": [ 1403 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1405 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1406 ],
- "I1": [ 1407 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1408 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1409 ],
- "I1": [ 1408 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1410 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1411 ],
- "I1": [ 1412 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1413 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1414 ],
- "I1": [ 1413 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1415 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1416 ],
- "I1": [ 1417 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1418 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1419 ],
- "I1": [ 1418 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1420 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1421 ],
- "I1": [ 1422 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1423 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 807 ],
- "I1": [ 808 ],
- "I2": [ 625 ],
- "I3": [ 1423 ],
- "O": [ 621 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1424 ],
- "I1": [ 1425 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1426 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 813 ],
- "I1": [ 814 ],
- "I2": [ 625 ],
- "I3": [ 1426 ],
- "O": [ 635 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1427 ],
- "I1": [ 1428 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1429 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 819 ],
- "I1": [ 820 ],
- "I2": [ 625 ],
- "I3": [ 1429 ],
- "O": [ 643 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1430 ],
- "I1": [ 1431 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1432 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 825 ],
- "I1": [ 826 ],
- "I2": [ 625 ],
- "I3": [ 1432 ],
- "O": [ 651 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1433 ],
- "I1": [ 1434 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1435 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 831 ],
- "I1": [ 832 ],
- "I2": [ 625 ],
- "I3": [ 1435 ],
- "O": [ 659 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1436 ],
- "I1": [ 1437 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1438 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 837 ],
- "I1": [ 838 ],
- "I2": [ 625 ],
- "I3": [ 1438 ],
- "O": [ 667 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1439 ],
- "I1": [ 1440 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1441 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 843 ],
- "I1": [ 844 ],
- "I2": [ 625 ],
- "I3": [ 1441 ],
- "O": [ 675 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1442 ],
- "I1": [ 1443 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1444 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 850 ],
- "I2": [ 625 ],
- "I3": [ 1444 ],
- "O": [ 683 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1445 ],
- "I1": [ 1446 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1447 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 856 ],
- "I2": [ 625 ],
- "I3": [ 1447 ],
- "O": [ 691 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1448 ],
- "I1": [ 1449 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1450 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 861 ],
- "I1": [ 862 ],
- "I2": [ 625 ],
- "I3": [ 1450 ],
- "O": [ 699 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1451 ],
- "I1": [ 1452 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1453 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 867 ],
- "I1": [ 868 ],
- "I2": [ 625 ],
- "I3": [ 1453 ],
- "O": [ 707 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1454 ],
- "I1": [ 1455 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1456 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 873 ],
- "I1": [ 874 ],
- "I2": [ 625 ],
- "I3": [ 1456 ],
- "O": [ 715 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1457 ],
- "I1": [ 1458 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1459 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 879 ],
- "I1": [ 880 ],
- "I2": [ 625 ],
- "I3": [ 1459 ],
- "O": [ 723 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1460 ],
- "I1": [ 1461 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1462 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 885 ],
- "I1": [ 886 ],
- "I2": [ 625 ],
- "I3": [ 1462 ],
- "O": [ 731 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1463 ],
- "I1": [ 1464 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1465 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 891 ],
- "I1": [ 892 ],
- "I2": [ 625 ],
- "I3": [ 1465 ],
- "O": [ 739 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1466 ],
- "I1": [ 1467 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1468 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 897 ],
- "I1": [ 898 ],
- "I2": [ 625 ],
- "I3": [ 1468 ],
- "O": [ 747 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1421 ],
- "I1": [ 1422 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1469 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 631 ],
- "I1": [ 629 ],
- "I2": [ 579 ],
- "I3": [ 1469 ],
- "O": [ 805 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1424 ],
- "I1": [ 1425 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1470 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 640 ],
- "I1": [ 638 ],
- "I2": [ 579 ],
- "I3": [ 1470 ],
- "O": [ 811 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1427 ],
- "I1": [ 1428 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1471 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 646 ],
- "I2": [ 579 ],
- "I3": [ 1471 ],
- "O": [ 817 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1430 ],
- "I1": [ 1431 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1472 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 654 ],
- "I2": [ 579 ],
- "I3": [ 1472 ],
- "O": [ 823 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1433 ],
- "I1": [ 1434 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1473 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 664 ],
- "I1": [ 662 ],
- "I2": [ 579 ],
- "I3": [ 1473 ],
- "O": [ 829 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1436 ],
- "I1": [ 1437 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1474 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 672 ],
- "I1": [ 670 ],
- "I2": [ 579 ],
- "I3": [ 1474 ],
- "O": [ 835 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1439 ],
- "I1": [ 1440 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1475 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 678 ],
- "I2": [ 579 ],
- "I3": [ 1475 ],
- "O": [ 841 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1442 ],
- "I1": [ 1443 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1476 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 688 ],
- "I1": [ 686 ],
- "I2": [ 579 ],
- "I3": [ 1476 ],
- "O": [ 847 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1445 ],
- "I1": [ 1446 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1477 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 696 ],
- "I1": [ 694 ],
- "I2": [ 579 ],
- "I3": [ 1477 ],
- "O": [ 853 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1448 ],
- "I1": [ 1449 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1478 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 702 ],
- "I2": [ 579 ],
- "I3": [ 1478 ],
- "O": [ 859 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1451 ],
- "I1": [ 1452 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1479 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 712 ],
- "I1": [ 710 ],
- "I2": [ 579 ],
- "I3": [ 1479 ],
- "O": [ 865 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1454 ],
- "I1": [ 1455 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1480 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 720 ],
- "I1": [ 718 ],
- "I2": [ 579 ],
- "I3": [ 1480 ],
- "O": [ 871 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1457 ],
- "I1": [ 1458 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1481 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ 726 ],
- "I2": [ 579 ],
- "I3": [ 1481 ],
- "O": [ 877 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1460 ],
- "I1": [ 1461 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1482 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 736 ],
- "I1": [ 734 ],
- "I2": [ 579 ],
- "I3": [ 1482 ],
- "O": [ 883 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1463 ],
- "I1": [ 1464 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1483 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 744 ],
- "I1": [ 742 ],
- "I2": [ 579 ],
- "I3": [ 1483 ],
- "O": [ 889 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1466 ],
- "I1": [ 1467 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1484 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 752 ],
- "I1": [ 750 ],
- "I2": [ 579 ],
- "I3": [ 1484 ],
- "O": [ 895 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1257 ],
- "CO": [ 1485 ],
- "I0": [ "0" ],
- "I1": [ 1256 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1485 ],
- "CO": [ 1486 ],
- "I0": [ "0" ],
- "I1": [ 1255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1486 ],
- "CO": [ 1487 ],
- "I0": [ "0" ],
- "I1": [ 1254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1487 ],
- "CO": [ 1488 ],
- "I0": [ "1" ],
- "I1": [ 1305 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1488 ],
- "CO": [ 922 ],
- "I0": [ "1" ],
- "I1": [ 1309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1306 ],
- "CO": [ 1489 ],
- "I0": [ "1" ],
- "I1": [ 1283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1489 ],
- "CO": [ 1490 ],
- "I0": [ "1" ],
- "I1": [ 1307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1490 ],
- "CO": [ 1491 ],
- "I0": [ "1" ],
- "I1": [ 1308 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1491 ],
- "CO": [ 1492 ],
- "I0": [ "0" ],
- "I1": [ 1257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1492 ],
- "CO": [ 1493 ],
- "I0": [ "0" ],
- "I1": [ 1256 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1493 ],
- "CO": [ 1494 ],
- "I0": [ "0" ],
- "I1": [ 1255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1494 ],
- "CO": [ 1495 ],
- "I0": [ "1" ],
- "I1": [ 1254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1495 ],
- "CO": [ 1496 ],
- "I0": [ "0" ],
- "I1": [ 1305 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1496 ],
- "CO": [ 920 ],
- "I0": [ "0" ],
- "I1": [ 1309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1316 ],
- "CO": [ 1497 ],
- "I0": [ "1" ],
- "I1": [ 1282 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1497 ],
- "CO": [ 1498 ],
- "I0": [ "1" ],
- "I1": [ 1317 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1498 ],
- "CO": [ 1499 ],
- "I0": [ "1" ],
- "I1": [ 1299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1499 ],
- "CO": [ 1500 ],
- "I0": [ "1" ],
- "I1": [ 1300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1500 ],
- "CO": [ 1501 ],
- "I0": [ "0" ],
- "I1": [ 1301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1501 ],
- "CO": [ 1502 ],
- "I0": [ "0" ],
- "I1": [ 1302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1502 ],
- "CO": [ 1503 ],
- "I0": [ "0" ],
- "I1": [ 1303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1503 ],
- "CO": [ 1504 ],
- "I0": [ "0" ],
- "I1": [ 1304 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1504 ],
- "CO": [ 921 ],
- "I0": [ "0" ],
- "I1": [ 1259 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 274 ],
- "I2": [ 632 ],
- "I3": [ "0" ],
- "O": [ 1505 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1506 ],
- "I0": [ 274 ],
- "I1": [ 632 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 294 ],
- "I2": [ 624 ],
- "I3": [ 1506 ],
- "O": [ 1507 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1506 ],
- "CO": [ 1508 ],
- "I0": [ 294 ],
- "I1": [ 624 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 300 ],
- "I2": [ 626 ],
- "I3": [ 1508 ],
- "O": [ 1509 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1508 ],
- "CO": [ 1510 ],
- "I0": [ 300 ],
- "I1": [ 626 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 268 ],
- "I2": [ 615 ],
- "I3": [ 1510 ],
- "O": [ 1511 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1510 ],
- "CO": [ 1512 ],
- "I0": [ 268 ],
- "I1": [ 615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 393 ],
- "I2": [ 571 ],
- "I3": [ 1512 ],
- "O": [ 1513 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1512 ],
- "CO": [ 1514 ],
- "I0": [ 393 ],
- "I1": [ 571 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 378 ],
- "I2": [ 610 ],
- "I3": [ 1514 ],
- "O": [ 1515 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1514 ],
- "CO": [ 1516 ],
- "I0": [ 378 ],
- "I1": [ 610 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 400 ],
- "I2": [ 612 ],
- "I3": [ 1516 ],
- "O": [ 1517 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1516 ],
- "CO": [ 1518 ],
- "I0": [ 400 ],
- "I1": [ 612 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 407 ],
- "I2": [ 613 ],
- "I3": [ 1518 ],
- "O": [ 1519 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1518 ],
- "CO": [ 1520 ],
- "I0": [ 407 ],
- "I1": [ 613 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 254 ],
- "I2": [ 614 ],
- "I3": [ 1520 ],
- "O": [ 1521 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 274 ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 274 ],
- "CO": [ 1522 ],
- "I0": [ "0" ],
- "I1": [ 294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 300 ],
- "I3": [ 1522 ],
- "O": [ 301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1522 ],
- "CO": [ 1523 ],
- "I0": [ "0" ],
- "I1": [ 300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 268 ],
- "I3": [ 1523 ],
- "O": [ 269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1523 ],
- "CO": [ 1524 ],
- "I0": [ "0" ],
- "I1": [ 268 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 393 ],
- "I3": [ 1524 ],
- "O": [ 394 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1524 ],
- "CO": [ 1525 ],
- "I0": [ "0" ],
- "I1": [ 393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 378 ],
- "I3": [ 1525 ],
- "O": [ 379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1525 ],
- "CO": [ 1526 ],
- "I0": [ "0" ],
- "I1": [ 378 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 400 ],
- "I3": [ 1526 ],
- "O": [ 401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1526 ],
- "CO": [ 1527 ],
- "I0": [ "0" ],
- "I1": [ 400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 407 ],
- "I3": [ 1527 ],
- "O": [ 408 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1527 ],
- "CO": [ 1528 ],
- "I0": [ "0" ],
- "I1": [ 407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 254 ],
- "I3": [ 1528 ],
- "O": [ 255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1529 ],
- "I0": [ 1262 ],
- "I1": [ 1310 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1530 ],
- "CO": [ 1531 ],
- "I0": [ 1272 ],
- "I1": [ 1314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1531 ],
- "CO": [ 1532 ],
- "I0": [ 1273 ],
- "I1": [ 1295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1532 ],
- "CO": [ 1533 ],
- "I0": [ 1274 ],
- "I1": [ 1296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1533 ],
- "CO": [ 1534 ],
- "I0": [ 1275 ],
- "I1": [ 1297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1534 ],
- "CO": [ 1535 ],
- "I0": [ 1276 ],
- "I1": [ 1298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1535 ],
- "CO": [ 316 ],
- "I0": [ 1277 ],
- "I1": [ 1315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1529 ],
- "CO": [ 1536 ],
- "I0": [ 1263 ],
- "I1": [ 1289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1536 ],
- "CO": [ 1537 ],
- "I0": [ 1264 ],
- "I1": [ 1290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1537 ],
- "CO": [ 1538 ],
- "I0": [ 1265 ],
- "I1": [ 1311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1538 ],
- "CO": [ 1539 ],
- "I0": [ 1266 ],
- "I1": [ 1291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1539 ],
- "CO": [ 1540 ],
- "I0": [ 1267 ],
- "I1": [ 1312 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1540 ],
- "CO": [ 1541 ],
- "I0": [ 1268 ],
- "I1": [ 1292 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1541 ],
- "CO": [ 1542 ],
- "I0": [ 1269 ],
- "I1": [ 1293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1542 ],
- "CO": [ 1543 ],
- "I0": [ 1270 ],
- "I1": [ 1294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1543 ],
- "CO": [ 1530 ],
- "I0": [ 1271 ],
- "I1": [ 1313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1262 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 356 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1544 ],
- "I0": [ 1262 ],
- "I1": [ 63 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1272 ],
- "I2": [ 338 ],
- "I3": [ 1545 ],
- "O": [ 523 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1545 ],
- "CO": [ 1546 ],
- "I0": [ 1272 ],
- "I1": [ 338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1273 ],
- "I2": [ 334 ],
- "I3": [ 1546 ],
- "O": [ 994 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1546 ],
- "CO": [ 1547 ],
- "I0": [ 1273 ],
- "I1": [ 334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1274 ],
- "I2": [ 220 ],
- "I3": [ 1547 ],
- "O": [ 1324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1547 ],
- "CO": [ 1548 ],
- "I0": [ 1274 ],
- "I1": [ 220 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1275 ],
- "I2": [ 209 ],
- "I3": [ 1548 ],
- "O": [ 1319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1548 ],
- "CO": [ 1549 ],
- "I0": [ 1275 ],
- "I1": [ 209 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1276 ],
- "I2": [ 231 ],
- "I3": [ 1549 ],
- "O": [ 1329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1549 ],
- "CO": [ 1550 ],
- "I0": [ 1276 ],
- "I1": [ 231 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1277 ],
- "I2": [ 242 ],
- "I3": [ 1550 ],
- "O": [ 1334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1263 ],
- "I2": [ 77 ],
- "I3": [ 1544 ],
- "O": [ 288 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1544 ],
- "CO": [ 1551 ],
- "I0": [ 1263 ],
- "I1": [ 77 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1264 ],
- "I2": [ 83 ],
- "I3": [ 1551 ],
- "O": [ 309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1551 ],
- "CO": [ 1552 ],
- "I0": [ 1264 ],
- "I1": [ 83 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1265 ],
- "I2": [ 88 ],
- "I3": [ 1552 ],
- "O": [ 263 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1552 ],
- "CO": [ 1553 ],
- "I0": [ 1265 ],
- "I1": [ 88 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1266 ],
- "I2": [ 96 ],
- "I3": [ 1553 ],
- "O": [ 387 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1553 ],
- "CO": [ 1554 ],
- "I0": [ 1266 ],
- "I1": [ 96 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1267 ],
- "I2": [ 114 ],
- "I3": [ 1554 ],
- "O": [ 371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1554 ],
- "CO": [ 1555 ],
- "I0": [ 1267 ],
- "I1": [ 114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1268 ],
- "I2": [ 131 ],
- "I3": [ 1555 ],
- "O": [ 189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1555 ],
- "CO": [ 1556 ],
- "I0": [ 1268 ],
- "I1": [ 131 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1269 ],
- "I2": [ 154 ],
- "I3": [ 1556 ],
- "O": [ 169 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1556 ],
- "CO": [ 1557 ],
- "I0": [ 1269 ],
- "I1": [ 154 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1270 ],
- "I2": [ 350 ],
- "I3": [ 1557 ],
- "O": [ 497 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1557 ],
- "CO": [ 1558 ],
- "I0": [ 1270 ],
- "I1": [ 350 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1271 ],
- "I2": [ 346 ],
- "I3": [ 1558 ],
- "O": [ 512 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1558 ],
- "CO": [ 1545 ],
- "I0": [ 1271 ],
- "I1": [ 346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 63 ],
- "I2": [ 287 ],
- "I3": [ "1" ],
- "O": [ 358 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1559 ],
- "I0": [ 63 ],
- "I1": [ 287 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 338 ],
- "I2": [ 522 ],
- "I3": [ 1560 ],
- "O": [ 524 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1560 ],
- "CO": [ 1561 ],
- "I0": [ 338 ],
- "I1": [ 522 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 334 ],
- "I2": [ 566 ],
- "I3": [ 1561 ],
- "O": [ 997 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1561 ],
- "CO": [ 1562 ],
- "I0": [ 334 ],
- "I1": [ 566 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 220 ],
- "I2": [ 567 ],
- "I3": [ 1562 ],
- "O": [ 1325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1562 ],
- "CO": [ 1563 ],
- "I0": [ 220 ],
- "I1": [ 567 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 209 ],
- "I2": [ 568 ],
- "I3": [ 1563 ],
- "O": [ 1320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1563 ],
- "CO": [ 1564 ],
- "I0": [ 209 ],
- "I1": [ 568 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 231 ],
- "I2": [ 569 ],
- "I3": [ 1564 ],
- "O": [ 1330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1564 ],
- "CO": [ 1565 ],
- "I0": [ 231 ],
- "I1": [ 569 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 242 ],
- "I2": [ 570 ],
- "I3": [ 1565 ],
- "O": [ 1335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 77 ],
- "I2": [ 94 ],
- "I3": [ 1559 ],
- "O": [ 289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1559 ],
- "CO": [ 1566 ],
- "I0": [ 77 ],
- "I1": [ 94 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 83 ],
- "I2": [ 307 ],
- "I3": [ 1566 ],
- "O": [ 310 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1566 ],
- "CO": [ 1567 ],
- "I0": [ 83 ],
- "I1": [ 307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 88 ],
- "I2": [ 62 ],
- "I3": [ 1567 ],
- "O": [ 264 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1567 ],
- "CO": [ 1568 ],
- "I0": [ 88 ],
- "I1": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 96 ],
- "I2": [ 98 ],
- "I3": [ 1568 ],
- "O": [ 388 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1568 ],
- "CO": [ 1569 ],
- "I0": [ 96 ],
- "I1": [ 98 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 114 ],
- "I2": [ 370 ],
- "I3": [ 1569 ],
- "O": [ 372 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1569 ],
- "CO": [ 1570 ],
- "I0": [ 114 ],
- "I1": [ 370 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 131 ],
- "I2": [ 136 ],
- "I3": [ 1570 ],
- "O": [ 190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1570 ],
- "CO": [ 1571 ],
- "I0": [ 131 ],
- "I1": [ 136 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 154 ],
- "I2": [ 159 ],
- "I3": [ 1571 ],
- "O": [ 172 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1571 ],
- "CO": [ 1572 ],
- "I0": [ 154 ],
- "I1": [ 159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 350 ],
- "I2": [ 500 ],
- "I3": [ 1572 ],
- "O": [ 501 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1572 ],
- "CO": [ 1573 ],
- "I0": [ 350 ],
- "I1": [ 500 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 346 ],
- "I2": [ 511 ],
- "I3": [ 1573 ],
- "O": [ 513 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1573 ],
- "CO": [ 1560 ],
- "I0": [ 346 ],
- "I1": [ 511 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 313 ],
- "I3": [ "0" ],
- "O": [ 753 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 525 ],
- "I3": [ 1574 ],
- "O": [ 782 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1574 ],
- "CO": [ 1575 ],
- "I0": [ "0" ],
- "I1": [ 525 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1576 ],
- "I3": [ 1575 ],
- "O": [ 785 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1575 ],
- "CO": [ 1577 ],
- "I0": [ "0" ],
- "I1": [ 1576 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 216 ],
- "I3": [ 1577 ],
- "O": [ 788 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1577 ],
- "CO": [ 1578 ],
- "I0": [ "0" ],
- "I1": [ 216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 205 ],
- "I3": [ 1578 ],
- "O": [ 791 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1578 ],
- "CO": [ 1579 ],
- "I0": [ "0" ],
- "I1": [ 205 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 227 ],
- "I3": [ 1579 ],
- "O": [ 794 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1579 ],
- "CO": [ 1580 ],
- "I0": [ "0" ],
- "I1": [ 227 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 238 ],
- "I3": [ 1580 ],
- "O": [ 797 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 313 ],
- "CO": [ 1581 ],
- "I0": [ "0" ],
- "I1": [ 280 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 302 ],
- "I3": [ 1581 ],
- "O": [ 758 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1581 ],
- "CO": [ 1582 ],
- "I0": [ "0" ],
- "I1": [ 302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 257 ],
- "I3": [ 1582 ],
- "O": [ 761 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1582 ],
- "CO": [ 1583 ],
- "I0": [ "0" ],
- "I1": [ 257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 380 ],
- "I3": [ 1583 ],
- "O": [ 764 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1583 ],
- "CO": [ 1584 ],
- "I0": [ "0" ],
- "I1": [ 380 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 366 ],
- "I3": [ 1584 ],
- "O": [ 767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1584 ],
- "CO": [ 1585 ],
- "I0": [ "0" ],
- "I1": [ 366 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 183 ],
- "I3": [ 1585 ],
- "O": [ 770 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1585 ],
- "CO": [ 1586 ],
- "I0": [ "0" ],
- "I1": [ 183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 46 ],
- "I3": [ 1586 ],
- "O": [ 773 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1586 ],
- "CO": [ 1587 ],
- "I0": [ "0" ],
- "I1": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 503 ],
- "I3": [ 1587 ],
- "O": [ 776 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1587 ],
- "CO": [ 1588 ],
- "I0": [ "0" ],
- "I1": [ 503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 514 ],
- "I3": [ 1588 ],
- "O": [ 779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1588 ],
- "CO": [ 1574 ],
- "I0": [ "0" ],
- "I1": [ 514 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 461 ],
- "I3": [ "0" ],
- "O": [ 1589 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 461 ],
- "CO": [ 1590 ],
- "I0": [ "0" ],
- "I1": [ 465 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 466 ],
- "I3": [ 1590 ],
- "O": [ 1591 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1590 ],
- "CO": [ 1592 ],
- "I0": [ "0" ],
- "I1": [ 466 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 467 ],
- "I3": [ 1592 ],
- "O": [ 1593 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 418 ],
- "I3": [ "0" ],
- "O": [ 1594 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 428 ],
- "I3": [ 1595 ],
- "O": [ 1596 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1595 ],
- "CO": [ 1597 ],
- "I0": [ "0" ],
- "I1": [ 428 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 429 ],
- "I3": [ 1597 ],
- "O": [ 1598 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1597 ],
- "CO": [ 1599 ],
- "I0": [ "0" ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 422 ],
- "I3": [ 1599 ],
- "O": [ 1600 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1599 ],
- "CO": [ 1601 ],
- "I0": [ "0" ],
- "I1": [ 422 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 423 ],
- "I3": [ 1601 ],
- "O": [ 1602 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1601 ],
- "CO": [ 1603 ],
- "I0": [ "0" ],
- "I1": [ 423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 424 ],
- "I3": [ 1603 ],
- "O": [ 1604 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1603 ],
- "CO": [ 1605 ],
- "I0": [ "0" ],
- "I1": [ 424 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 425 ],
- "I3": [ 1605 ],
- "O": [ 1606 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 418 ],
- "CO": [ 1607 ],
- "I0": [ "0" ],
- "I1": [ 419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 420 ],
- "I3": [ 1607 ],
- "O": [ 1608 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1607 ],
- "CO": [ 1609 ],
- "I0": [ "0" ],
- "I1": [ 420 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 421 ],
- "I3": [ 1609 ],
- "O": [ 1610 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1609 ],
- "CO": [ 1611 ],
- "I0": [ "0" ],
- "I1": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 414 ],
- "I3": [ 1611 ],
- "O": [ 1612 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1611 ],
- "CO": [ 1613 ],
- "I0": [ "0" ],
- "I1": [ 414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 415 ],
- "I3": [ 1613 ],
- "O": [ 1614 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1613 ],
- "CO": [ 1615 ],
- "I0": [ "0" ],
- "I1": [ 415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 416 ],
- "I3": [ 1615 ],
- "O": [ 1616 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1615 ],
- "CO": [ 1617 ],
- "I0": [ "0" ],
- "I1": [ 416 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 417 ],
- "I3": [ 1617 ],
- "O": [ 1618 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1617 ],
- "CO": [ 1619 ],
- "I0": [ "0" ],
- "I1": [ 417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 426 ],
- "I3": [ 1619 ],
- "O": [ 1620 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1619 ],
- "CO": [ 1621 ],
- "I0": [ "0" ],
- "I1": [ 426 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 427 ],
- "I3": [ 1621 ],
- "O": [ 1622 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1621 ],
- "CO": [ 1595 ],
- "I0": [ "0" ],
- "I1": [ 427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 489 ],
- "I3": [ "0" ],
- "O": [ 1623 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 489 ],
- "CO": [ 1624 ],
- "I0": [ "0" ],
- "I1": [ 928 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 929 ],
- "I3": [ 1624 ],
- "O": [ 1625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1624 ],
- "CO": [ 1626 ],
- "I0": [ "0" ],
- "I1": [ 929 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 930 ],
- "I3": [ 1626 ],
- "O": [ 1627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 923 ],
- "I3": [ "0" ],
- "O": [ 924 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 923 ],
- "CO": [ 1628 ],
- "I0": [ "0" ],
- "I1": [ 932 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 935 ],
- "I3": [ 1628 ],
- "O": [ 936 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1628 ],
- "CO": [ 1629 ],
- "I0": [ "0" ],
- "I1": [ 935 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 939 ],
- "I3": [ 1629 ],
- "O": [ 940 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1629 ],
- "CO": [ 1630 ],
- "I0": [ "0" ],
- "I1": [ 939 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 943 ],
- "I3": [ 1630 ],
- "O": [ 944 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1630 ],
- "CO": [ 1631 ],
- "I0": [ "0" ],
- "I1": [ 943 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 947 ],
- "I3": [ 1631 ],
- "O": [ 948 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 24 ],
- "I3": [ "0" ],
- "O": [ 1632 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 24 ],
- "CO": [ 1633 ],
- "I0": [ "0" ],
- "I1": [ 26 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 17 ],
- "I3": [ 1633 ],
- "O": [ 901 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1633 ],
- "CO": [ 1634 ],
- "I0": [ "0" ],
- "I1": [ 17 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 25 ],
- "I3": [ 1634 ],
- "O": [ 903 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1634 ],
- "CO": [ 1635 ],
- "I0": [ "0" ],
- "I1": [ 25 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 20 ],
- "I3": [ 1635 ],
- "O": [ 905 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1635 ],
- "CO": [ 1636 ],
- "I0": [ "0" ],
- "I1": [ 20 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 21 ],
- "I3": [ 1636 ],
- "O": [ 907 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1636 ],
- "CO": [ 1637 ],
- "I0": [ "0" ],
- "I1": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 22 ],
- "I3": [ 1637 ],
- "O": [ 909 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1637 ],
- "CO": [ 1638 ],
- "I0": [ "0" ],
- "I1": [ 22 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 23 ],
- "I3": [ 1638 ],
- "O": [ 911 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1638 ],
- "CO": [ 1639 ],
- "I0": [ "0" ],
- "I1": [ 23 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 18 ],
- "I3": [ 1639 ],
- "O": [ 913 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1639 ],
- "CO": [ 1640 ],
- "I0": [ "0" ],
- "I1": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 15 ],
- "I3": [ 1640 ],
- "O": [ 1641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 28 ],
- "I3": [ "0" ],
- "O": [ 1642 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 28 ],
- "CO": [ 1643 ],
- "I0": [ "0" ],
- "I1": [ 29 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 38 ],
- "I3": [ 1643 ],
- "O": [ 1644 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1643 ],
- "CO": [ 1645 ],
- "I0": [ "0" ],
- "I1": [ 38 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 37 ],
- "I3": [ 1645 ],
- "O": [ 1646 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1645 ],
- "CO": [ 1647 ],
- "I0": [ "0" ],
- "I1": [ 37 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 30 ],
- "I3": [ 1647 ],
- "O": [ 1648 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1647 ],
- "CO": [ 1649 ],
- "I0": [ "0" ],
- "I1": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 32 ],
- "I3": [ 1649 ],
- "O": [ 1650 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1649 ],
- "CO": [ 1651 ],
- "I0": [ "0" ],
- "I1": [ 32 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 33 ],
- "I3": [ 1651 ],
- "O": [ 1652 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1651 ],
- "CO": [ 1653 ],
- "I0": [ "0" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 36 ],
- "I3": [ 1653 ],
- "O": [ 1654 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1653 ],
- "CO": [ 1655 ],
- "I0": [ "0" ],
- "I1": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 39 ],
- "I3": [ 1655 ],
- "O": [ 1656 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1655 ],
- "CO": [ 1657 ],
- "I0": [ "0" ],
- "I1": [ 39 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 35 ],
- "I3": [ 1657 ],
- "O": [ 1658 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1278 ],
- "CO": [ 1659 ],
- "I0": [ 910 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 912 ],
- "I2": [ "1" ],
- "I3": [ 1659 ],
- "O": [ 1660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1659 ],
- "CO": [ 1661 ],
- "I0": [ 912 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 914 ],
- "I2": [ "1" ],
- "I3": [ 1661 ],
- "O": [ 1662 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 103 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 359 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1246 ],
- "I2": [ 1160 ],
- "I3": [ 1663 ],
- "O": [ 519 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1663 ],
- "CO": [ 1664 ],
- "I0": [ 1246 ],
- "I1": [ 1160 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1247 ],
- "I2": [ 1180 ],
- "I3": [ 1664 ],
- "O": [ 998 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1664 ],
- "CO": [ 1665 ],
- "I0": [ 1247 ],
- "I1": [ 1180 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1248 ],
- "I2": [ 1205 ],
- "I3": [ 1665 ],
- "O": [ 1327 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1665 ],
- "CO": [ 1666 ],
- "I0": [ 1248 ],
- "I1": [ 1205 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1249 ],
- "I2": [ 1225 ],
- "I3": [ 1666 ],
- "O": [ 1322 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1666 ],
- "CO": [ 1667 ],
- "I0": [ 1249 ],
- "I1": [ 1225 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1250 ],
- "I2": [ 1237 ],
- "I3": [ 1667 ],
- "O": [ 1332 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1667 ],
- "CO": [ 1668 ],
- "I0": [ 1250 ],
- "I1": [ 1237 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1025 ],
- "I2": [ 1243 ],
- "I3": [ 1668 ],
- "O": [ 1337 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1669 ],
- "I0": [ 1000 ],
- "I1": [ 48 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1244 ],
- "I2": [ 1138 ],
- "I3": [ 1669 ],
- "O": [ 502 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1669 ],
- "CO": [ 1670 ],
- "I0": [ 1244 ],
- "I1": [ 1138 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1245 ],
- "I2": [ 1140 ],
- "I3": [ 1670 ],
- "O": [ 508 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1670 ],
- "CO": [ 1663 ],
- "I0": [ 1245 ],
- "I1": [ 1140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1232": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1002 ],
- "Q": [ 1344 ],
- "R": [ 432 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 528 ],
- "Q": [ 1340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 530 ],
- "Q": [ 1347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 532 ],
- "Q": [ 1352 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 534 ],
- "Q": [ 1357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 536 ],
- "Q": [ 1362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 538 ],
- "Q": [ 1367 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1249": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 540 ],
- "Q": [ 1372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 542 ],
- "Q": [ 1377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 544 ],
- "Q": [ 1382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 546 ],
- "Q": [ 1387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 548 ],
- "Q": [ 1392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 550 ],
- "Q": [ 1397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 552 ],
- "Q": [ 1402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 554 ],
- "Q": [ 1407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 556 ],
- "Q": [ 1412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 558 ],
- "Q": [ 1417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1300": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:122|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 528 ],
- "E": [ 1005 ],
- "Q": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1261 ],
- "Q": [ 256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1316": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1003 ],
- "Q": [ 1341 ],
- "R": [ 43 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1334": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1345 ],
- "Q": [ 459 ],
- "S": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1335": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1350 ],
- "Q": [ 458 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1336": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1355 ],
- "Q": [ 457 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1337": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1360 ],
- "Q": [ 455 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1338": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1365 ],
- "Q": [ 609 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1339": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1370 ],
- "Q": [ 611 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1340": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1375 ],
- "Q": [ 583 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1341": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1380 ],
- "Q": [ 579 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1342": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1385 ],
- "Q": [ 588 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1343": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1390 ],
- "Q": [ 592 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1344": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1395 ],
- "Q": [ 632 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1345": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1400 ],
- "Q": [ 624 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1346": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1405 ],
- "Q": [ 626 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1347": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1410 ],
- "Q": [ 615 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1348": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1415 ],
- "Q": [ 571 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1349": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1420 ],
- "Q": [ 581 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 256 ],
- "Q": [ 1008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1351": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 271 ],
- "Q": [ 274 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1352": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 290 ],
- "Q": [ 294 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1353": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 297 ],
- "Q": [ 300 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1354": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 265 ],
- "Q": [ 268 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1355": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 390 ],
- "Q": [ 393 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1356": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 375 ],
- "Q": [ 378 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1357": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 397 ],
- "Q": [ 400 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1358": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 404 ],
- "Q": [ 407 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1359": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 250 ],
- "Q": [ 254 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1367": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 565 ],
- "E": [ 1008 ],
- "Q": [ 575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1368": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 632 ],
- "E": [ 1009 ],
- "Q": [ 572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1369": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 624 ],
- "E": [ 1009 ],
- "Q": [ 582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1370": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 626 ],
- "E": [ 1009 ],
- "Q": [ 584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1371": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 615 ],
- "E": [ 1009 ],
- "Q": [ 589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1372": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 571 ],
- "E": [ 1009 ],
- "Q": [ 593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1373": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 609 ],
- "E": [ 1009 ],
- "Q": [ 595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1374": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 611 ],
- "E": [ 1009 ],
- "Q": [ 597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1375": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 583 ],
- "E": [ 1009 ],
- "Q": [ 599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1376": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 579 ],
- "E": [ 1009 ],
- "Q": [ 601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1377": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 588 ],
- "E": [ 1009 ],
- "Q": [ 603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1378": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 592 ],
- "E": [ 1009 ],
- "Q": [ 605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1379": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 581 ],
- "E": [ 1009 ],
- "Q": [ 607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 632 ],
- "Q": [ 81 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 624 ],
- "Q": [ 75 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 626 ],
- "Q": [ 79 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 615 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 574 ],
- "Q": [ 99 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 578 ],
- "Q": [ 118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 587 ],
- "Q": [ 138 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 591 ],
- "Q": [ 162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 594 ],
- "Q": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 596 ],
- "Q": [ 345 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 598 ],
- "Q": [ 337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 600 ],
- "Q": [ 333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 602 ],
- "Q": [ 224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 604 ],
- "Q": [ 213 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 606 ],
- "Q": [ 235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 608 ],
- "Q": [ 246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 564 ],
- "Q": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 563 ],
- "Q": [ 69 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 561 ],
- "Q": [ 253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 456 ],
- "Q": [ 68 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 454 ],
- "Q": [ 70 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1401": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 460 ],
- "Q": [ 1013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1402": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 609 ],
- "Q": [ 1010 ],
- "S": [ 990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1403": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 611 ],
- "Q": [ 1012 ],
- "S": [ 990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1404": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 583 ],
- "Q": [ 1011 ],
- "S": [ 990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1405": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 616 ],
- "Q": [ 166 ],
- "R": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1406": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 617 ],
- "Q": [ 165 ],
- "R": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1407": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 618 ],
- "Q": [ 164 ],
- "R": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1505 ],
- "Q": [ 272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1507 ],
- "Q": [ 292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1509 ],
- "Q": [ 298 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1511 ],
- "Q": [ 266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1513 ],
- "Q": [ 391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1515 ],
- "Q": [ 376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1517 ],
- "Q": [ 398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1519 ],
- "Q": [ 405 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1521 ],
- "Q": [ 251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1794": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1014 ],
- "Q": [ 631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1795": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1014 ],
- "Q": [ 640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1796": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1014 ],
- "Q": [ 648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1797": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1014 ],
- "Q": [ 656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1798": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1014 ],
- "Q": [ 664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1799": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1014 ],
- "Q": [ 672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1800": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1014 ],
- "Q": [ 680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1801": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1014 ],
- "Q": [ 688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1802": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1014 ],
- "Q": [ 696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1803": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1014 ],
- "Q": [ 704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1804": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1014 ],
- "Q": [ 712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1805": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1014 ],
- "Q": [ 720 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1806": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1014 ],
- "Q": [ 728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1807": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1014 ],
- "Q": [ 736 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1808": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1014 ],
- "Q": [ 744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1809": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1014 ],
- "Q": [ 752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1811": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1015 ],
- "Q": [ 1421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1812": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1015 ],
- "Q": [ 1424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1813": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1015 ],
- "Q": [ 1427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1814": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1015 ],
- "Q": [ 1430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1815": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1015 ],
- "Q": [ 1433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1816": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1015 ],
- "Q": [ 1436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1817": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1015 ],
- "Q": [ 1439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1818": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1015 ],
- "Q": [ 1442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1819": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1015 ],
- "Q": [ 1445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1820": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1015 ],
- "Q": [ 1448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1821": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1015 ],
- "Q": [ 1451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1822": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1015 ],
- "Q": [ 1454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1823": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1015 ],
- "Q": [ 1457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1824": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1015 ],
- "Q": [ 1460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1825": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1015 ],
- "Q": [ 1463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1826": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1015 ],
- "Q": [ 1466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1827": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1017 ],
- "Q": [ 629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1828": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1017 ],
- "Q": [ 638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1829": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1017 ],
- "Q": [ 646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1830": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1017 ],
- "Q": [ 654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1831": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1017 ],
- "Q": [ 662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1832": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1017 ],
- "Q": [ 670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1833": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1017 ],
- "Q": [ 678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1834": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1017 ],
- "Q": [ 686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1835": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1017 ],
- "Q": [ 694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1836": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1017 ],
- "Q": [ 702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1837": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1017 ],
- "Q": [ 710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1838": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1017 ],
- "Q": [ 718 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1839": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1017 ],
- "Q": [ 726 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1840": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1017 ],
- "Q": [ 734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1841": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1017 ],
- "Q": [ 742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1842": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1017 ],
- "Q": [ 750 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1843": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1016 ],
- "Q": [ 807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1844": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1016 ],
- "Q": [ 813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1845": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1016 ],
- "Q": [ 819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1846": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1016 ],
- "Q": [ 825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1847": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1016 ],
- "Q": [ 831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1848": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1016 ],
- "Q": [ 837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1849": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1016 ],
- "Q": [ 843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1850": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1016 ],
- "Q": [ 849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1851": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1016 ],
- "Q": [ 855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1852": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1016 ],
- "Q": [ 861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1853": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1016 ],
- "Q": [ 867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1854": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1016 ],
- "Q": [ 873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1855": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1016 ],
- "Q": [ 879 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1856": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1016 ],
- "Q": [ 885 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1857": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1016 ],
- "Q": [ 891 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1858": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1016 ],
- "Q": [ 897 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1859": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1020 ],
- "Q": [ 1422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1860": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1020 ],
- "Q": [ 1425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1861": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1020 ],
- "Q": [ 1428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1862": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1020 ],
- "Q": [ 1431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1863": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1020 ],
- "Q": [ 1434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1864": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1020 ],
- "Q": [ 1437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1865": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1020 ],
- "Q": [ 1440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1866": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1020 ],
- "Q": [ 1443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1867": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1020 ],
- "Q": [ 1446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1868": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1020 ],
- "Q": [ 1449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1869": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1020 ],
- "Q": [ 1452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1870": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1020 ],
- "Q": [ 1455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1871": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1020 ],
- "Q": [ 1458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1872": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1020 ],
- "Q": [ 1461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1873": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1020 ],
- "Q": [ 1464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1874": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1020 ],
- "Q": [ 1467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1876": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1021 ],
- "Q": [ 808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1877": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1021 ],
- "Q": [ 814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1878": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1021 ],
- "Q": [ 820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1879": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1021 ],
- "Q": [ 826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1880": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1021 ],
- "Q": [ 832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1881": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1021 ],
- "Q": [ 838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1882": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1021 ],
- "Q": [ 844 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1883": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1021 ],
- "Q": [ 850 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1884": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1021 ],
- "Q": [ 856 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1885": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1021 ],
- "Q": [ 862 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1886": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1021 ],
- "Q": [ 868 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1887": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1021 ],
- "Q": [ 874 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1888": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1021 ],
- "Q": [ 880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1889": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1021 ],
- "Q": [ 886 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1890": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1021 ],
- "Q": [ 892 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1891": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1021 ],
- "Q": [ 898 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 623 ],
- "Q": [ 82 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 636 ],
- "Q": [ 76 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 644 ],
- "Q": [ 80 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 652 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 660 ],
- "Q": [ 100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 668 ],
- "Q": [ 119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 676 ],
- "Q": [ 139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 684 ],
- "Q": [ 163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 692 ],
- "Q": [ 348 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 700 ],
- "Q": [ 344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 708 ],
- "Q": [ 336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 716 ],
- "Q": [ 332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 724 ],
- "Q": [ 223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 732 ],
- "Q": [ 212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 740 ],
- "Q": [ 234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 748 ],
- "Q": [ 245 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1924": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1019 ],
- "Q": [ 628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1925": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1019 ],
- "Q": [ 637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1926": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1019 ],
- "Q": [ 645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1927": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1019 ],
- "Q": [ 653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1928": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1019 ],
- "Q": [ 661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1929": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1019 ],
- "Q": [ 669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1930": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1019 ],
- "Q": [ 677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1931": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1019 ],
- "Q": [ 685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1932": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1019 ],
- "Q": [ 693 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1933": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1019 ],
- "Q": [ 701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1934": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1019 ],
- "Q": [ 709 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1935": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1019 ],
- "Q": [ 717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1936": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1019 ],
- "Q": [ 725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1937": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1019 ],
- "Q": [ 733 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1938": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1019 ],
- "Q": [ 741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1939": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1019 ],
- "Q": [ 749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1972": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1018 ],
- "Q": [ 630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1973": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1018 ],
- "Q": [ 639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1974": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1018 ],
- "Q": [ 647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1975": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1018 ],
- "Q": [ 655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1976": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1018 ],
- "Q": [ 663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1977": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1018 ],
- "Q": [ 671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1978": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1018 ],
- "Q": [ 679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1979": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1018 ],
- "Q": [ 687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1980": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1018 ],
- "Q": [ 695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1981": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1018 ],
- "Q": [ 703 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1982": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1018 ],
- "Q": [ 711 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1983": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1018 ],
- "Q": [ 719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1984": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1018 ],
- "Q": [ 727 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1985": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1018 ],
- "Q": [ 735 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1986": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1018 ],
- "Q": [ 743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1987": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1018 ],
- "Q": [ 751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 806 ],
- "Q": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 812 ],
- "Q": [ 78 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 818 ],
- "Q": [ 84 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 824 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 830 ],
- "Q": [ 97 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 836 ],
- "Q": [ 115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2010": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 842 ],
- "Q": [ 132 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2011": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 848 ],
- "Q": [ 155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2012": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 854 ],
- "Q": [ 351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2013": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 860 ],
- "Q": [ 347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2014": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 866 ],
- "Q": [ 339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2015": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 872 ],
- "Q": [ 335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 878 ],
- "Q": [ 222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 884 ],
- "Q": [ 211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 890 ],
- "Q": [ 233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 896 ],
- "Q": [ 244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2068": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1287 ],
- "E": [ 468 ],
- "Q": [ 1286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2069": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 10 ],
- "E": [ 464 ],
- "Q": [ 1671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2070": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1589 ],
- "Q": [ 461 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2071": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1279 ],
- "E": [ 462 ],
- "Q": [ 465 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2072": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1591 ],
- "Q": [ 466 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2073": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1593 ],
- "Q": [ 467 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2074": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1672 ],
- "E": [ 468 ],
- "Q": [ 754 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2075": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1673 ],
- "E": [ 468 ],
- "Q": [ 756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2076": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1674 ],
- "E": [ 468 ],
- "Q": [ 759 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2077": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1675 ],
- "E": [ 468 ],
- "Q": [ 762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2078": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1676 ],
- "E": [ 468 ],
- "Q": [ 765 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2079": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1677 ],
- "E": [ 468 ],
- "Q": [ 768 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2080": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1678 ],
- "E": [ 468 ],
- "Q": [ 771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2081": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1679 ],
- "E": [ 468 ],
- "Q": [ 774 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2082": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1680 ],
- "E": [ 468 ],
- "Q": [ 777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2083": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1681 ],
- "E": [ 468 ],
- "Q": [ 780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2084": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1682 ],
- "E": [ 468 ],
- "Q": [ 783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2085": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1683 ],
- "E": [ 468 ],
- "Q": [ 786 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2086": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1684 ],
- "E": [ 468 ],
- "Q": [ 789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2087": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1685 ],
- "E": [ 468 ],
- "Q": [ 792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2088": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1686 ],
- "E": [ 468 ],
- "Q": [ 795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2089": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 8 ],
- "E": [ 468 ],
- "Q": [ 798 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2090": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1671 ],
- "E": [ 468 ],
- "Q": [ 471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2092": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1673 ],
- "E": [ 1260 ],
- "Q": [ 1672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2093": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1674 ],
- "E": [ 1260 ],
- "Q": [ 1673 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2094": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1675 ],
- "E": [ 1260 ],
- "Q": [ 1674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2095": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1676 ],
- "E": [ 1260 ],
- "Q": [ 1675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2096": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1677 ],
- "E": [ 1260 ],
- "Q": [ 1676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2097": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1678 ],
- "E": [ 1260 ],
- "Q": [ 1677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2098": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1679 ],
- "E": [ 1260 ],
- "Q": [ 1678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2099": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1680 ],
- "E": [ 1260 ],
- "Q": [ 1679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2100": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1681 ],
- "E": [ 1260 ],
- "Q": [ 1680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2101": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1682 ],
- "E": [ 1260 ],
- "Q": [ 1681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2102": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1683 ],
- "E": [ 1260 ],
- "Q": [ 1682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2103": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1684 ],
- "E": [ 1260 ],
- "Q": [ 1683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2104": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1685 ],
- "E": [ 1260 ],
- "Q": [ 1684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2105": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1686 ],
- "E": [ 1260 ],
- "Q": [ 1685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2106": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 8 ],
- "E": [ 1260 ],
- "Q": [ 1686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2107": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1594 ],
- "E": [ 1258 ],
- "Q": [ 418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2108": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1280 ],
- "E": [ 469 ],
- "Q": [ 419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2109": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1608 ],
- "E": [ 1258 ],
- "Q": [ 420 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2110": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1610 ],
- "E": [ 1258 ],
- "Q": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2111": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1612 ],
- "E": [ 1258 ],
- "Q": [ 414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2112": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1614 ],
- "E": [ 1258 ],
- "Q": [ 415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2113": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1616 ],
- "E": [ 1258 ],
- "Q": [ 416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2114": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1618 ],
- "E": [ 1258 ],
- "Q": [ 417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2115": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1620 ],
- "E": [ 1258 ],
- "Q": [ 426 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2116": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1622 ],
- "E": [ 1258 ],
- "Q": [ 427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2117": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1596 ],
- "E": [ 1258 ],
- "Q": [ 428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2118": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1598 ],
- "E": [ 1258 ],
- "Q": [ 429 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2119": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1600 ],
- "E": [ 1258 ],
- "Q": [ 422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2120": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1602 ],
- "E": [ 1258 ],
- "Q": [ 423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2121": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1604 ],
- "E": [ 1258 ],
- "Q": [ 424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2122": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1606 ],
- "E": [ 1258 ],
- "Q": [ 425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2123": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 470 ],
- "E": [ 472 ],
- "Q": [ 177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2124": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 754 ],
- "E": [ 475 ],
- "Q": [ 527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2125": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 756 ],
- "E": [ 475 ],
- "Q": [ 529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2126": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 759 ],
- "E": [ 475 ],
- "Q": [ 531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2127": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 762 ],
- "E": [ 475 ],
- "Q": [ 533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2128": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 765 ],
- "E": [ 475 ],
- "Q": [ 535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2129": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 768 ],
- "E": [ 475 ],
- "Q": [ 537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2130": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 771 ],
- "E": [ 475 ],
- "Q": [ 539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2131": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 774 ],
- "E": [ 475 ],
- "Q": [ 541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2132": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 777 ],
- "E": [ 475 ],
- "Q": [ 543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2133": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 780 ],
- "E": [ 475 ],
- "Q": [ 545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2134": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 783 ],
- "E": [ 475 ],
- "Q": [ 547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2135": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 786 ],
- "E": [ 475 ],
- "Q": [ 549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2136": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 789 ],
- "E": [ 475 ],
- "Q": [ 551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2137": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 792 ],
- "E": [ 475 ],
- "Q": [ 553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2138": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 795 ],
- "E": [ 475 ],
- "Q": [ 555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2139": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 798 ],
- "E": [ 475 ],
- "Q": [ 557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2140": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 755 ],
- "E": [ 476 ],
- "Q": [ 313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2141": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 757 ],
- "E": [ 477 ],
- "Q": [ 280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2142": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 760 ],
- "E": [ 476 ],
- "Q": [ 302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2143": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 763 ],
- "E": [ 476 ],
- "Q": [ 257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2144": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 766 ],
- "E": [ 476 ],
- "Q": [ 380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2145": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 769 ],
- "E": [ 476 ],
- "Q": [ 366 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2146": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 772 ],
- "E": [ 476 ],
- "Q": [ 183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2147": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 775 ],
- "E": [ 476 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2148": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 778 ],
- "E": [ 476 ],
- "Q": [ 503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2149": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 781 ],
- "E": [ 476 ],
- "Q": [ 514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2150": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 784 ],
- "E": [ 476 ],
- "Q": [ 525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2151": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 787 ],
- "E": [ 476 ],
- "Q": [ 1576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2152": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 790 ],
- "E": [ 476 ],
- "Q": [ 216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2153": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 793 ],
- "E": [ 476 ],
- "Q": [ 205 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2154": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 796 ],
- "E": [ 476 ],
- "Q": [ 227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2155": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 799 ],
- "E": [ 476 ],
- "Q": [ 238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 413 ],
- "Q": [ 178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2157": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1285 ],
- "E": [ 470 ],
- "Q": [ 473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 441 ],
- "Q": [ 443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 800 ],
- "Q": [ 445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 801 ],
- "Q": [ 451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 802 ],
- "Q": [ 450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 446 ],
- "Q": [ 449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 436 ],
- "Q": [ 439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 433 ],
- "Q": [ 452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 430 ],
- "Q": [ 453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 191 ],
- "Q": [ 270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 277 ],
- "Q": [ 291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 278 ],
- "Q": [ 296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 899 ],
- "Q": [ 196 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 363 ],
- "Q": [ 389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 361 ],
- "Q": [ 373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 180 ],
- "Q": [ 395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 41 ],
- "Q": [ 402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1687 ],
- "Q": [ 474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:147|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1286 ],
- "Q": [ 1688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1688 ],
- "Q": [ 1687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3016": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1642 ],
- "Q": [ 28 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3017": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1283 ],
- "E": [ 28 ],
- "Q": [ 29 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3018": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1644 ],
- "Q": [ 38 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3019": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1646 ],
- "Q": [ 37 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3020": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1648 ],
- "Q": [ 30 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3021": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1650 ],
- "Q": [ 32 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3022": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1652 ],
- "Q": [ 33 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3023": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1654 ],
- "Q": [ 36 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3024": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1656 ],
- "Q": [ 39 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3025": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1658 ],
- "Q": [ 35 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3026": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1632 ],
- "E": [ 31 ],
- "Q": [ 24 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 900 ],
- "Q": [ 26 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 902 ],
- "Q": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 904 ],
- "Q": [ 25 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 906 ],
- "Q": [ 20 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1278 ],
- "Q": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 910 ],
- "Q": [ 22 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 912 ],
- "Q": [ 23 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 914 ],
- "Q": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3035": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1641 ],
- "E": [ 31 ],
- "Q": [ 15 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 31 ],
- "Q": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3037": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 918 ],
- "Q": [ 485 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3038": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1252 ],
- "E": [ 479 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3039": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1252 ],
- "E": [ 483 ],
- "Q": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3219": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 952 ],
- "E": [ 486 ],
- "Q": [ 951 ],
- "R": [ 1253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3220": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 953 ],
- "E": [ 486 ],
- "Q": [ 954 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3221": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 956 ],
- "E": [ 486 ],
- "Q": [ 957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3222": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 958 ],
- "E": [ 486 ],
- "Q": [ 959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3223": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 961 ],
- "E": [ 486 ],
- "Q": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3224": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 963 ],
- "E": [ 486 ],
- "Q": [ 964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3225": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 966 ],
- "E": [ 486 ],
- "Q": [ 967 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3226": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 968 ],
- "E": [ 486 ],
- "Q": [ 969 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3227": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 971 ],
- "E": [ 486 ],
- "Q": [ 972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3228": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 973 ],
- "E": [ 486 ],
- "Q": [ 974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3229": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 976 ],
- "E": [ 486 ],
- "Q": [ 977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3230": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 978 ],
- "E": [ 486 ],
- "Q": [ 979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3231": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 981 ],
- "E": [ 486 ],
- "Q": [ 982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3232": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 983 ],
- "E": [ 486 ],
- "Q": [ 984 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3233": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 986 ],
- "E": [ 486 ],
- "Q": [ 987 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3234": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 988 ],
- "E": [ 486 ],
- "Q": [ 559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3236": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1623 ],
- "E": [ 488 ],
- "Q": [ 489 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3237": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1281 ],
- "E": [ 490 ],
- "Q": [ 928 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3238": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1625 ],
- "E": [ 488 ],
- "Q": [ 929 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3239": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1627 ],
- "E": [ 488 ],
- "Q": [ 930 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3240": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 926 ],
- "Q": [ 923 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3241": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 933 ],
- "Q": [ 932 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3242": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 937 ],
- "Q": [ 935 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3243": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 941 ],
- "Q": [ 939 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3244": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 945 ],
- "Q": [ 943 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3245": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 949 ],
- "Q": [ 947 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3246": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 925 ],
- "Q": [ 484 ],
- "S": [ 487 ]
- }
- },
- "pll0.pll_inst": {
- "hide_name": 0,
- "type": "SB_PLL40_2_PAD",
- "parameters": {
- "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
- "DIVF": 66,
- "DIVQ": 5,
- "DIVR": 0,
- "ENABLE_ICEGATE_PORTA": 0,
- "ENABLE_ICEGATE_PORTB": 0,
- "FDA_FEEDBACK": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 1,
- "PLLOUT_SELECT_PORTB": "GENCLK",
- "SHIFTREG_DIV_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:12"
- },
- "port_directions": {
- "BYPASS": "input",
- "DYNAMICDELAY": "input",
- "EXTFEEDBACK": "input",
- "LATCHINPUTVALUE": "input",
- "LOCK": "output",
- "PACKAGEPIN": "input",
- "PLLOUTCOREA": "output",
- "PLLOUTCOREB": "output",
- "PLLOUTGLOBALA": "output",
- "PLLOUTGLOBALB": "output",
- "RESETB": "input",
- "SCLK": "input",
- "SDI": "input",
- "SDO": "output"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "DYNAMICDELAY": [ ],
- "EXTFEEDBACK": [ ],
- "LATCHINPUTVALUE": [ ],
- "LOCK": [ 1689 ],
- "PACKAGEPIN": [ 2 ],
- "PLLOUTCOREA": [ ],
- "PLLOUTCOREB": [ ],
- "PLLOUTGLOBALA": [ 11 ],
- "PLLOUTGLOBALB": [ 12 ],
- "RESETB": [ "1" ],
- "SCLK": [ ],
- "SDI": [ ],
- "SDO": [ ]
- }
- },
- "ram0.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284 ],
- "RADDR": [ 441, 800, 801, 802, 446, 436, 433, 430, "0", "0", "0" ],
- "RCLK": [ 11 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1343, 1349, 1354, 1359, 1364, 1369, 1374, 1379, 1384, 1389, 1394, 1399, 1404, 1409, 1414, 1419 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, "0", "0", "0" ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1002 ],
- "WDATA": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "WE": [ "1" ]
- }
- },
- "ram1.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288 ],
- "RADDR": [ 191, 277, 278, 899, 363, 361, 180, 41, "0", "0", "0" ],
- "RCLK": [ 11 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1339, 1346, 1351, 1356, 1361, 1366, 1371, 1376, 1381, 1386, 1391, 1396, 1401, 1406, 1411, 1416 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, "0", "0", "0" ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1003 ],
- "WDATA": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "WE": [ "1" ]
- }
- },
- "vga.pixeldata0.pattern_rom.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1011110111010000000111001100000110101101110000010110110011000001101011110100000100100110010000010111010100010000000000000000000000000000000000000100010000000000000000000100000001000000000000000100110010000000100011000000000011001000000000000000000000000000",
- "INIT_1": "1101111000100000000111111110000000110011001100100001101110110001101110110011001100000111011100010100011000110000000000000000000011011101000100000000110111010001000111001101000111011101000100010001011001010001000101110111000101010111001100000000000000000000",
- "INIT_2": "1101111101100000000100100010000010011000100100000111110110010001101110100001000000010011001000000101010001000000000000000000000011111100100000000011111111000000000111011111000010111111110100010001110111010000001111111110000001111100100000000000000000000000",
- "INIT_3": "1100110000000000000111011100000011011101110100000001100110010000001110011001000010111101110000000110010000000000000000000000000000110011001000100001001000100000100110110001001001110101000100010011001100010000000110111010000011111110010000000000000000000000",
- "INIT_4": "1100111000100000111100110000000011100000000100001110000000010000011000000001000011110011000000000100011000100000000000000000000000011101110100010000110011010001001011001100000111101100010000010010010001000001000001000101000100010101010100010001000100010001",
- "INIT_5": "0000110011000000111111000000000011110000000000001011101000100001011010011000000101100100000100010001010101010001000000000000000011010001000100011100111000110001111111110000000111111111001000111111111100000001010001100011000111011101010100010001000100010001",
- "INIT_6": "1000000011001100100000001100110010001000110011000110101011001100010001001100110000000101010001000001000001000100000000000000000011010101010000001000000000010000100000000001000010010001000000001001000000000000100100010001000010010000000000000000000000000000",
- "INIT_7": "1101110000000000000111001101000000011101110000000010110111010001101111110100000000010110011100000101010000000000000000000000000000001000110001000000100111010100000011011101010111001100110101011100100001000101000100000100010100010001010101010000000000000000",
- "INIT_8": "1010111011111001111111010001100111111101010100011011110101010001101111010101000110111101010100010110010000010001000000000000000000100010000000000001001000100000110111110011000000011111111100010011111111110000100111100110000001100110000000000000000000000000",
- "INIT_9": "1110111100010000000001111111000010001110011000000110011100010000100010111011000000000111011100000110011100010000000000000000000000110110011000000101010100000000010110001001000001111110100000001001111001110000000101110110000001110110000000000000000000000000",
- "INIT_A": "1110111011000000000111111110000000001110111100000000111111100000001111101100000000000100010100000011011101100000000000000000000011100011000000011110001100000001111000110010000111111011100000011110001100000001111000110100000101110111010100010000000000000000",
- "INIT_B": "1011100111010100101101011100110011110100110111001111001011001100001100101101110000010011011001000011001001100100000000000000000011110011000100011101111100110001000111111111000100101110110000000000010001000000000001100110000000100110010000000000000000000000",
- "INIT_C": "1111101000000000010110111010000011011011101100000111111110110001000111111110000000010111011000000011011101000000000000000000000000111011110001001001101101100100100101110110000011111111000100010001111111110000000100110110010000110010010001000000000000000000",
- "INIT_D": "1101110011000000111100000000000011110001000100010101000100010001111100010001000111110000000000001101110011000000000000000000000011011100010000000011000100000000101100010001000011011001000100011111110100010000001101010100000001010100010000000000000000000000",
- "INIT_E": "0100000000000000110000010000000011100010000100001101100100010001111000100001000011000001000000000100000000000000000000000000000010010011001100011011011101010001111001000000000001000000000000001010000000000000101000100000000010000010001000000000000000000000",
- "INIT_F": "1111110011001100000100010000100000110001000010000011001110011000000110111011000010011011001100011011001100010001000000000000000000010001000100010001000100010001001100010001000010111011000101001011000111000000000101010000000001010000000000000000000000000000",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 900, 902, 904, 1690, 1691, 1692, 1693, 1694, 1695, 1696, "0" ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1697, 952, 1698, 1699, 1700, 955, 1701, 1702, 1703, 960, 1704, 1705, 1706, 965, 1707, 1708 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.pixeldata0.pattern_rom.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000010011011011000011011100000000000101110010110000010101000110000001011110101100000101011000100000000001010111000000000000000000000000000000000000000001000100000001000000000000000100000001000000010000000100000001000000000000000000010001000000000000000000",
- "INIT_1": "0000000011101110000011001110001100001110111100110000110111110011000001111111101100000101011100110000000001110110000000000000000000001100110111010000110111010001000011011101000000001101110111010000110111010010000011011111001100001100111101110000000000000000",
- "INIT_2": "0000010011001101000011101110000000001100111110100000110111010111000001001101100000000110011000010000011001100100000000000000000000000100110011000000110011100011000011001101000100000101110110110000010001110001000001000100001100000100010001000000000000000000",
- "INIT_3": "0000000011001100000001000100000100000100110110010000110011110011000011001101001100000100110010110000000001000110000000000000000000000100111010110000011011101000000011101111100100000101111111010000010011111011000001101110100100000100011011100000000000000000",
- "INIT_4": "0000000011001100000000000000110100000000000111100000000000011110000000000001011000000000000011010000000001000100000000000000000000011111111100010001110111110010000111011100001000011101110011100001110111000010000111011111001000011111111100010001000100010001",
- "INIT_5": "0000110011010001000011011100000000001101110011000000110011110111000011001100010000001100110000000000110011000000000000000000000000010001110111010001110111110010000111011100001100010011001000110001000100000011000100010011001000010001000101010001000100010001",
- "INIT_6": "0000110111010000000011011101000100001100110110010000110011101011000011001100010100000100010001010000010001000001000000000000000000000110011011010000010001111010000001000111101000000100011010110000010001001000000001000101100100000100010010000000000000000000",
- "INIT_7": "0000000011001100000011101111000000001100111000110000110111010011000001001100100100000100010100000000000001000100000000000000000000001111111100000000111111110001000011001101000100001100110010010000110011001101000001000100010100000100010000000000000000000000",
- "INIT_8": "0000001000100010000000000100011000000100110110110000110111010011000011001111001100000100110010100000000001000110000000000000000000001101111100100000111111110001000011111111110100001111111100110000111111110001000011111111100100000101011101100000000000000000",
- "INIT_9": "0000100111111110000001110111000000000000100010000000100101010110000001011101100000000111011100000000000101110110000000000000000000001110111000100000111011100001000011001111011000001100110001000000110011011000000001100110000100000100011001100000000000000000",
- "INIT_A": "0000000011111111000011111110000000001100110000010000110011010000000011111110001000000110011100010000011001100010000000000000000000000000000011000000000000001100000000100010111000001010100111010000000100111100000001010001111000000100010101010000000000000000",
- "INIT_B": "0000010011011011000011001100011100001100110101100000110011000000000011001101000000000110011000010000011001100010000000000000000000000001001111110000001111111101000011111111000100001110111000100000011001100000000001100110000000000100011000100000000000000000",
- "INIT_C": "0000000010101110000000100010010100000000100111010000100111110111000011101110000100000110011000010000010001100011000000000000000000001100111000110000011011101001000000100110110100000001101111110000101011110101000001100110000100000100011000100000000000000000",
- "INIT_D": "0000000000100110000000000000111100000000000111110000000110011101000000000001111100000000000011110000000000000100000000000000000000000100110011000000010011001010000000010101111100000001000101010000000110011011000000000000001000000100010001000000000000000000",
- "INIT_E": "0000110011001100000000000000110100000000001111100000000100010101000000000011111000000000000011010000110011001100000000000000000000000001000110010000000100011001000000010001101100000001001101110000000101011111000001000100100000000000000010000000000000000000",
- "INIT_F": "0000110011001110000010000000000100001000000000110000100010010011000000001001100100000011001110010000000100110011000000000000000000000011001100010000000100110011000000000001001100001100100100010000000011001011000000000010011100000010001000000000000000000000",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 900, 902, 904, 1690, 1691, 1692, 1693, 1694, 1695, 1696, "0" ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1709, 970, 1710, 1711, 1712, 975, 1713, 1714, 1715, 980, 1716, 1717, 1718, 985, 1719, 1720 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000001000000010000000100000000000000001000000100000000100000001000000110000001100000010000000000000000000000011000000010000000100000011000000100000001000000000000000000000001000000001000000010000001000000010000000100000000000000000000000110000000000000000",
- "INIT_1": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000001",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000",
- "INIT_5": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_9": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_D": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1721, 1722, 1723, 1690, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1691, 1731, 1732, 1733, 1734 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 528, "x", "x", "x", "x", "x", "x", "x", 530, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000001000000011000000110000001100000010000000100000001100000011000000100000000100000010000000000000001000000000000000100000000100000000000000110000000000000010000000010000001100000001000000110000000100000001000000010000000100000000000000010000000100000000",
- "INIT_1": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1735, 1736, 1737, 1692, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1693, 1745, 1746, 1747, 1748 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 532, "x", "x", "x", "x", "x", "x", "x", 534, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000100000000000000010000000000000001000000010000000100000000000000000000000100000001000000000000000000000000000000000000000000000000000000010000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000",
- "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000100000010",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000",
- "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000",
- "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1749, 1750, 1751, 1694, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1695, 1759, 1760, 1761, 1762 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 536, "x", "x", "x", "x", "x", "x", "x", 538, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.3.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000000000011000000000000001100000000000000110000000000000011000000000000001100000000000000100000000000000011000000000000001100000000000000110000000000000010000000000000001000000000000000110000000000000011000000000000001100000000000000110000000000000011",
- "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_5": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1763, 1764, 1765, 1696, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 540, "x", "x", "x", "x", "x", "x", "x", 542, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\ins_rd_rdy[0:0]": {
- "hide_name": 1,
- "bits": [ 1261 ],
- "attributes": {
- "src": "hdl/ice40.v:64"
- }
- },
- "$abc$8880$n1": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$8880$n1001": {
- "hide_name": 1,
- "bits": [ 202 ],
- "attributes": {
- }
- },
- "$abc$8880$n1003": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$8880$n1005": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$8880$n1006": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$8880$n1007": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$8880$n1008": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$8880$n1012_1": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$8880$n1014_1": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$8880$n1016_1": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$8880$n1017_1": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$8880$n1018_1": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$8880$n1019_1": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$8880$n1023_1": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$8880$n1025_1": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$8880$n1027_1": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$8880$n1028_1": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$8880$n1029_1": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$8880$n1030_1": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$8880$n1034_1": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$8880$n1036_1": {
- "hide_name": 1,
- "bits": [ 252 ],
- "attributes": {
- }
- },
- "$abc$8880$n1039_1": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$8880$n1040_1": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$8880$n1041_1": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$8880$n1042_1": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$8880$n1043_1": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$8880$n1045_1": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$8880$n1048_1": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$8880$n1049_1": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$8880$n1052_1": {
- "hide_name": 1,
- "bits": [ 282 ],
- "attributes": {
- }
- },
- "$abc$8880$n1053_1": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$8880$n1055_1": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$8880$n1056_1": {
- "hide_name": 1,
- "bits": [ 284 ],
- "attributes": {
- }
- },
- "$abc$8880$n1057_1": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$8880$n1060_1": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$8880$n1062_1": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$8880$n1064_1": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$8880$n1067_1": {
- "hide_name": 1,
- "bits": [ 304 ],
- "attributes": {
- }
- },
- "$abc$8880$n1068_1": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$8880$n1070_1": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$8880$n1071_1": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$8880$n1073_1": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$8880$n1074_1": {
- "hide_name": 1,
- "bits": [ 314 ],
- "attributes": {
- }
- },
- "$abc$8880$n1075": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$8880$n1075_1": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$8880$n1076_1": {
- "hide_name": 1,
- "bits": [ 321 ],
- "attributes": {
- }
- },
- "$abc$8880$n1077_1": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$8880$n1078": {
- "hide_name": 1,
- "bits": [ 323 ],
- "attributes": {
- }
- },
- "$abc$8880$n1079_1": {
- "hide_name": 1,
- "bits": [ 324 ],
- "attributes": {
- }
- },
- "$abc$8880$n1080_1": {
- "hide_name": 1,
- "bits": [ 318 ],
- "attributes": {
- }
- },
- "$abc$8880$n1081": {
- "hide_name": 1,
- "bits": [ 758 ],
- "attributes": {
- }
- },
- "$abc$8880$n1081_1": {
- "hide_name": 1,
- "bits": [ 325 ],
- "attributes": {
- }
- },
- "$abc$8880$n1082_1": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$8880$n1083_1": {
- "hide_name": 1,
- "bits": [ 327 ],
- "attributes": {
- }
- },
- "$abc$8880$n1084": {
- "hide_name": 1,
- "bits": [ 761 ],
- "attributes": {
- }
- },
- "$abc$8880$n1084_1": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$8880$n1085_1": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$8880$n1086_1": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$8880$n1087": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$8880$n1088_1": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$8880$n1090": {
- "hide_name": 1,
- "bits": [ 767 ],
- "attributes": {
- }
- },
- "$abc$8880$n1090_1": {
- "hide_name": 1,
- "bits": [ 331 ],
- "attributes": {
- }
- },
- "$abc$8880$n1091_1": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$8880$n1092_1": {
- "hide_name": 1,
- "bits": [ 340 ],
- "attributes": {
- }
- },
- "$abc$8880$n1093": {
- "hide_name": 1,
- "bits": [ 770 ],
- "attributes": {
- }
- },
- "$abc$8880$n1093_1": {
- "hide_name": 1,
- "bits": [ 341 ],
- "attributes": {
- }
- },
- "$abc$8880$n1094_1": {
- "hide_name": 1,
- "bits": [ 342 ],
- "attributes": {
- }
- },
- "$abc$8880$n1096": {
- "hide_name": 1,
- "bits": [ 773 ],
- "attributes": {
- }
- },
- "$abc$8880$n1096_1": {
- "hide_name": 1,
- "bits": [ 343 ],
- "attributes": {
- }
- },
- "$abc$8880$n1098_1": {
- "hide_name": 1,
- "bits": [ 315 ],
- "attributes": {
- }
- },
- "$abc$8880$n1099": {
- "hide_name": 1,
- "bits": [ 776 ],
- "attributes": {
- }
- },
- "$abc$8880$n1099_1": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$8880$n11": {
- "hide_name": 1,
- "bits": [ 1254 ],
- "attributes": {
- }
- },
- "$abc$8880$n1100_1": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$8880$n1101_1": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$8880$n1102": {
- "hide_name": 1,
- "bits": [ 779 ],
- "attributes": {
- }
- },
- "$abc$8880$n1102_1": {
- "hide_name": 1,
- "bits": [ 355 ],
- "attributes": {
- }
- },
- "$abc$8880$n1103_1": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$8880$n1104_1": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$8880$n1105": {
- "hide_name": 1,
- "bits": [ 782 ],
- "attributes": {
- }
- },
- "$abc$8880$n1105_1": {
- "hide_name": 1,
- "bits": [ 181 ],
- "attributes": {
- }
- },
- "$abc$8880$n1107_1": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$8880$n1108": {
- "hide_name": 1,
- "bits": [ 785 ],
- "attributes": {
- }
- },
- "$abc$8880$n1108_1": {
- "hide_name": 1,
- "bits": [ 365 ],
- "attributes": {
- }
- },
- "$abc$8880$n1109_1": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$8880$n1111": {
- "hide_name": 1,
- "bits": [ 788 ],
- "attributes": {
- }
- },
- "$abc$8880$n1111_1": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$8880$n1112_1": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$8880$n1114": {
- "hide_name": 1,
- "bits": [ 791 ],
- "attributes": {
- }
- },
- "$abc$8880$n1114_1": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$8880$n1116_1": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "$abc$8880$n1117": {
- "hide_name": 1,
- "bits": [ 794 ],
- "attributes": {
- }
- },
- "$abc$8880$n1119_1": {
- "hide_name": 1,
- "bits": [ 383 ],
- "attributes": {
- }
- },
- "$abc$8880$n1120": {
- "hide_name": 1,
- "bits": [ 797 ],
- "attributes": {
- }
- },
- "$abc$8880$n1120_1": {
- "hide_name": 1,
- "bits": [ 382 ],
- "attributes": {
- }
- },
- "$abc$8880$n1121_1": {
- "hide_name": 1,
- "bits": [ 384 ],
- "attributes": {
- }
- },
- "$abc$8880$n1122_1": {
- "hide_name": 1,
- "bits": [ 385 ],
- "attributes": {
- }
- },
- "$abc$8880$n1123": {
- "hide_name": 1,
- "bits": [ 1386 ],
- "attributes": {
- }
- },
- "$abc$8880$n1123_1": {
- "hide_name": 1,
- "bits": [ 386 ],
- "attributes": {
- }
- },
- "$abc$8880$n1124": {
- "hide_name": 1,
- "bits": [ 1387 ],
- "attributes": {
- }
- },
- "$abc$8880$n1126": {
- "hide_name": 1,
- "bits": [ 392 ],
- "attributes": {
- }
- },
- "$abc$8880$n1128": {
- "hide_name": 1,
- "bits": [ 396 ],
- "attributes": {
- }
- },
- "$abc$8880$n1130": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$8880$n1132": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$8880$n1134": {
- "hide_name": 1,
- "bits": [ 406 ],
- "attributes": {
- }
- },
- "$abc$8880$n1136": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$8880$n1137": {
- "hide_name": 1,
- "bits": [ 410 ],
- "attributes": {
- }
- },
- "$abc$8880$n1138": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$8880$n1139": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$8880$n1141": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$8880$n1142": {
- "hide_name": 1,
- "bits": [ 435 ],
- "attributes": {
- }
- },
- "$abc$8880$n1144": {
- "hide_name": 1,
- "bits": [ 440 ],
- "attributes": {
- }
- },
- "$abc$8880$n1145": {
- "hide_name": 1,
- "bits": [ 437 ],
- "attributes": {
- }
- },
- "$abc$8880$n1147": {
- "hide_name": 1,
- "bits": [ 442 ],
- "attributes": {
- }
- },
- "$abc$8880$n1148": {
- "hide_name": 1,
- "bits": [ 438 ],
- "attributes": {
- }
- },
- "$abc$8880$n1149": {
- "hide_name": 1,
- "bits": [ 444 ],
- "attributes": {
- }
- },
- "$abc$8880$n1150": {
- "hide_name": 1,
- "bits": [ 434 ],
- "attributes": {
- }
- },
- "$abc$8880$n1152": {
- "hide_name": 1,
- "bits": [ 447 ],
- "attributes": {
- }
- },
- "$abc$8880$n1153": {
- "hide_name": 1,
- "bits": [ 448 ],
- "attributes": {
- }
- },
- "$abc$8880$n1161": {
- "hide_name": 1,
- "bits": [ 463 ],
- "attributes": {
- }
- },
- "$abc$8880$n1170": {
- "hide_name": 1,
- "bits": [ 478 ],
- "attributes": {
- }
- },
- "$abc$8880$n1171": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$8880$n1172": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$8880$n1173": {
- "hide_name": 1,
- "bits": [ 1401 ],
- "attributes": {
- }
- },
- "$abc$8880$n1174": {
- "hide_name": 1,
- "bits": [ 482 ],
- "attributes": {
- }
- },
- "$abc$8880$n1182": {
- "hide_name": 1,
- "bits": [ 494 ],
- "attributes": {
- }
- },
- "$abc$8880$n1183": {
- "hide_name": 1,
- "bits": [ 498 ],
- "attributes": {
- }
- },
- "$abc$8880$n1185": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$8880$n1186": {
- "hide_name": 1,
- "bits": [ 495 ],
- "attributes": {
- }
- },
- "$abc$8880$n1189": {
- "hide_name": 1,
- "bits": [ 505 ],
- "attributes": {
- }
- },
- "$abc$8880$n119": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$8880$n1190": {
- "hide_name": 1,
- "bits": [ 1384 ],
- "attributes": {
- }
- },
- "$abc$8880$n1190_1": {
- "hide_name": 1,
- "bits": [ 509 ],
- "attributes": {
- }
- },
- "$abc$8880$n1192": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$8880$n1193": {
- "hide_name": 1,
- "bits": [ 441 ],
- "attributes": {
- }
- },
- "$abc$8880$n1193_1": {
- "hide_name": 1,
- "bits": [ 506 ],
- "attributes": {
- }
- },
- "$abc$8880$n1195": {
- "hide_name": 1,
- "bits": [ 800 ],
- "attributes": {
- }
- },
- "$abc$8880$n1196_1": {
- "hide_name": 1,
- "bits": [ 516 ],
- "attributes": {
- }
- },
- "$abc$8880$n1197": {
- "hide_name": 1,
- "bits": [ 801 ],
- "attributes": {
- }
- },
- "$abc$8880$n1197_1": {
- "hide_name": 1,
- "bits": [ 520 ],
- "attributes": {
- }
- },
- "$abc$8880$n1199": {
- "hide_name": 1,
- "bits": [ 802 ],
- "attributes": {
- }
- },
- "$abc$8880$n1199_1": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$8880$n12": {
- "hide_name": 1,
- "bits": [ 1255 ],
- "attributes": {
- }
- },
- "$abc$8880$n1200_1": {
- "hide_name": 1,
- "bits": [ 517 ],
- "attributes": {
- }
- },
- "$abc$8880$n1201": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$8880$n1203": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$8880$n1205": {
- "hide_name": 1,
- "bits": [ 433 ],
- "attributes": {
- }
- },
- "$abc$8880$n1207": {
- "hide_name": 1,
- "bits": [ 430 ],
- "attributes": {
- }
- },
- "$abc$8880$n1240": {
- "hide_name": 1,
- "bits": [ 806 ],
- "attributes": {
- }
- },
- "$abc$8880$n1241": {
- "hide_name": 1,
- "bits": [ 812 ],
- "attributes": {
- }
- },
- "$abc$8880$n1242": {
- "hide_name": 1,
- "bits": [ 818 ],
- "attributes": {
- }
- },
- "$abc$8880$n1243": {
- "hide_name": 1,
- "bits": [ 824 ],
- "attributes": {
- }
- },
- "$abc$8880$n1244": {
- "hide_name": 1,
- "bits": [ 830 ],
- "attributes": {
- }
- },
- "$abc$8880$n1245": {
- "hide_name": 1,
- "bits": [ 836 ],
- "attributes": {
- }
- },
- "$abc$8880$n1246": {
- "hide_name": 1,
- "bits": [ 842 ],
- "attributes": {
- }
- },
- "$abc$8880$n1247": {
- "hide_name": 1,
- "bits": [ 848 ],
- "attributes": {
- }
- },
- "$abc$8880$n1248": {
- "hide_name": 1,
- "bits": [ 854 ],
- "attributes": {
- }
- },
- "$abc$8880$n1249": {
- "hide_name": 1,
- "bits": [ 860 ],
- "attributes": {
- }
- },
- "$abc$8880$n1250": {
- "hide_name": 1,
- "bits": [ 866 ],
- "attributes": {
- }
- },
- "$abc$8880$n1251": {
- "hide_name": 1,
- "bits": [ 872 ],
- "attributes": {
- }
- },
- "$abc$8880$n1252": {
- "hide_name": 1,
- "bits": [ 878 ],
- "attributes": {
- }
- },
- "$abc$8880$n1253": {
- "hide_name": 1,
- "bits": [ 884 ],
- "attributes": {
- }
- },
- "$abc$8880$n1254": {
- "hide_name": 1,
- "bits": [ 890 ],
- "attributes": {
- }
- },
- "$abc$8880$n1255": {
- "hide_name": 1,
- "bits": [ 896 ],
- "attributes": {
- }
- },
- "$abc$8880$n1256": {
- "hide_name": 1,
- "bits": [ 1406 ],
- "attributes": {
- }
- },
- "$abc$8880$n1257": {
- "hide_name": 1,
- "bits": [ 1411 ],
- "attributes": {
- }
- },
- "$abc$8880$n1258": {
- "hide_name": 1,
- "bits": [ 1379 ],
- "attributes": {
- }
- },
- "$abc$8880$n1261": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$8880$n1263": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$8880$n1265": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$8880$n1267": {
- "hide_name": 1,
- "bits": [ 899 ],
- "attributes": {
- }
- },
- "$abc$8880$n1269": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$8880$n1270_1": {
- "hide_name": 1,
- "bits": [ 560 ],
- "attributes": {
- }
- },
- "$abc$8880$n1271": {
- "hide_name": 1,
- "bits": [ 361 ],
- "attributes": {
- }
- },
- "$abc$8880$n1272_1": {
- "hide_name": 1,
- "bits": [ 562 ],
- "attributes": {
- }
- },
- "$abc$8880$n1273": {
- "hide_name": 1,
- "bits": [ 180 ],
- "attributes": {
- }
- },
- "$abc$8880$n1275": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$8880$n1276": {
- "hide_name": 1,
- "bits": [ 1391 ],
- "attributes": {
- }
- },
- "$abc$8880$n1277": {
- "hide_name": 1,
- "bits": [ 1396 ],
- "attributes": {
- }
- },
- "$abc$8880$n1278": {
- "hide_name": 1,
- "bits": [ 1374 ],
- "attributes": {
- }
- },
- "$abc$8880$n1279": {
- "hide_name": 1,
- "bits": [ 1369 ],
- "attributes": {
- }
- },
- "$abc$8880$n1280": {
- "hide_name": 1,
- "bits": [ 1349 ],
- "attributes": {
- }
- },
- "$abc$8880$n1281": {
- "hide_name": 1,
- "bits": [ 1364 ],
- "attributes": {
- }
- },
- "$abc$8880$n1281_1": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$8880$n1282": {
- "hide_name": 1,
- "bits": [ 1359 ],
- "attributes": {
- }
- },
- "$abc$8880$n1283": {
- "hide_name": 1,
- "bits": [ 1416 ],
- "attributes": {
- }
- },
- "$abc$8880$n1283_1": {
- "hide_name": 1,
- "bits": [ 576 ],
- "attributes": {
- }
- },
- "$abc$8880$n1284": {
- "hide_name": 1,
- "bits": [ 580 ],
- "attributes": {
- }
- },
- "$abc$8880$n1285_1": {
- "hide_name": 1,
- "bits": [ 577 ],
- "attributes": {
- }
- },
- "$abc$8880$n1287": {
- "hide_name": 1,
- "bits": [ 586 ],
- "attributes": {
- }
- },
- "$abc$8880$n1288_1": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$8880$n1290_1": {
- "hide_name": 1,
- "bits": [ 590 ],
- "attributes": {
- }
- },
- "$abc$8880$n1302": {
- "hide_name": 1,
- "bits": [ 901 ],
- "attributes": {
- }
- },
- "$abc$8880$n1303": {
- "hide_name": 1,
- "bits": [ 903 ],
- "attributes": {
- }
- },
- "$abc$8880$n1304": {
- "hide_name": 1,
- "bits": [ 905 ],
- "attributes": {
- }
- },
- "$abc$8880$n1305": {
- "hide_name": 1,
- "bits": [ 907 ],
- "attributes": {
- }
- },
- "$abc$8880$n1306": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$8880$n1307": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$8880$n1308": {
- "hide_name": 1,
- "bits": [ 913 ],
- "attributes": {
- }
- },
- "$abc$8880$n1309": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$8880$n1309_1": {
- "hide_name": 1,
- "bits": [ 625 ],
- "attributes": {
- }
- },
- "$abc$8880$n1311": {
- "hide_name": 1,
- "bits": [ 1389 ],
- "attributes": {
- }
- },
- "$abc$8880$n1311_1": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$8880$n1312": {
- "hide_name": 1,
- "bits": [ 1354 ],
- "attributes": {
- }
- },
- "$abc$8880$n1312_1": {
- "hide_name": 1,
- "bits": [ 619 ],
- "attributes": {
- }
- },
- "$abc$8880$n1313": {
- "hide_name": 1,
- "bits": [ 926 ],
- "attributes": {
- }
- },
- "$abc$8880$n1313_1": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$8880$n1314_1": {
- "hide_name": 1,
- "bits": [ 622 ],
- "attributes": {
- }
- },
- "$abc$8880$n1315": {
- "hide_name": 1,
- "bits": [ 933 ],
- "attributes": {
- }
- },
- "$abc$8880$n1317": {
- "hide_name": 1,
- "bits": [ 937 ],
- "attributes": {
- }
- },
- "$abc$8880$n1319": {
- "hide_name": 1,
- "bits": [ 941 ],
- "attributes": {
- }
- },
- "$abc$8880$n1319_1": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$8880$n1320_1": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$8880$n1321": {
- "hide_name": 1,
- "bits": [ 945 ],
- "attributes": {
- }
- },
- "$abc$8880$n1323": {
- "hide_name": 1,
- "bits": [ 949 ],
- "attributes": {
- }
- },
- "$abc$8880$n1325": {
- "hide_name": 1,
- "bits": [ 641 ],
- "attributes": {
- }
- },
- "$abc$8880$n1326": {
- "hide_name": 1,
- "bits": [ 925 ],
- "attributes": {
- }
- },
- "$abc$8880$n1326_1": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$8880$n1331_1": {
- "hide_name": 1,
- "bits": [ 649 ],
- "attributes": {
- }
- },
- "$abc$8880$n1332": {
- "hide_name": 1,
- "bits": [ 650 ],
- "attributes": {
- }
- },
- "$abc$8880$n1337_1": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$8880$n1338": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$8880$n1339": {
- "hide_name": 1,
- "bits": [ 924 ],
- "attributes": {
- }
- },
- "$abc$8880$n134": {
- "hide_name": 1,
- "bits": [ 432 ],
- "attributes": {
- }
- },
- "$abc$8880$n1341": {
- "hide_name": 1,
- "bits": [ 936 ],
- "attributes": {
- }
- },
- "$abc$8880$n1342": {
- "hide_name": 1,
- "bits": [ 940 ],
- "attributes": {
- }
- },
- "$abc$8880$n1343": {
- "hide_name": 1,
- "bits": [ 944 ],
- "attributes": {
- }
- },
- "$abc$8880$n1343_1": {
- "hide_name": 1,
- "bits": [ 665 ],
- "attributes": {
- }
- },
- "$abc$8880$n1344": {
- "hide_name": 1,
- "bits": [ 948 ],
- "attributes": {
- }
- },
- "$abc$8880$n1344_1": {
- "hide_name": 1,
- "bits": [ 666 ],
- "attributes": {
- }
- },
- "$abc$8880$n1349_1": {
- "hide_name": 1,
- "bits": [ 673 ],
- "attributes": {
- }
- },
- "$abc$8880$n135": {
- "hide_name": 1,
- "bits": [ 1259 ],
- "attributes": {
- }
- },
- "$abc$8880$n1350_1": {
- "hide_name": 1,
- "bits": [ 674 ],
- "attributes": {
- }
- },
- "$abc$8880$n1355_1": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$8880$n1356_1": {
- "hide_name": 1,
- "bits": [ 682 ],
- "attributes": {
- }
- },
- "$abc$8880$n1361_1": {
- "hide_name": 1,
- "bits": [ 689 ],
- "attributes": {
- }
- },
- "$abc$8880$n1362_1": {
- "hide_name": 1,
- "bits": [ 690 ],
- "attributes": {
- }
- },
- "$abc$8880$n1367_1": {
- "hide_name": 1,
- "bits": [ 697 ],
- "attributes": {
- }
- },
- "$abc$8880$n1368_1": {
- "hide_name": 1,
- "bits": [ 698 ],
- "attributes": {
- }
- },
- "$abc$8880$n1373_1": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$8880$n1374_1": {
- "hide_name": 1,
- "bits": [ 706 ],
- "attributes": {
- }
- },
- "$abc$8880$n1379_1": {
- "hide_name": 1,
- "bits": [ 713 ],
- "attributes": {
- }
- },
- "$abc$8880$n1380_1": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$8880$n1385": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$8880$n1385_1": {
- "hide_name": 1,
- "bits": [ 721 ],
- "attributes": {
- }
- },
- "$abc$8880$n1386": {
- "hide_name": 1,
- "bits": [ 288 ],
- "attributes": {
- }
- },
- "$abc$8880$n1386_1": {
- "hide_name": 1,
- "bits": [ 722 ],
- "attributes": {
- }
- },
- "$abc$8880$n1387": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$8880$n1388": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$8880$n1389": {
- "hide_name": 1,
- "bits": [ 387 ],
- "attributes": {
- }
- },
- "$abc$8880$n1390": {
- "hide_name": 1,
- "bits": [ 371 ],
- "attributes": {
- }
- },
- "$abc$8880$n1391": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$8880$n1391_1": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$8880$n1392": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$8880$n1392_1": {
- "hide_name": 1,
- "bits": [ 730 ],
- "attributes": {
- }
- },
- "$abc$8880$n1393": {
- "hide_name": 1,
- "bits": [ 497 ],
- "attributes": {
- }
- },
- "$abc$8880$n1394": {
- "hide_name": 1,
- "bits": [ 512 ],
- "attributes": {
- }
- },
- "$abc$8880$n1395": {
- "hide_name": 1,
- "bits": [ 523 ],
- "attributes": {
- }
- },
- "$abc$8880$n1396": {
- "hide_name": 1,
- "bits": [ 994 ],
- "attributes": {
- }
- },
- "$abc$8880$n1397_1": {
- "hide_name": 1,
- "bits": [ 737 ],
- "attributes": {
- }
- },
- "$abc$8880$n1398": {
- "hide_name": 1,
- "bits": [ 1324 ],
- "attributes": {
- }
- },
- "$abc$8880$n1398_1": {
- "hide_name": 1,
- "bits": [ 738 ],
- "attributes": {
- }
- },
- "$abc$8880$n1399": {
- "hide_name": 1,
- "bits": [ 1319 ],
- "attributes": {
- }
- },
- "$abc$8880$n14": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$8880$n1400": {
- "hide_name": 1,
- "bits": [ 1329 ],
- "attributes": {
- }
- },
- "$abc$8880$n1401": {
- "hide_name": 1,
- "bits": [ 1334 ],
- "attributes": {
- }
- },
- "$abc$8880$n1403": {
- "hide_name": 1,
- "bits": [ 745 ],
- "attributes": {
- }
- },
- "$abc$8880$n1404": {
- "hide_name": 1,
- "bits": [ 746 ],
- "attributes": {
- }
- },
- "$abc$8880$n1428": {
- "hide_name": 1,
- "bits": [ 803 ],
- "attributes": {
- }
- },
- "$abc$8880$n1429": {
- "hide_name": 1,
- "bits": [ 804 ],
- "attributes": {
- }
- },
- "$abc$8880$n1434_1": {
- "hide_name": 1,
- "bits": [ 809 ],
- "attributes": {
- }
- },
- "$abc$8880$n1435": {
- "hide_name": 1,
- "bits": [ 810 ],
- "attributes": {
- }
- },
- "$abc$8880$n1440": {
- "hide_name": 1,
- "bits": [ 1279 ],
- "attributes": {
- }
- },
- "$abc$8880$n1440_1": {
- "hide_name": 1,
- "bits": [ 815 ],
- "attributes": {
- }
- },
- "$abc$8880$n1441_1": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$8880$n1443": {
- "hide_name": 1,
- "bits": [ 1281 ],
- "attributes": {
- }
- },
- "$abc$8880$n1445": {
- "hide_name": 1,
- "bits": [ 1282 ],
- "attributes": {
- }
- },
- "$abc$8880$n1446": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$8880$n1446_1": {
- "hide_name": 1,
- "bits": [ 821 ],
- "attributes": {
- }
- },
- "$abc$8880$n1447_1": {
- "hide_name": 1,
- "bits": [ 822 ],
- "attributes": {
- }
- },
- "$abc$8880$n1450": {
- "hide_name": 1,
- "bits": [ 1000 ],
- "attributes": {
- }
- },
- "$abc$8880$n1452": {
- "hide_name": 1,
- "bits": [ 48 ],
- "attributes": {
- }
- },
- "$abc$8880$n1452_1": {
- "hide_name": 1,
- "bits": [ 827 ],
- "attributes": {
- }
- },
- "$abc$8880$n1453": {
- "hide_name": 1,
- "bits": [ 828 ],
- "attributes": {
- }
- },
- "$abc$8880$n1458": {
- "hide_name": 1,
- "bits": [ 922 ],
- "attributes": {
- }
- },
- "$abc$8880$n1458_1": {
- "hide_name": 1,
- "bits": [ 833 ],
- "attributes": {
- }
- },
- "$abc$8880$n1459": {
- "hide_name": 1,
- "bits": [ 834 ],
- "attributes": {
- }
- },
- "$abc$8880$n1462": {
- "hide_name": 1,
- "bits": [ 1284 ],
- "attributes": {
- }
- },
- "$abc$8880$n1464_1": {
- "hide_name": 1,
- "bits": [ 839 ],
- "attributes": {
- }
- },
- "$abc$8880$n1465_1": {
- "hide_name": 1,
- "bits": [ 840 ],
- "attributes": {
- }
- },
- "$abc$8880$n1470": {
- "hide_name": 1,
- "bits": [ 845 ],
- "attributes": {
- }
- },
- "$abc$8880$n1471_1": {
- "hide_name": 1,
- "bits": [ 846 ],
- "attributes": {
- }
- },
- "$abc$8880$n1473": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$8880$n1474": {
- "hide_name": 1,
- "bits": [ 920 ],
- "attributes": {
- }
- },
- "$abc$8880$n1476": {
- "hide_name": 1,
- "bits": [ 1288 ],
- "attributes": {
- }
- },
- "$abc$8880$n1476_1": {
- "hide_name": 1,
- "bits": [ 851 ],
- "attributes": {
- }
- },
- "$abc$8880$n1477": {
- "hide_name": 1,
- "bits": [ 921 ],
- "attributes": {
- }
- },
- "$abc$8880$n1477_1": {
- "hide_name": 1,
- "bits": [ 852 ],
- "attributes": {
- }
- },
- "$abc$8880$n1478": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$8880$n1479": {
- "hide_name": 1,
- "bits": [ 94 ],
- "attributes": {
- }
- },
- "$abc$8880$n1480": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$8880$n1481": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$8880$n1482": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$8880$n1482_1": {
- "hide_name": 1,
- "bits": [ 857 ],
- "attributes": {
- }
- },
- "$abc$8880$n1483": {
- "hide_name": 1,
- "bits": [ 370 ],
- "attributes": {
- }
- },
- "$abc$8880$n1483_1": {
- "hide_name": 1,
- "bits": [ 858 ],
- "attributes": {
- }
- },
- "$abc$8880$n1484": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$8880$n1485": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$8880$n1486": {
- "hide_name": 1,
- "bits": [ 500 ],
- "attributes": {
- }
- },
- "$abc$8880$n1487": {
- "hide_name": 1,
- "bits": [ 511 ],
- "attributes": {
- }
- },
- "$abc$8880$n1488": {
- "hide_name": 1,
- "bits": [ 522 ],
- "attributes": {
- }
- },
- "$abc$8880$n1488_1": {
- "hide_name": 1,
- "bits": [ 863 ],
- "attributes": {
- }
- },
- "$abc$8880$n1489": {
- "hide_name": 1,
- "bits": [ 566 ],
- "attributes": {
- }
- },
- "$abc$8880$n1489_1": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$8880$n1490": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$8880$n1491": {
- "hide_name": 1,
- "bits": [ 568 ],
- "attributes": {
- }
- },
- "$abc$8880$n1492": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$8880$n1493": {
- "hide_name": 1,
- "bits": [ 570 ],
- "attributes": {
- }
- },
- "$abc$8880$n1494": {
- "hide_name": 1,
- "bits": [ 1289 ],
- "attributes": {
- }
- },
- "$abc$8880$n1494_1": {
- "hide_name": 1,
- "bits": [ 869 ],
- "attributes": {
- }
- },
- "$abc$8880$n1495": {
- "hide_name": 1,
- "bits": [ 1290 ],
- "attributes": {
- }
- },
- "$abc$8880$n1495_1": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$8880$n1496": {
- "hide_name": 1,
- "bits": [ 1291 ],
- "attributes": {
- }
- },
- "$abc$8880$n1497": {
- "hide_name": 1,
- "bits": [ 1292 ],
- "attributes": {
- }
- },
- "$abc$8880$n1498": {
- "hide_name": 1,
- "bits": [ 1293 ],
- "attributes": {
- }
- },
- "$abc$8880$n1499": {
- "hide_name": 1,
- "bits": [ 1294 ],
- "attributes": {
- }
- },
- "$abc$8880$n15": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$8880$n1500": {
- "hide_name": 1,
- "bits": [ 1295 ],
- "attributes": {
- }
- },
- "$abc$8880$n1500_1": {
- "hide_name": 1,
- "bits": [ 875 ],
- "attributes": {
- }
- },
- "$abc$8880$n1501": {
- "hide_name": 1,
- "bits": [ 1296 ],
- "attributes": {
- }
- },
- "$abc$8880$n1501_1": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$8880$n1502": {
- "hide_name": 1,
- "bits": [ 1297 ],
- "attributes": {
- }
- },
- "$abc$8880$n1503": {
- "hide_name": 1,
- "bits": [ 1298 ],
- "attributes": {
- }
- },
- "$abc$8880$n1504": {
- "hide_name": 1,
- "bits": [ 1299 ],
- "attributes": {
- }
- },
- "$abc$8880$n1505": {
- "hide_name": 1,
- "bits": [ 1300 ],
- "attributes": {
- }
- },
- "$abc$8880$n1506": {
- "hide_name": 1,
- "bits": [ 1301 ],
- "attributes": {
- }
- },
- "$abc$8880$n1506_1": {
- "hide_name": 1,
- "bits": [ 881 ],
- "attributes": {
- }
- },
- "$abc$8880$n1507": {
- "hide_name": 1,
- "bits": [ 1302 ],
- "attributes": {
- }
- },
- "$abc$8880$n1507_1": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$8880$n1508": {
- "hide_name": 1,
- "bits": [ 1303 ],
- "attributes": {
- }
- },
- "$abc$8880$n1509": {
- "hide_name": 1,
- "bits": [ 1304 ],
- "attributes": {
- }
- },
- "$abc$8880$n1510": {
- "hide_name": 1,
- "bits": [ 1305 ],
- "attributes": {
- }
- },
- "$abc$8880$n1511": {
- "hide_name": 1,
- "bits": [ 1306 ],
- "attributes": {
- }
- },
- "$abc$8880$n1512": {
- "hide_name": 1,
- "bits": [ 1307 ],
- "attributes": {
- }
- },
- "$abc$8880$n1512_1": {
- "hide_name": 1,
- "bits": [ 887 ],
- "attributes": {
- }
- },
- "$abc$8880$n1513": {
- "hide_name": 1,
- "bits": [ 1308 ],
- "attributes": {
- }
- },
- "$abc$8880$n1513_1": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$8880$n1514": {
- "hide_name": 1,
- "bits": [ 1309 ],
- "attributes": {
- }
- },
- "$abc$8880$n1515": {
- "hide_name": 1,
- "bits": [ 1310 ],
- "attributes": {
- }
- },
- "$abc$8880$n1516": {
- "hide_name": 1,
- "bits": [ 1311 ],
- "attributes": {
- }
- },
- "$abc$8880$n1517": {
- "hide_name": 1,
- "bits": [ 1312 ],
- "attributes": {
- }
- },
- "$abc$8880$n1518": {
- "hide_name": 1,
- "bits": [ 1313 ],
- "attributes": {
- }
- },
- "$abc$8880$n1518_1": {
- "hide_name": 1,
- "bits": [ 893 ],
- "attributes": {
- }
- },
- "$abc$8880$n1519": {
- "hide_name": 1,
- "bits": [ 1314 ],
- "attributes": {
- }
- },
- "$abc$8880$n1519_1": {
- "hide_name": 1,
- "bits": [ 894 ],
- "attributes": {
- }
- },
- "$abc$8880$n1520": {
- "hide_name": 1,
- "bits": [ 1315 ],
- "attributes": {
- }
- },
- "$abc$8880$n1521": {
- "hide_name": 1,
- "bits": [ 1316 ],
- "attributes": {
- }
- },
- "$abc$8880$n1522": {
- "hide_name": 1,
- "bits": [ 1317 ],
- "attributes": {
- }
- },
- "$abc$8880$n1525": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$8880$n1530_1": {
- "hide_name": 1,
- "bits": [ 916 ],
- "attributes": {
- }
- },
- "$abc$8880$n1531_1": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$8880$n1532": {
- "hide_name": 1,
- "bits": [ 919 ],
- "attributes": {
- }
- },
- "$abc$8880$n1533": {
- "hide_name": 1,
- "bits": [ 1007 ],
- "attributes": {
- }
- },
- "$abc$8880$n1533_1": {
- "hide_name": 1,
- "bits": [ 917 ],
- "attributes": {
- }
- },
- "$abc$8880$n1535": {
- "hide_name": 1,
- "bits": [ 1009 ],
- "attributes": {
- }
- },
- "$abc$8880$n1536_1": {
- "hide_name": 1,
- "bits": [ 927 ],
- "attributes": {
- }
- },
- "$abc$8880$n1564": {
- "hide_name": 1,
- "bits": [ 989 ],
- "attributes": {
- }
- },
- "$abc$8880$n1566": {
- "hide_name": 1,
- "bits": [ 991 ],
- "attributes": {
- }
- },
- "$abc$8880$n1567": {
- "hide_name": 1,
- "bits": [ 995 ],
- "attributes": {
- }
- },
- "$abc$8880$n1568": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$8880$n1569": {
- "hide_name": 1,
- "bits": [ 992 ],
- "attributes": {
- }
- },
- "$abc$8880$n1573": {
- "hide_name": 1,
- "bits": [ 1001 ],
- "attributes": {
- }
- },
- "$abc$8880$n1576": {
- "hide_name": 1,
- "bits": [ 1004 ],
- "attributes": {
- }
- },
- "$abc$8880$n1582": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$8880$n1584": {
- "hide_name": 1,
- "bits": [ 1015 ],
- "attributes": {
- }
- },
- "$abc$8880$n1588": {
- "hide_name": 1,
- "bits": [ 1016 ],
- "attributes": {
- }
- },
- "$abc$8880$n1589": {
- "hide_name": 1,
- "bits": [ 1022 ],
- "attributes": {
- }
- },
- "$abc$8880$n1590": {
- "hide_name": 1,
- "bits": [ 1026 ],
- "attributes": {
- }
- },
- "$abc$8880$n1591": {
- "hide_name": 1,
- "bits": [ 1017 ],
- "attributes": {
- }
- },
- "$abc$8880$n1591_1": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$8880$n1592": {
- "hide_name": 1,
- "bits": [ 1018 ],
- "attributes": {
- }
- },
- "$abc$8880$n1592_1": {
- "hide_name": 1,
- "bits": [ 1032 ],
- "attributes": {
- }
- },
- "$abc$8880$n1593": {
- "hide_name": 1,
- "bits": [ 1035 ],
- "attributes": {
- }
- },
- "$abc$8880$n1594": {
- "hide_name": 1,
- "bits": [ 1039 ],
- "attributes": {
- }
- },
- "$abc$8880$n1595": {
- "hide_name": 1,
- "bits": [ 1040 ],
- "attributes": {
- }
- },
- "$abc$8880$n1596": {
- "hide_name": 1,
- "bits": [ 1019 ],
- "attributes": {
- }
- },
- "$abc$8880$n1596_1": {
- "hide_name": 1,
- "bits": [ 1038 ],
- "attributes": {
- }
- },
- "$abc$8880$n1597": {
- "hide_name": 1,
- "bits": [ 1020 ],
- "attributes": {
- }
- },
- "$abc$8880$n1597_1": {
- "hide_name": 1,
- "bits": [ 1036 ],
- "attributes": {
- }
- },
- "$abc$8880$n1598": {
- "hide_name": 1,
- "bits": [ 1041 ],
- "attributes": {
- }
- },
- "$abc$8880$n1599": {
- "hide_name": 1,
- "bits": [ 1021 ],
- "attributes": {
- }
- },
- "$abc$8880$n1599_1": {
- "hide_name": 1,
- "bits": [ 1037 ],
- "attributes": {
- }
- },
- "$abc$8880$n1600": {
- "hide_name": 1,
- "bits": [ 1042 ],
- "attributes": {
- }
- },
- "$abc$8880$n1601": {
- "hide_name": 1,
- "bits": [ 1033 ],
- "attributes": {
- }
- },
- "$abc$8880$n1602": {
- "hide_name": 1,
- "bits": [ 1043 ],
- "attributes": {
- }
- },
- "$abc$8880$n1603": {
- "hide_name": 1,
- "bits": [ 1046 ],
- "attributes": {
- }
- },
- "$abc$8880$n1604": {
- "hide_name": 1,
- "bits": [ 1044 ],
- "attributes": {
- }
- },
- "$abc$8880$n1605": {
- "hide_name": 1,
- "bits": [ 1048 ],
- "attributes": {
- }
- },
- "$abc$8880$n1606": {
- "hide_name": 1,
- "bits": [ 1047 ],
- "attributes": {
- }
- },
- "$abc$8880$n1607": {
- "hide_name": 1,
- "bits": [ 1045 ],
- "attributes": {
- }
- },
- "$abc$8880$n1608": {
- "hide_name": 1,
- "bits": [ 1049 ],
- "attributes": {
- }
- },
- "$abc$8880$n1609": {
- "hide_name": 1,
- "bits": [ 1034 ],
- "attributes": {
- }
- },
- "$abc$8880$n1610": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$8880$n1611": {
- "hide_name": 1,
- "bits": [ 1052 ],
- "attributes": {
- }
- },
- "$abc$8880$n1612": {
- "hide_name": 1,
- "bits": [ 1053 ],
- "attributes": {
- }
- },
- "$abc$8880$n1613": {
- "hide_name": 1,
- "bits": [ 1051 ],
- "attributes": {
- }
- },
- "$abc$8880$n1614": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$8880$n1614_1": {
- "hide_name": 1,
- "bits": [ 1030 ],
- "attributes": {
- }
- },
- "$abc$8880$n1615": {
- "hide_name": 1,
- "bits": [ 1054 ],
- "attributes": {
- }
- },
- "$abc$8880$n1616": {
- "hide_name": 1,
- "bits": [ 1057 ],
- "attributes": {
- }
- },
- "$abc$8880$n1617": {
- "hide_name": 1,
- "bits": [ 1061 ],
- "attributes": {
- }
- },
- "$abc$8880$n1618": {
- "hide_name": 1,
- "bits": [ 1062 ],
- "attributes": {
- }
- },
- "$abc$8880$n1619": {
- "hide_name": 1,
- "bits": [ 1060 ],
- "attributes": {
- }
- },
- "$abc$8880$n1620": {
- "hide_name": 1,
- "bits": [ 1058 ],
- "attributes": {
- }
- },
- "$abc$8880$n1621": {
- "hide_name": 1,
- "bits": [ 1063 ],
- "attributes": {
- }
- },
- "$abc$8880$n1622": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$8880$n1623": {
- "hide_name": 1,
- "bits": [ 1055 ],
- "attributes": {
- }
- },
- "$abc$8880$n1624": {
- "hide_name": 1,
- "bits": [ 1064 ],
- "attributes": {
- }
- },
- "$abc$8880$n1625": {
- "hide_name": 1,
- "bits": [ 1068 ],
- "attributes": {
- }
- },
- "$abc$8880$n1626": {
- "hide_name": 1,
- "bits": [ 1067 ],
- "attributes": {
- }
- },
- "$abc$8880$n1627": {
- "hide_name": 1,
- "bits": [ 1066 ],
- "attributes": {
- }
- },
- "$abc$8880$n1628": {
- "hide_name": 1,
- "bits": [ 1065 ],
- "attributes": {
- }
- },
- "$abc$8880$n1629": {
- "hide_name": 1,
- "bits": [ 1070 ],
- "attributes": {
- }
- },
- "$abc$8880$n1630": {
- "hide_name": 1,
- "bits": [ 1069 ],
- "attributes": {
- }
- },
- "$abc$8880$n1631": {
- "hide_name": 1,
- "bits": [ 1056 ],
- "attributes": {
- }
- },
- "$abc$8880$n1632": {
- "hide_name": 1,
- "bits": [ 1071 ],
- "attributes": {
- }
- },
- "$abc$8880$n1633": {
- "hide_name": 1,
- "bits": [ 1073 ],
- "attributes": {
- }
- },
- "$abc$8880$n1634": {
- "hide_name": 1,
- "bits": [ 1074 ],
- "attributes": {
- }
- },
- "$abc$8880$n1635": {
- "hide_name": 1,
- "bits": [ 1072 ],
- "attributes": {
- }
- },
- "$abc$8880$n1636": {
- "hide_name": 1,
- "bits": [ 1031 ],
- "attributes": {
- }
- },
- "$abc$8880$n1637": {
- "hide_name": 1,
- "bits": [ 1075 ],
- "attributes": {
- }
- },
- "$abc$8880$n1638": {
- "hide_name": 1,
- "bits": [ 1078 ],
- "attributes": {
- }
- },
- "$abc$8880$n1639": {
- "hide_name": 1,
- "bits": [ 1082 ],
- "attributes": {
- }
- },
- "$abc$8880$n1640": {
- "hide_name": 1,
- "bits": [ 1081 ],
- "attributes": {
- }
- },
- "$abc$8880$n1641": {
- "hide_name": 1,
- "bits": [ 1080 ],
- "attributes": {
- }
- },
- "$abc$8880$n1642": {
- "hide_name": 1,
- "bits": [ 1079 ],
- "attributes": {
- }
- },
- "$abc$8880$n1643": {
- "hide_name": 1,
- "bits": [ 1076 ],
- "attributes": {
- }
- },
- "$abc$8880$n1644": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$8880$n1645": {
- "hide_name": 1,
- "bits": [ 1027 ],
- "attributes": {
- }
- },
- "$abc$8880$n1646": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$8880$n1647": {
- "hide_name": 1,
- "bits": [ 1086 ],
- "attributes": {
- }
- },
- "$abc$8880$n1648": {
- "hide_name": 1,
- "bits": [ 1087 ],
- "attributes": {
- }
- },
- "$abc$8880$n1649": {
- "hide_name": 1,
- "bits": [ 1088 ],
- "attributes": {
- }
- },
- "$abc$8880$n1650": {
- "hide_name": 1,
- "bits": [ 1089 ],
- "attributes": {
- }
- },
- "$abc$8880$n1651": {
- "hide_name": 1,
- "bits": [ 1093 ],
- "attributes": {
- }
- },
- "$abc$8880$n1652": {
- "hide_name": 1,
- "bits": [ 1090 ],
- "attributes": {
- }
- },
- "$abc$8880$n1653": {
- "hide_name": 1,
- "bits": [ 1091 ],
- "attributes": {
- }
- },
- "$abc$8880$n1654": {
- "hide_name": 1,
- "bits": [ 1094 ],
- "attributes": {
- }
- },
- "$abc$8880$n1655": {
- "hide_name": 1,
- "bits": [ 1092 ],
- "attributes": {
- }
- },
- "$abc$8880$n1656": {
- "hide_name": 1,
- "bits": [ 1084 ],
- "attributes": {
- }
- },
- "$abc$8880$n1657": {
- "hide_name": 1,
- "bits": [ 1085 ],
- "attributes": {
- }
- },
- "$abc$8880$n1658": {
- "hide_name": 1,
- "bits": [ 1095 ],
- "attributes": {
- }
- },
- "$abc$8880$n1659": {
- "hide_name": 1,
- "bits": [ 1098 ],
- "attributes": {
- }
- },
- "$abc$8880$n1660": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$8880$n1661": {
- "hide_name": 1,
- "bits": [ 1099 ],
- "attributes": {
- }
- },
- "$abc$8880$n1662": {
- "hide_name": 1,
- "bits": [ 1102 ],
- "attributes": {
- }
- },
- "$abc$8880$n1663": {
- "hide_name": 1,
- "bits": [ 1103 ],
- "attributes": {
- }
- },
- "$abc$8880$n1664": {
- "hide_name": 1,
- "bits": [ 1100 ],
- "attributes": {
- }
- },
- "$abc$8880$n1665": {
- "hide_name": 1,
- "bits": [ 1104 ],
- "attributes": {
- }
- },
- "$abc$8880$n1666": {
- "hide_name": 1,
- "bits": [ 1096 ],
- "attributes": {
- }
- },
- "$abc$8880$n1667": {
- "hide_name": 1,
- "bits": [ 1097 ],
- "attributes": {
- }
- },
- "$abc$8880$n1668": {
- "hide_name": 1,
- "bits": [ 1105 ],
- "attributes": {
- }
- },
- "$abc$8880$n1669": {
- "hide_name": 1,
- "bits": [ 1108 ],
- "attributes": {
- }
- },
- "$abc$8880$n1670": {
- "hide_name": 1,
- "bits": [ 1109 ],
- "attributes": {
- }
- },
- "$abc$8880$n1671": {
- "hide_name": 1,
- "bits": [ 1106 ],
- "attributes": {
- }
- },
- "$abc$8880$n1672": {
- "hide_name": 1,
- "bits": [ 1110 ],
- "attributes": {
- }
- },
- "$abc$8880$n1673": {
- "hide_name": 1,
- "bits": [ 1107 ],
- "attributes": {
- }
- },
- "$abc$8880$n1674": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$8880$n1675": {
- "hide_name": 1,
- "bits": [ 1028 ],
- "attributes": {
- }
- },
- "$abc$8880$n1676": {
- "hide_name": 1,
- "bits": [ 1112 ],
- "attributes": {
- }
- },
- "$abc$8880$n1677": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$8880$n1678": {
- "hide_name": 1,
- "bits": [ 1113 ],
- "attributes": {
- }
- },
- "$abc$8880$n1679": {
- "hide_name": 1,
- "bits": [ 1114 ],
- "attributes": {
- }
- },
- "$abc$8880$n1680": {
- "hide_name": 1,
- "bits": [ 1117 ],
- "attributes": {
- }
- },
- "$abc$8880$n1681": {
- "hide_name": 1,
- "bits": [ 1120 ],
- "attributes": {
- }
- },
- "$abc$8880$n1682": {
- "hide_name": 1,
- "bits": [ 1121 ],
- "attributes": {
- }
- },
- "$abc$8880$n1683": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$8880$n1684": {
- "hide_name": 1,
- "bits": [ 1124 ],
- "attributes": {
- }
- },
- "$abc$8880$n1685": {
- "hide_name": 1,
- "bits": [ 1122 ],
- "attributes": {
- }
- },
- "$abc$8880$n1686": {
- "hide_name": 1,
- "bits": [ 1118 ],
- "attributes": {
- }
- },
- "$abc$8880$n1687": {
- "hide_name": 1,
- "bits": [ 1125 ],
- "attributes": {
- }
- },
- "$abc$8880$n1688": {
- "hide_name": 1,
- "bits": [ 1119 ],
- "attributes": {
- }
- },
- "$abc$8880$n1689": {
- "hide_name": 1,
- "bits": [ 1126 ],
- "attributes": {
- }
- },
- "$abc$8880$n1690": {
- "hide_name": 1,
- "bits": [ 1127 ],
- "attributes": {
- }
- },
- "$abc$8880$n1691": {
- "hide_name": 1,
- "bits": [ 1128 ],
- "attributes": {
- }
- },
- "$abc$8880$n1692": {
- "hide_name": 1,
- "bits": [ 1130 ],
- "attributes": {
- }
- },
- "$abc$8880$n1693": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$8880$n1694": {
- "hide_name": 1,
- "bits": [ 1131 ],
- "attributes": {
- }
- },
- "$abc$8880$n1695": {
- "hide_name": 1,
- "bits": [ 1132 ],
- "attributes": {
- }
- },
- "$abc$8880$n1696": {
- "hide_name": 1,
- "bits": [ 1133 ],
- "attributes": {
- }
- },
- "$abc$8880$n1697": {
- "hide_name": 1,
- "bits": [ 1115 ],
- "attributes": {
- }
- },
- "$abc$8880$n1698": {
- "hide_name": 1,
- "bits": [ 1134 ],
- "attributes": {
- }
- },
- "$abc$8880$n1699": {
- "hide_name": 1,
- "bits": [ 1135 ],
- "attributes": {
- }
- },
- "$abc$8880$n1700": {
- "hide_name": 1,
- "bits": [ 1136 ],
- "attributes": {
- }
- },
- "$abc$8880$n1701": {
- "hide_name": 1,
- "bits": [ 1116 ],
- "attributes": {
- }
- },
- "$abc$8880$n1702": {
- "hide_name": 1,
- "bits": [ 1137 ],
- "attributes": {
- }
- },
- "$abc$8880$n1703": {
- "hide_name": 1,
- "bits": [ 1024 ],
- "attributes": {
- }
- },
- "$abc$8880$n1706": {
- "hide_name": 1,
- "bits": [ 1139 ],
- "attributes": {
- }
- },
- "$abc$8880$n1707": {
- "hide_name": 1,
- "bits": [ 1141 ],
- "attributes": {
- }
- },
- "$abc$8880$n1708": {
- "hide_name": 1,
- "bits": [ 1144 ],
- "attributes": {
- }
- },
- "$abc$8880$n1709": {
- "hide_name": 1,
- "bits": [ 1147 ],
- "attributes": {
- }
- },
- "$abc$8880$n1710": {
- "hide_name": 1,
- "bits": [ 1150 ],
- "attributes": {
- }
- },
- "$abc$8880$n1711": {
- "hide_name": 1,
- "bits": [ 1148 ],
- "attributes": {
- }
- },
- "$abc$8880$n1712": {
- "hide_name": 1,
- "bits": [ 1151 ],
- "attributes": {
- }
- },
- "$abc$8880$n1713": {
- "hide_name": 1,
- "bits": [ 1149 ],
- "attributes": {
- }
- },
- "$abc$8880$n1714": {
- "hide_name": 1,
- "bits": [ 1145 ],
- "attributes": {
- }
- },
- "$abc$8880$n1715": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$8880$n1716": {
- "hide_name": 1,
- "bits": [ 1153 ],
- "attributes": {
- }
- },
- "$abc$8880$n1717": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$8880$n1718": {
- "hide_name": 1,
- "bits": [ 1156 ],
- "attributes": {
- }
- },
- "$abc$8880$n1719": {
- "hide_name": 1,
- "bits": [ 1154 ],
- "attributes": {
- }
- },
- "$abc$8880$n1720": {
- "hide_name": 1,
- "bits": [ 1146 ],
- "attributes": {
- }
- },
- "$abc$8880$n1721": {
- "hide_name": 1,
- "bits": [ 1142 ],
- "attributes": {
- }
- },
- "$abc$8880$n1722": {
- "hide_name": 1,
- "bits": [ 1143 ],
- "attributes": {
- }
- },
- "$abc$8880$n1723": {
- "hide_name": 1,
- "bits": [ 1157 ],
- "attributes": {
- }
- },
- "$abc$8880$n1725": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$8880$n1726": {
- "hide_name": 1,
- "bits": [ 1159 ],
- "attributes": {
- }
- },
- "$abc$8880$n1727": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$8880$n1728": {
- "hide_name": 1,
- "bits": [ 1162 ],
- "attributes": {
- }
- },
- "$abc$8880$n1729": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$8880$n1730": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$8880$n1731": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$8880$n1732": {
- "hide_name": 1,
- "bits": [ 1170 ],
- "attributes": {
- }
- },
- "$abc$8880$n1733": {
- "hide_name": 1,
- "bits": [ 1168 ],
- "attributes": {
- }
- },
- "$abc$8880$n1734": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$8880$n1735": {
- "hide_name": 1,
- "bits": [ 1169 ],
- "attributes": {
- }
- },
- "$abc$8880$n1736": {
- "hide_name": 1,
- "bits": [ 1172 ],
- "attributes": {
- }
- },
- "$abc$8880$n1737": {
- "hide_name": 1,
- "bits": [ 1166 ],
- "attributes": {
- }
- },
- "$abc$8880$n1738": {
- "hide_name": 1,
- "bits": [ 1173 ],
- "attributes": {
- }
- },
- "$abc$8880$n1739": {
- "hide_name": 1,
- "bits": [ 1174 ],
- "attributes": {
- }
- },
- "$abc$8880$n1740": {
- "hide_name": 1,
- "bits": [ 1175 ],
- "attributes": {
- }
- },
- "$abc$8880$n1741": {
- "hide_name": 1,
- "bits": [ 1176 ],
- "attributes": {
- }
- },
- "$abc$8880$n1742": {
- "hide_name": 1,
- "bits": [ 1163 ],
- "attributes": {
- }
- },
- "$abc$8880$n1743": {
- "hide_name": 1,
- "bits": [ 1177 ],
- "attributes": {
- }
- },
- "$abc$8880$n1745": {
- "hide_name": 1,
- "bits": [ 1178 ],
- "attributes": {
- }
- },
- "$abc$8880$n1746": {
- "hide_name": 1,
- "bits": [ 1181 ],
- "attributes": {
- }
- },
- "$abc$8880$n1747": {
- "hide_name": 1,
- "bits": [ 1184 ],
- "attributes": {
- }
- },
- "$abc$8880$n1748": {
- "hide_name": 1,
- "bits": [ 1187 ],
- "attributes": {
- }
- },
- "$abc$8880$n1749": {
- "hide_name": 1,
- "bits": [ 1190 ],
- "attributes": {
- }
- },
- "$abc$8880$n1750": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$8880$n1750_1": {
- "hide_name": 1,
- "bits": [ 1194 ],
- "attributes": {
- }
- },
- "$abc$8880$n1751": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$8880$n1751_1": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$8880$n1752": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$8880$n1752_1": {
- "hide_name": 1,
- "bits": [ 1191 ],
- "attributes": {
- }
- },
- "$abc$8880$n1753": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$8880$n1753_1": {
- "hide_name": 1,
- "bits": [ 1195 ],
- "attributes": {
- }
- },
- "$abc$8880$n1754": {
- "hide_name": 1,
- "bits": [ 388 ],
- "attributes": {
- }
- },
- "$abc$8880$n1754_1": {
- "hide_name": 1,
- "bits": [ 1192 ],
- "attributes": {
- }
- },
- "$abc$8880$n1755": {
- "hide_name": 1,
- "bits": [ 372 ],
- "attributes": {
- }
- },
- "$abc$8880$n1755_1": {
- "hide_name": 1,
- "bits": [ 1196 ],
- "attributes": {
- }
- },
- "$abc$8880$n1756": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$8880$n1756_1": {
- "hide_name": 1,
- "bits": [ 1188 ],
- "attributes": {
- }
- },
- "$abc$8880$n1757": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$8880$n1757_1": {
- "hide_name": 1,
- "bits": [ 1189 ],
- "attributes": {
- }
- },
- "$abc$8880$n1758": {
- "hide_name": 1,
- "bits": [ 501 ],
- "attributes": {
- }
- },
- "$abc$8880$n1758_1": {
- "hide_name": 1,
- "bits": [ 1197 ],
- "attributes": {
- }
- },
- "$abc$8880$n1759": {
- "hide_name": 1,
- "bits": [ 513 ],
- "attributes": {
- }
- },
- "$abc$8880$n1759_1": {
- "hide_name": 1,
- "bits": [ 1200 ],
- "attributes": {
- }
- },
- "$abc$8880$n1760": {
- "hide_name": 1,
- "bits": [ 524 ],
- "attributes": {
- }
- },
- "$abc$8880$n1760_1": {
- "hide_name": 1,
- "bits": [ 1198 ],
- "attributes": {
- }
- },
- "$abc$8880$n1761": {
- "hide_name": 1,
- "bits": [ 997 ],
- "attributes": {
- }
- },
- "$abc$8880$n1761_1": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$8880$n1762": {
- "hide_name": 1,
- "bits": [ 1325 ],
- "attributes": {
- }
- },
- "$abc$8880$n1762_1": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$8880$n1763": {
- "hide_name": 1,
- "bits": [ 1320 ],
- "attributes": {
- }
- },
- "$abc$8880$n1763_1": {
- "hide_name": 1,
- "bits": [ 1186 ],
- "attributes": {
- }
- },
- "$abc$8880$n1764": {
- "hide_name": 1,
- "bits": [ 1330 ],
- "attributes": {
- }
- },
- "$abc$8880$n1764_1": {
- "hide_name": 1,
- "bits": [ 1201 ],
- "attributes": {
- }
- },
- "$abc$8880$n1765": {
- "hide_name": 1,
- "bits": [ 1335 ],
- "attributes": {
- }
- },
- "$abc$8880$n1765_1": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$8880$n1766": {
- "hide_name": 1,
- "bits": [ 359 ],
- "attributes": {
- }
- },
- "$abc$8880$n1766_1": {
- "hide_name": 1,
- "bits": [ 1182 ],
- "attributes": {
- }
- },
- "$abc$8880$n1767": {
- "hide_name": 1,
- "bits": [ 1183 ],
- "attributes": {
- }
- },
- "$abc$8880$n1768": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$8880$n1770": {
- "hide_name": 1,
- "bits": [ 1203 ],
- "attributes": {
- }
- },
- "$abc$8880$n1771": {
- "hide_name": 1,
- "bits": [ 1204 ],
- "attributes": {
- }
- },
- "$abc$8880$n1772": {
- "hide_name": 1,
- "bits": [ 1206 ],
- "attributes": {
- }
- },
- "$abc$8880$n1773": {
- "hide_name": 1,
- "bits": [ 502 ],
- "attributes": {
- }
- },
- "$abc$8880$n1773_1": {
- "hide_name": 1,
- "bits": [ 1207 ],
- "attributes": {
- }
- },
- "$abc$8880$n1774": {
- "hide_name": 1,
- "bits": [ 508 ],
- "attributes": {
- }
- },
- "$abc$8880$n1774_1": {
- "hide_name": 1,
- "bits": [ 1209 ],
- "attributes": {
- }
- },
- "$abc$8880$n1775": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$8880$n1775_1": {
- "hide_name": 1,
- "bits": [ 1210 ],
- "attributes": {
- }
- },
- "$abc$8880$n1776": {
- "hide_name": 1,
- "bits": [ 998 ],
- "attributes": {
- }
- },
- "$abc$8880$n1776_1": {
- "hide_name": 1,
- "bits": [ 1212 ],
- "attributes": {
- }
- },
- "$abc$8880$n1777": {
- "hide_name": 1,
- "bits": [ 1327 ],
- "attributes": {
- }
- },
- "$abc$8880$n1777_1": {
- "hide_name": 1,
- "bits": [ 1213 ],
- "attributes": {
- }
- },
- "$abc$8880$n1778": {
- "hide_name": 1,
- "bits": [ 1322 ],
- "attributes": {
- }
- },
- "$abc$8880$n1778_1": {
- "hide_name": 1,
- "bits": [ 1214 ],
- "attributes": {
- }
- },
- "$abc$8880$n1779": {
- "hide_name": 1,
- "bits": [ 1332 ],
- "attributes": {
- }
- },
- "$abc$8880$n1779_1": {
- "hide_name": 1,
- "bits": [ 1215 ],
- "attributes": {
- }
- },
- "$abc$8880$n1780": {
- "hide_name": 1,
- "bits": [ 1337 ],
- "attributes": {
- }
- },
- "$abc$8880$n1780_1": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$8880$n1781": {
- "hide_name": 1,
- "bits": [ 1216 ],
- "attributes": {
- }
- },
- "$abc$8880$n1782": {
- "hide_name": 1,
- "bits": [ 1217 ],
- "attributes": {
- }
- },
- "$abc$8880$n1783": {
- "hide_name": 1,
- "bits": [ 1218 ],
- "attributes": {
- }
- },
- "$abc$8880$n1784": {
- "hide_name": 1,
- "bits": [ 1219 ],
- "attributes": {
- }
- },
- "$abc$8880$n1785": {
- "hide_name": 1,
- "bits": [ 1222 ],
- "attributes": {
- }
- },
- "$abc$8880$n1786": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$8880$n1787": {
- "hide_name": 1,
- "bits": [ 1221 ],
- "attributes": {
- }
- },
- "$abc$8880$n1788": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$8880$n1790": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$8880$n1791": {
- "hide_name": 1,
- "bits": [ 1224 ],
- "attributes": {
- }
- },
- "$abc$8880$n1792": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$8880$n1793": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$8880$n1794": {
- "hide_name": 1,
- "bits": [ 1228 ],
- "attributes": {
- }
- },
- "$abc$8880$n1795": {
- "hide_name": 1,
- "bits": [ 1229 ],
- "attributes": {
- }
- },
- "$abc$8880$n1796": {
- "hide_name": 1,
- "bits": [ 1230 ],
- "attributes": {
- }
- },
- "$abc$8880$n1797": {
- "hide_name": 1,
- "bits": [ 1231 ],
- "attributes": {
- }
- },
- "$abc$8880$n1798": {
- "hide_name": 1,
- "bits": [ 1232 ],
- "attributes": {
- }
- },
- "$abc$8880$n1799": {
- "hide_name": 1,
- "bits": [ 1233 ],
- "attributes": {
- }
- },
- "$abc$8880$n1800": {
- "hide_name": 1,
- "bits": [ 1234 ],
- "attributes": {
- }
- },
- "$abc$8880$n1802": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$8880$n1803": {
- "hide_name": 1,
- "bits": [ 1236 ],
- "attributes": {
- }
- },
- "$abc$8880$n1804": {
- "hide_name": 1,
- "bits": [ 1238 ],
- "attributes": {
- }
- },
- "$abc$8880$n1805": {
- "hide_name": 1,
- "bits": [ 1239 ],
- "attributes": {
- }
- },
- "$abc$8880$n1806": {
- "hide_name": 1,
- "bits": [ 1240 ],
- "attributes": {
- }
- },
- "$abc$8880$n1808": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$8880$n1809": {
- "hide_name": 1,
- "bits": [ 1242 ],
- "attributes": {
- }
- },
- "$abc$8880$n1885": {
- "hide_name": 1,
- "bits": [ 1318 ],
- "attributes": {
- }
- },
- "$abc$8880$n1886": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$8880$n1887": {
- "hide_name": 1,
- "bits": [ 1321 ],
- "attributes": {
- }
- },
- "$abc$8880$n1888": {
- "hide_name": 1,
- "bits": [ 1323 ],
- "attributes": {
- }
- },
- "$abc$8880$n1890": {
- "hide_name": 1,
- "bits": [ 1326 ],
- "attributes": {
- }
- },
- "$abc$8880$n1891": {
- "hide_name": 1,
- "bits": [ 1328 ],
- "attributes": {
- }
- },
- "$abc$8880$n1893": {
- "hide_name": 1,
- "bits": [ 1331 ],
- "attributes": {
- }
- },
- "$abc$8880$n1894": {
- "hide_name": 1,
- "bits": [ 1333 ],
- "attributes": {
- }
- },
- "$abc$8880$n1896": {
- "hide_name": 1,
- "bits": [ 1336 ],
- "attributes": {
- }
- },
- "$abc$8880$n1897": {
- "hide_name": 1,
- "bits": [ 1338 ],
- "attributes": {
- }
- },
- "$abc$8880$n1899": {
- "hide_name": 1,
- "bits": [ 1342 ],
- "attributes": {
- }
- },
- "$abc$8880$n1901": {
- "hide_name": 1,
- "bits": [ 1348 ],
- "attributes": {
- }
- },
- "$abc$8880$n1903": {
- "hide_name": 1,
- "bits": [ 1353 ],
- "attributes": {
- }
- },
- "$abc$8880$n1905": {
- "hide_name": 1,
- "bits": [ 1358 ],
- "attributes": {
- }
- },
- "$abc$8880$n1907": {
- "hide_name": 1,
- "bits": [ 1363 ],
- "attributes": {
- }
- },
- "$abc$8880$n1909": {
- "hide_name": 1,
- "bits": [ 1368 ],
- "attributes": {
- }
- },
- "$abc$8880$n1911": {
- "hide_name": 1,
- "bits": [ 1373 ],
- "attributes": {
- }
- },
- "$abc$8880$n1913": {
- "hide_name": 1,
- "bits": [ 1378 ],
- "attributes": {
- }
- },
- "$abc$8880$n1915": {
- "hide_name": 1,
- "bits": [ 1383 ],
- "attributes": {
- }
- },
- "$abc$8880$n1917": {
- "hide_name": 1,
- "bits": [ 1388 ],
- "attributes": {
- }
- },
- "$abc$8880$n1919": {
- "hide_name": 1,
- "bits": [ 1393 ],
- "attributes": {
- }
- },
- "$abc$8880$n1921": {
- "hide_name": 1,
- "bits": [ 1398 ],
- "attributes": {
- }
- },
- "$abc$8880$n1923": {
- "hide_name": 1,
- "bits": [ 1403 ],
- "attributes": {
- }
- },
- "$abc$8880$n1925": {
- "hide_name": 1,
- "bits": [ 1408 ],
- "attributes": {
- }
- },
- "$abc$8880$n1927": {
- "hide_name": 1,
- "bits": [ 1413 ],
- "attributes": {
- }
- },
- "$abc$8880$n1929": {
- "hide_name": 1,
- "bits": [ 1418 ],
- "attributes": {
- }
- },
- "$abc$8880$n1931": {
- "hide_name": 1,
- "bits": [ 1423 ],
- "attributes": {
- }
- },
- "$abc$8880$n1932": {
- "hide_name": 1,
- "bits": [ 621 ],
- "attributes": {
- }
- },
- "$abc$8880$n1933": {
- "hide_name": 1,
- "bits": [ 1426 ],
- "attributes": {
- }
- },
- "$abc$8880$n1934": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$8880$n1935": {
- "hide_name": 1,
- "bits": [ 1429 ],
- "attributes": {
- }
- },
- "$abc$8880$n1936": {
- "hide_name": 1,
- "bits": [ 643 ],
- "attributes": {
- }
- },
- "$abc$8880$n1937": {
- "hide_name": 1,
- "bits": [ 1432 ],
- "attributes": {
- }
- },
- "$abc$8880$n1938": {
- "hide_name": 1,
- "bits": [ 651 ],
- "attributes": {
- }
- },
- "$abc$8880$n1939": {
- "hide_name": 1,
- "bits": [ 1435 ],
- "attributes": {
- }
- },
- "$abc$8880$n1940": {
- "hide_name": 1,
- "bits": [ 659 ],
- "attributes": {
- }
- },
- "$abc$8880$n1941": {
- "hide_name": 1,
- "bits": [ 1438 ],
- "attributes": {
- }
- },
- "$abc$8880$n1942": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$8880$n1943": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$abc$8880$n1944": {
- "hide_name": 1,
- "bits": [ 675 ],
- "attributes": {
- }
- },
- "$abc$8880$n1945": {
- "hide_name": 1,
- "bits": [ 1444 ],
- "attributes": {
- }
- },
- "$abc$8880$n1946": {
- "hide_name": 1,
- "bits": [ 683 ],
- "attributes": {
- }
- },
- "$abc$8880$n1947": {
- "hide_name": 1,
- "bits": [ 1447 ],
- "attributes": {
- }
- },
- "$abc$8880$n1948": {
- "hide_name": 1,
- "bits": [ 691 ],
- "attributes": {
- }
- },
- "$abc$8880$n1949": {
- "hide_name": 1,
- "bits": [ 1450 ],
- "attributes": {
- }
- },
- "$abc$8880$n1950": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$8880$n1951": {
- "hide_name": 1,
- "bits": [ 1453 ],
- "attributes": {
- }
- },
- "$abc$8880$n1952": {
- "hide_name": 1,
- "bits": [ 707 ],
- "attributes": {
- }
- },
- "$abc$8880$n1953": {
- "hide_name": 1,
- "bits": [ 1456 ],
- "attributes": {
- }
- },
- "$abc$8880$n1954": {
- "hide_name": 1,
- "bits": [ 715 ],
- "attributes": {
- }
- },
- "$abc$8880$n1955": {
- "hide_name": 1,
- "bits": [ 1459 ],
- "attributes": {
- }
- },
- "$abc$8880$n1956": {
- "hide_name": 1,
- "bits": [ 723 ],
- "attributes": {
- }
- },
- "$abc$8880$n1957": {
- "hide_name": 1,
- "bits": [ 1462 ],
- "attributes": {
- }
- },
- "$abc$8880$n1958": {
- "hide_name": 1,
- "bits": [ 731 ],
- "attributes": {
- }
- },
- "$abc$8880$n1959": {
- "hide_name": 1,
- "bits": [ 1465 ],
- "attributes": {
- }
- },
- "$abc$8880$n1960": {
- "hide_name": 1,
- "bits": [ 739 ],
- "attributes": {
- }
- },
- "$abc$8880$n1961": {
- "hide_name": 1,
- "bits": [ 1468 ],
- "attributes": {
- }
- },
- "$abc$8880$n1962": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$8880$n1963": {
- "hide_name": 1,
- "bits": [ 1469 ],
- "attributes": {
- }
- },
- "$abc$8880$n1964": {
- "hide_name": 1,
- "bits": [ 805 ],
- "attributes": {
- }
- },
- "$abc$8880$n1965": {
- "hide_name": 1,
- "bits": [ 1470 ],
- "attributes": {
- }
- },
- "$abc$8880$n1966": {
- "hide_name": 1,
- "bits": [ 811 ],
- "attributes": {
- }
- },
- "$abc$8880$n1967": {
- "hide_name": 1,
- "bits": [ 1471 ],
- "attributes": {
- }
- },
- "$abc$8880$n1968": {
- "hide_name": 1,
- "bits": [ 817 ],
- "attributes": {
- }
- },
- "$abc$8880$n1969": {
- "hide_name": 1,
- "bits": [ 1472 ],
- "attributes": {
- }
- },
- "$abc$8880$n1970": {
- "hide_name": 1,
- "bits": [ 823 ],
- "attributes": {
- }
- },
- "$abc$8880$n1971": {
- "hide_name": 1,
- "bits": [ 1473 ],
- "attributes": {
- }
- },
- "$abc$8880$n1972": {
- "hide_name": 1,
- "bits": [ 829 ],
- "attributes": {
- }
- },
- "$abc$8880$n1973": {
- "hide_name": 1,
- "bits": [ 1474 ],
- "attributes": {
- }
- },
- "$abc$8880$n1974": {
- "hide_name": 1,
- "bits": [ 835 ],
- "attributes": {
- }
- },
- "$abc$8880$n1975": {
- "hide_name": 1,
- "bits": [ 1475 ],
- "attributes": {
- }
- },
- "$abc$8880$n1976": {
- "hide_name": 1,
- "bits": [ 841 ],
- "attributes": {
- }
- },
- "$abc$8880$n1977": {
- "hide_name": 1,
- "bits": [ 1476 ],
- "attributes": {
- }
- },
- "$abc$8880$n1978": {
- "hide_name": 1,
- "bits": [ 847 ],
- "attributes": {
- }
- },
- "$abc$8880$n1979": {
- "hide_name": 1,
- "bits": [ 1477 ],
- "attributes": {
- }
- },
- "$abc$8880$n1980": {
- "hide_name": 1,
- "bits": [ 853 ],
- "attributes": {
- }
- },
- "$abc$8880$n1981": {
- "hide_name": 1,
- "bits": [ 1478 ],
- "attributes": {
- }
- },
- "$abc$8880$n1982": {
- "hide_name": 1,
- "bits": [ 859 ],
- "attributes": {
- }
- },
- "$abc$8880$n1983": {
- "hide_name": 1,
- "bits": [ 1479 ],
- "attributes": {
- }
- },
- "$abc$8880$n1984": {
- "hide_name": 1,
- "bits": [ 865 ],
- "attributes": {
- }
- },
- "$abc$8880$n1985": {
- "hide_name": 1,
- "bits": [ 1480 ],
- "attributes": {
- }
- },
- "$abc$8880$n1986": {
- "hide_name": 1,
- "bits": [ 871 ],
- "attributes": {
- }
- },
- "$abc$8880$n1987": {
- "hide_name": 1,
- "bits": [ 1481 ],
- "attributes": {
- }
- },
- "$abc$8880$n1988": {
- "hide_name": 1,
- "bits": [ 877 ],
- "attributes": {
- }
- },
- "$abc$8880$n1989": {
- "hide_name": 1,
- "bits": [ 1482 ],
- "attributes": {
- }
- },
- "$abc$8880$n1990": {
- "hide_name": 1,
- "bits": [ 883 ],
- "attributes": {
- }
- },
- "$abc$8880$n1991": {
- "hide_name": 1,
- "bits": [ 1483 ],
- "attributes": {
- }
- },
- "$abc$8880$n1992": {
- "hide_name": 1,
- "bits": [ 889 ],
- "attributes": {
- }
- },
- "$abc$8880$n1993": {
- "hide_name": 1,
- "bits": [ 1484 ],
- "attributes": {
- }
- },
- "$abc$8880$n1994": {
- "hide_name": 1,
- "bits": [ 895 ],
- "attributes": {
- }
- },
- "$abc$8880$n2264": {
- "hide_name": 1,
- "bits": [ 1025 ],
- "attributes": {
- }
- },
- "$abc$8880$n2265": {
- "hide_name": 1,
- "bits": [ 1138 ],
- "attributes": {
- }
- },
- "$abc$8880$n2266": {
- "hide_name": 1,
- "bits": [ 1140 ],
- "attributes": {
- }
- },
- "$abc$8880$n2267": {
- "hide_name": 1,
- "bits": [ 1160 ],
- "attributes": {
- }
- },
- "$abc$8880$n2268": {
- "hide_name": 1,
- "bits": [ 1180 ],
- "attributes": {
- }
- },
- "$abc$8880$n2269": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$8880$n2270": {
- "hide_name": 1,
- "bits": [ 1225 ],
- "attributes": {
- }
- },
- "$abc$8880$n2271": {
- "hide_name": 1,
- "bits": [ 1237 ],
- "attributes": {
- }
- },
- "$abc$8880$n2272": {
- "hide_name": 1,
- "bits": [ 1243 ],
- "attributes": {
- }
- },
- "$abc$8880$n2273": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$8880$n2274": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$8880$n2275": {
- "hide_name": 1,
- "bits": [ 1246 ],
- "attributes": {
- }
- },
- "$abc$8880$n2276": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$8880$n2277": {
- "hide_name": 1,
- "bits": [ 1248 ],
- "attributes": {
- }
- },
- "$abc$8880$n2278": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$8880$n2279": {
- "hide_name": 1,
- "bits": [ 1250 ],
- "attributes": {
- }
- },
- "$abc$8880$n3": {
- "hide_name": 1,
- "bits": [ 16 ],
- "attributes": {
- }
- },
- "$abc$8880$n402": {
- "hide_name": 1,
- "bits": [ 462 ],
- "attributes": {
- }
- },
- "$abc$8880$n404": {
- "hide_name": 1,
- "bits": [ 464 ],
- "attributes": {
- }
- },
- "$abc$8880$n405": {
- "hide_name": 1,
- "bits": [ 1260 ],
- "attributes": {
- }
- },
- "$abc$8880$n406": {
- "hide_name": 1,
- "bits": [ 468 ],
- "attributes": {
- }
- },
- "$abc$8880$n41": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$8880$n410": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$8880$n413": {
- "hide_name": 1,
- "bits": [ 472 ],
- "attributes": {
- }
- },
- "$abc$8880$n415": {
- "hide_name": 1,
- "bits": [ 475 ],
- "attributes": {
- }
- },
- "$abc$8880$n423": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$8880$n426": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$8880$n430": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$8880$n435": {
- "hide_name": 1,
- "bits": [ 483 ],
- "attributes": {
- }
- },
- "$abc$8880$n437": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$8880$n443": {
- "hide_name": 1,
- "bits": [ 488 ],
- "attributes": {
- }
- },
- "$abc$8880$n444": {
- "hide_name": 1,
- "bits": [ 490 ],
- "attributes": {
- }
- },
- "$abc$8880$n607": {
- "hide_name": 1,
- "bits": [ 1419 ],
- "attributes": {
- }
- },
- "$abc$8880$n608": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$8880$n609": {
- "hide_name": 1,
- "bits": [ 1344 ],
- "attributes": {
- }
- },
- "$abc$8880$n610": {
- "hide_name": 1,
- "bits": [ 1399 ],
- "attributes": {
- }
- },
- "$abc$8880$n611": {
- "hide_name": 1,
- "bits": [ 1397 ],
- "attributes": {
- }
- },
- "$abc$8880$n612": {
- "hide_name": 1,
- "bits": [ 1414 ],
- "attributes": {
- }
- },
- "$abc$8880$n613": {
- "hide_name": 1,
- "bits": [ 1412 ],
- "attributes": {
- }
- },
- "$abc$8880$n614": {
- "hide_name": 1,
- "bits": [ 1339 ],
- "attributes": {
- }
- },
- "$abc$8880$n615": {
- "hide_name": 1,
- "bits": [ 1340 ],
- "attributes": {
- }
- },
- "$abc$8880$n616": {
- "hide_name": 1,
- "bits": [ 1341 ],
- "attributes": {
- }
- },
- "$abc$8880$n617": {
- "hide_name": 1,
- "bits": [ 1343 ],
- "attributes": {
- }
- },
- "$abc$8880$n619": {
- "hide_name": 1,
- "bits": [ 1346 ],
- "attributes": {
- }
- },
- "$abc$8880$n620": {
- "hide_name": 1,
- "bits": [ 1347 ],
- "attributes": {
- }
- },
- "$abc$8880$n621": {
- "hide_name": 1,
- "bits": [ 1356 ],
- "attributes": {
- }
- },
- "$abc$8880$n622": {
- "hide_name": 1,
- "bits": [ 1357 ],
- "attributes": {
- }
- },
- "$abc$8880$n623": {
- "hide_name": 1,
- "bits": [ 1351 ],
- "attributes": {
- }
- },
- "$abc$8880$n624": {
- "hide_name": 1,
- "bits": [ 1352 ],
- "attributes": {
- }
- },
- "$abc$8880$n625": {
- "hide_name": 1,
- "bits": [ 1361 ],
- "attributes": {
- }
- },
- "$abc$8880$n626": {
- "hide_name": 1,
- "bits": [ 1362 ],
- "attributes": {
- }
- },
- "$abc$8880$n627": {
- "hide_name": 1,
- "bits": [ 1371 ],
- "attributes": {
- }
- },
- "$abc$8880$n628": {
- "hide_name": 1,
- "bits": [ 1372 ],
- "attributes": {
- }
- },
- "$abc$8880$n629": {
- "hide_name": 1,
- "bits": [ 1366 ],
- "attributes": {
- }
- },
- "$abc$8880$n630": {
- "hide_name": 1,
- "bits": [ 1367 ],
- "attributes": {
- }
- },
- "$abc$8880$n631": {
- "hide_name": 1,
- "bits": [ 1381 ],
- "attributes": {
- }
- },
- "$abc$8880$n632": {
- "hide_name": 1,
- "bits": [ 1382 ],
- "attributes": {
- }
- },
- "$abc$8880$n633": {
- "hide_name": 1,
- "bits": [ 1376 ],
- "attributes": {
- }
- },
- "$abc$8880$n634": {
- "hide_name": 1,
- "bits": [ 1377 ],
- "attributes": {
- }
- },
- "$abc$8880$n635": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$8880$n638": {
- "hide_name": 1,
- "bits": [ 290 ],
- "attributes": {
- }
- },
- "$abc$8880$n640": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$8880$n642": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$8880$n644": {
- "hide_name": 1,
- "bits": [ 390 ],
- "attributes": {
- }
- },
- "$abc$8880$n646": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$8880$n648": {
- "hide_name": 1,
- "bits": [ 397 ],
- "attributes": {
- }
- },
- "$abc$8880$n650": {
- "hide_name": 1,
- "bits": [ 404 ],
- "attributes": {
- }
- },
- "$abc$8880$n652": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$8880$n673": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$8880$n677": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$8880$n679": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$8880$n681": {
- "hide_name": 1,
- "bits": [ 394 ],
- "attributes": {
- }
- },
- "$abc$8880$n683": {
- "hide_name": 1,
- "bits": [ 379 ],
- "attributes": {
- }
- },
- "$abc$8880$n685": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$8880$n687": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$8880$n689": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$8880$n7": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$8880$n767": {
- "hide_name": 1,
- "bits": [ 574 ],
- "attributes": {
- }
- },
- "$abc$8880$n770": {
- "hide_name": 1,
- "bits": [ 578 ],
- "attributes": {
- }
- },
- "$abc$8880$n773": {
- "hide_name": 1,
- "bits": [ 587 ],
- "attributes": {
- }
- },
- "$abc$8880$n775": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$8880$n777": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$8880$n779": {
- "hide_name": 1,
- "bits": [ 596 ],
- "attributes": {
- }
- },
- "$abc$8880$n781": {
- "hide_name": 1,
- "bits": [ 598 ],
- "attributes": {
- }
- },
- "$abc$8880$n783": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$8880$n785": {
- "hide_name": 1,
- "bits": [ 602 ],
- "attributes": {
- }
- },
- "$abc$8880$n787": {
- "hide_name": 1,
- "bits": [ 604 ],
- "attributes": {
- }
- },
- "$abc$8880$n789": {
- "hide_name": 1,
- "bits": [ 606 ],
- "attributes": {
- }
- },
- "$abc$8880$n791": {
- "hide_name": 1,
- "bits": [ 608 ],
- "attributes": {
- }
- },
- "$abc$8880$n798": {
- "hide_name": 1,
- "bits": [ 610 ],
- "attributes": {
- }
- },
- "$abc$8880$n799": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$8880$n800": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$8880$n802": {
- "hide_name": 1,
- "bits": [ 614 ],
- "attributes": {
- }
- },
- "$abc$8880$n828": {
- "hide_name": 1,
- "bits": [ 1394 ],
- "attributes": {
- }
- },
- "$abc$8880$n829": {
- "hide_name": 1,
- "bits": [ 1392 ],
- "attributes": {
- }
- },
- "$abc$8880$n830": {
- "hide_name": 1,
- "bits": [ 1404 ],
- "attributes": {
- }
- },
- "$abc$8880$n831": {
- "hide_name": 1,
- "bits": [ 1402 ],
- "attributes": {
- }
- },
- "$abc$8880$n832": {
- "hide_name": 1,
- "bits": [ 1409 ],
- "attributes": {
- }
- },
- "$abc$8880$n833": {
- "hide_name": 1,
- "bits": [ 1407 ],
- "attributes": {
- }
- },
- "$abc$8880$n836": {
- "hide_name": 1,
- "bits": [ 623 ],
- "attributes": {
- }
- },
- "$abc$8880$n839": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$8880$n842": {
- "hide_name": 1,
- "bits": [ 644 ],
- "attributes": {
- }
- },
- "$abc$8880$n845": {
- "hide_name": 1,
- "bits": [ 652 ],
- "attributes": {
- }
- },
- "$abc$8880$n848": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$8880$n851": {
- "hide_name": 1,
- "bits": [ 668 ],
- "attributes": {
- }
- },
- "$abc$8880$n854": {
- "hide_name": 1,
- "bits": [ 676 ],
- "attributes": {
- }
- },
- "$abc$8880$n857": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$8880$n860": {
- "hide_name": 1,
- "bits": [ 692 ],
- "attributes": {
- }
- },
- "$abc$8880$n863": {
- "hide_name": 1,
- "bits": [ 700 ],
- "attributes": {
- }
- },
- "$abc$8880$n866": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$8880$n869": {
- "hide_name": 1,
- "bits": [ 716 ],
- "attributes": {
- }
- },
- "$abc$8880$n870": {
- "hide_name": 1,
- "bits": [ 13 ],
- "attributes": {
- }
- },
- "$abc$8880$n871": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$8880$n872": {
- "hide_name": 1,
- "bits": [ 724 ],
- "attributes": {
- }
- },
- "$abc$8880$n872_1": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$8880$n874": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$8880$n875": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$8880$n875_1": {
- "hide_name": 1,
- "bits": [ 34 ],
- "attributes": {
- }
- },
- "$abc$8880$n878": {
- "hide_name": 1,
- "bits": [ 740 ],
- "attributes": {
- }
- },
- "$abc$8880$n878_1": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$8880$n880": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$8880$n881": {
- "hide_name": 1,
- "bits": [ 748 ],
- "attributes": {
- }
- },
- "$abc$8880$n881_1": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$8880$n882": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$8880$n883": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$8880$n885": {
- "hide_name": 1,
- "bits": [ 66 ],
- "attributes": {
- }
- },
- "$abc$8880$n887": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$8880$n888": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$8880$n890": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$8880$n891": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$8880$n893": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$8880$n894": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$8880$n895": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$8880$n896": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$8880$n898": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$8880$n899": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$8880$n900": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$8880$n902": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$8880$n904": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$8880$n906": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$8880$n907": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$8880$n908": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$8880$n909": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$8880$n911": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$8880$n912": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$8880$n913": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$8880$n914_1": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$8880$n915_1": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$8880$n916": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$8880$n917_1": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$8880$n918_1": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$8880$n919": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$8880$n920_1": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$8880$n922": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$8880$n923_1": {
- "hide_name": 1,
- "bits": [ 116 ],
- "attributes": {
- }
- },
- "$abc$8880$n924_1": {
- "hide_name": 1,
- "bits": [ 117 ],
- "attributes": {
- }
- },
- "$abc$8880$n925": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$8880$n926_1": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$8880$n927_1": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$8880$n928": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$8880$n929_1": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$8880$n930_1": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$8880$n931": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$8880$n932_1": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$8880$n933_1": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$8880$n934": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$8880$n936_1": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$8880$n937": {
- "hide_name": 1,
- "bits": [ 133 ],
- "attributes": {
- }
- },
- "$abc$8880$n938_1": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$8880$n939_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$8880$n940": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$8880$n941_1": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$8880$n943": {
- "hide_name": 1,
- "bits": [ 50 ],
- "attributes": {
- }
- },
- "$abc$8880$n944_1": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$8880$n945_1": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$8880$n946": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$8880$n947_1": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$8880$n948_1": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$8880$n949": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$8880$n950_1": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$8880$n951_1": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$8880$n952": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$8880$n953_1": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$8880$n954_1": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$8880$n956_1": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$8880$n957_1": {
- "hide_name": 1,
- "bits": [ 156 ],
- "attributes": {
- }
- },
- "$abc$8880$n958": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$8880$n959_1": {
- "hide_name": 1,
- "bits": [ 158 ],
- "attributes": {
- }
- },
- "$abc$8880$n960_1": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$8880$n961": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$8880$n962_1": {
- "hide_name": 1,
- "bits": [ 161 ],
- "attributes": {
- }
- },
- "$abc$8880$n964_1": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$8880$n965_1": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$8880$n966_1": {
- "hide_name": 1,
- "bits": [ 51 ],
- "attributes": {
- }
- },
- "$abc$8880$n969_1": {
- "hide_name": 1,
- "bits": [ 167 ],
- "attributes": {
- }
- },
- "$abc$8880$n971_1": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$8880$n972_1": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$8880$n973_1": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$8880$n974_1": {
- "hide_name": 1,
- "bits": [ 170 ],
- "attributes": {
- }
- },
- "$abc$8880$n975_1": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$8880$n976_1": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$8880$n977_1": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$8880$n978_1": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$8880$n979_1": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$8880$n982_1": {
- "hide_name": 1,
- "bits": [ 185 ],
- "attributes": {
- }
- },
- "$abc$8880$n983_1": {
- "hide_name": 1,
- "bits": [ 186 ],
- "attributes": {
- }
- },
- "$abc$8880$n984_1": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$8880$n985_1": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$8880$n986_1": {
- "hide_name": 1,
- "bits": [ 182 ],
- "attributes": {
- }
- },
- "$abc$8880$n987_1": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$8880$n988_1": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$8880$n989_1": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$8880$n990_1": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$8880$n992_1": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$8880$n994": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$8880$n995": {
- "hide_name": 1,
- "bits": [ 208 ],
- "attributes": {
- }
- },
- "$abc$8880$n996": {
- "hide_name": 1,
- "bits": [ 214 ],
- "attributes": {
- }
- },
- "$abc$8880$n997": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.C": {
- "hide_name": 1,
- "bits": [ 1778, 1779, 1780, 1781, 1782, 1783, 1485, 1486, 1487, 1488 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.C": {
- "hide_name": 1,
- "bits": [ 1784, 1785, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.C": {
- "hide_name": 1,
- "bits": [ 1786, 1787, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.C": {
- "hide_name": 1,
- "bits": [ 1788, 1506, 1508, 1510, 1512, 1514, 1516, 1518, 1520, 1789, 1790, 1791, 1792, 1793, 1794, 1795 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.C": {
- "hide_name": 1,
- "bits": [ 1796, 1797, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1798, 1799, 1800, 1801, 1802, 1803, 1804 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.C": {
- "hide_name": 1,
- "bits": [ 1805, 1529, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1530, 1531, 1532, 1533, 1534, 1535 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.C": {
- "hide_name": 1,
- "bits": [ 1806, 1544, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1545, 1546, 1547, 1548, 1549, 1550 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.C": {
- "hide_name": 1,
- "bits": [ 1807, 1559, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1560, 1561, 1562, 1563, 1564, 1565 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.C": {
- "hide_name": 1,
- "bits": [ 1808, 1809, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1574, 1575, 1577, 1578, 1579, 1580 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.C": {
- "hide_name": 1,
- "bits": [ 1810, 1811, 1590, 1592 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.C": {
- "hide_name": 1,
- "bits": [ 1812, 1813, 1607, 1609, 1611, 1613, 1615, 1617, 1619, 1621, 1595, 1597, 1599, 1601, 1603, 1605 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.C": {
- "hide_name": 1,
- "bits": [ 1814, 1815, 1624, 1626 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.C": {
- "hide_name": 1,
- "bits": [ 1816, 1817, 1628, 1629, 1630, 1631 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.C": {
- "hide_name": 1,
- "bits": [ 1818, 1819, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.C": {
- "hide_name": 1,
- "bits": [ 1820, 1821, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1657 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.C": {
- "hide_name": 1,
- "bits": [ 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1659, 1661 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2377.C": {
- "hide_name": 1,
- "bits": [ 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1669, 1670, 1663, 1664, 1665, 1666, 1667, 1668 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$techmap912\\vga.vram.mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1749, 1750, 1751, 1837, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1838, 1759, 1760, 1761, 1762 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap914\\vga.vram.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1721, 1722, 1723, 1839, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1840, 1731, 1732, 1733, 1734 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap916\\vga.vram.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1735, 1736, 1737, 1841, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1842, 1745, 1746, 1747, 1748 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap918\\vga.vram.mem.3.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1763, 1764, 1765, 1843, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1844, 1774, 1775, 1776, 1777 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap920\\vga.pixeldata0.pattern_rom.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1709, 1845, 1710, 1711, 1712, 1846, 1713, 1714, 1715, 1847, 1716, 1717, 1718, 1848, 1719, 1720 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap923\\vga.pixeldata0.pattern_rom.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1697, 1849, 1698, 1699, 1700, 1850, 1701, 1702, 1703, 1851, 1704, 1705, 1706, 1852, 1707, 1708 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap\\cpu.$0\\ex_branch_tgt[15:0]": {
- "hide_name": 1,
- "bits": [ 1505, 1507, 1509, 1511, 1513, 1515, 1517, 1519, 1521, 1853, 1854, 1855, 1856, 1857, 1858, 1859 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201"
- }
- },
- "$techmap\\sdi.$add$hdl/spi_debug_ifc.v:45$36_Y": {
- "hide_name": 1,
- "bits": [ 1589, 1860, 1591, 1593 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45"
- }
- },
- "$techmap\\vga.pixeldata0.$2\\next_ppos[3:0]": {
- "hide_name": 1,
- "bits": [ 1623, 1861, 1625, 1627 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:64"
- }
- },
- "$techmap\\vga.vga0.$add$hdl/vga/vga.v:70$134_Y": {
- "hide_name": 1,
- "bits": [ 1632, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1641 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70"
- }
- },
- "$techmap\\vga.vga0.$add$hdl/vga/vga.v:76$135_Y": {
- "hide_name": 1,
- "bits": [ 1642, 1870, 1644, 1646, 1648, 1650, 1652, 1654, 1656, 1658 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76"
- }
- },
- "clk12m": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:23"
- }
- },
- "clk12m_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "hdl/ice40.v:9"
- }
- },
- "clk25m": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:24"
- }
- },
- "cpu.alu.op": {
- "hide_name": 0,
- "bits": [ 166, 165, 164 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:279"
- }
- },
- "cpu.alu.r": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:285"
- }
- },
- "cpu.alu.rdata": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:282"
- }
- },
- "cpu.alu.xdata": {
- "hide_name": 0,
- "bits": [ 63, 77, 83, 88, 96, 114, 131, 154, 350, 346, 338, 334, 220, 209, 231, 242 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:280"
- }
- },
- "cpu.alu.ydata": {
- "hide_name": 0,
- "bits": [ 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:281"
- }
- },
- "cpu.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:7"
- }
- },
- "cpu.dat_rd_req": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:16"
- }
- },
- "cpu.dat_rw_addr": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:13"
- }
- },
- "cpu.dat_wr_data": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:14"
- }
- },
- "cpu.dat_wr_req": {
- "hide_name": 0,
- "bits": [ 67 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:17"
- }
- },
- "cpu.do_adata_zero": {
- "hide_name": 0,
- "bits": [ 454 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:89"
- }
- },
- "cpu.do_bdata_imm": {
- "hide_name": 0,
- "bits": [ 456 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:90"
- }
- },
- "cpu.do_branch_imm": {
- "hide_name": 0,
- "bits": [ 561 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:92"
- }
- },
- "cpu.do_mem_read": {
- "hide_name": 0,
- "bits": [ 563 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:97"
- }
- },
- "cpu.do_mem_write": {
- "hide_name": 0,
- "bits": [ 564 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:98"
- }
- },
- "cpu.do_set_ext": {
- "hide_name": 0,
- "bits": [ 565 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:99"
- }
- },
- "cpu.do_use_imm9_or_imm6": {
- "hide_name": 0,
- "bits": [ 454 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:96"
- }
- },
- "cpu.do_wr_link": {
- "hide_name": 0,
- "bits": [ 990 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:91"
- }
- },
- "cpu.do_wreg_alu": {
- "hide_name": 0,
- "bits": [ 460 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:87"
- }
- },
- "cpu.ex_adata": {
- "hide_name": 0,
- "bits": [ 71, 78, 84, 89, 97, 115, 132, 155, 351, 347, 339, 335, 222, 211, 233, 244 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:167"
- }
- },
- "cpu.ex_alu_op": {
- "hide_name": 0,
- "bits": [ 166, 165, 164 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:185"
- }
- },
- "cpu.ex_alu_rdata": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:169"
- }
- },
- "cpu.ex_bdata": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:168"
- }
- },
- "cpu.ex_branch_tgt": {
- "hide_name": 0,
- "bits": [ 272, 292, 298, 266, 391, 376, 398, 405, 251, "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:184"
- }
- },
- "cpu.ex_do_adata_zero": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:189"
- }
- },
- "cpu.ex_do_bdata_imm": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:190"
- }
- },
- "cpu.ex_do_branch_imm": {
- "hide_name": 0,
- "bits": [ 253 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:192"
- }
- },
- "cpu.ex_do_mem_read": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:196"
- }
- },
- "cpu.ex_do_mem_write": {
- "hide_name": 0,
- "bits": [ 67 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:197"
- }
- },
- "cpu.ex_do_wreg_alu": {
- "hide_name": 0,
- "bits": [ 1013 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:187"
- }
- },
- "cpu.ex_imm": {
- "hide_name": 0,
- "bits": [ 81, 75, 79, 64, 99, 118, 138, 162, 349, 345, 337, 333, 224, 213, 235, 246 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:199"
- }
- },
- "cpu.ex_wsel": {
- "hide_name": 0,
- "bits": [ 1010, 1012, 1011 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:186"
- }
- },
- "cpu.ins_rd_data": {
- "hide_name": 0,
- "bits": [ 1345, 1350, 1355, 1360, 1365, 1370, 1375, 1380, 1385, 1390, 1395, 1400, 1405, 1410, 1415, 1420 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:9"
- }
- },
- "cpu.ins_rd_rdy": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:11"
- }
- },
- "cpu.ir": {
- "hide_name": 0,
- "bits": [ 459, 458, 457, 455, 609, 611, 583, 579, 588, 592, 632, 624, 626, 615, 571, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:32"
- }
- },
- "cpu.ir_alu_op": {
- "hide_name": 0,
- "bits": [ 616, 617, 618 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:77"
- }
- },
- "cpu.ir_asel": {
- "hide_name": 0,
- "bits": [ 579, 588, 592 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:75"
- }
- },
- "cpu.ir_bsel": {
- "hide_name": 0,
- "bits": [ 632, 624, 626 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:76"
- }
- },
- "cpu.ir_csel": {
- "hide_name": 0,
- "bits": [ 609, 611, 583 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:74"
- }
- },
- "cpu.ir_ext_imm": {
- "hide_name": 0,
- "bits": [ 572, 582, 584, 589, 593, 595, 597, 599, 601, 603, 605, 607 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:80"
- }
- },
- "cpu.ir_ext_rdy": {
- "hide_name": 0,
- "bits": [ 575 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:81"
- }
- },
- "cpu.ir_imm_s11": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 609, 611, 583, 579, 588, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:72"
- }
- },
- "cpu.ir_imm_s12": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 609, 611, 583, 579, 588, 592, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:73"
- }
- },
- "cpu.ir_imm_s6": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:83"
- }
- },
- "cpu.ir_imm_s6_raw": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 581, 581, 581, 581, 581, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:69"
- }
- },
- "cpu.ir_imm_s7": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 583, 581, 581, 581, 581, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:70"
- }
- },
- "cpu.ir_imm_s9": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 1871, 1883, 1884, 1885, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:84"
- }
- },
- "cpu.ir_imm_s9_raw": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 579, 588, 592, 581, 581, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:71"
- }
- },
- "cpu.ir_opcode": {
- "hide_name": 0,
- "bits": [ 459, 458, 457, 455 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:78"
- }
- },
- "cpu.ir_valid": {
- "hide_name": 0,
- "bits": [ 1008 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:33"
- }
- },
- "cpu.ir_valid_next": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:29"
- }
- },
- "cpu.pc": {
- "hide_name": 0,
- "bits": [ 274, 294, 300, 268, 393, 378, 400, 407, 254, "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:31"
- }
- },
- "cpu.regs.adata": {
- "hide_name": 0,
- "bits": [ 71, 78, 84, 89, 97, 115, 132, 155, 351, 347, 339, 335, 222, 211, 233, 244 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:257"
- }
- },
- "cpu.regs.areg": {
- "hide_name": 0,
- "bits": [ 71, 78, 84, 89, 97, 115, 132, 155, 351, 347, 339, 335, 222, 211, 233, 244 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:262"
- }
- },
- "cpu.regs.asel": {
- "hide_name": 0,
- "bits": [ 579, 588, 592 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:252"
- }
- },
- "cpu.regs.bdata": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:258"
- }
- },
- "cpu.regs.breg": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:263"
- }
- },
- "cpu.regs.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:251"
- }
- },
- "cpu.regs.rmem[0]": {
- "hide_name": 0,
- "bits": [ 631, 640, 648, 656, 664, 672, 680, 688, 696, 704, 712, 720, 728, 736, 744, 752 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[1]": {
- "hide_name": 0,
- "bits": [ 1421, 1424, 1427, 1430, 1433, 1436, 1439, 1442, 1445, 1448, 1451, 1454, 1457, 1460, 1463, 1466 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[2]": {
- "hide_name": 0,
- "bits": [ 630, 639, 647, 655, 663, 671, 679, 687, 695, 703, 711, 719, 727, 735, 743, 751 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[3]": {
- "hide_name": 0,
- "bits": [ 807, 813, 819, 825, 831, 837, 843, 849, 855, 861, 867, 873, 879, 885, 891, 897 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[4]": {
- "hide_name": 0,
- "bits": [ 629, 638, 646, 654, 662, 670, 678, 686, 694, 702, 710, 718, 726, 734, 742, 750 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[5]": {
- "hide_name": 0,
- "bits": [ 1422, 1425, 1428, 1431, 1434, 1437, 1440, 1443, 1446, 1449, 1452, 1455, 1458, 1461, 1464, 1467 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[6]": {
- "hide_name": 0,
- "bits": [ 628, 637, 645, 653, 661, 669, 677, 685, 693, 701, 709, 717, 725, 733, 741, 749 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[7]": {
- "hide_name": 0,
- "bits": [ 808, 814, 820, 826, 832, 838, 844, 850, 856, 862, 868, 874, 880, 886, 892, 898 ],
- "attributes": {
- }
- },
- "cpu.regs.wdata": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:256"
- }
- },
- "cpu.regs.wreg": {
- "hide_name": 0,
- "bits": [ 1013 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:255"
- }
- },
- "cpu.regs.wsel": {
- "hide_name": 0,
- "bits": [ 1010, 1012, 1011 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:254"
- }
- },
- "cpu.reset": {
- "hide_name": 0,
- "bits": [ 249 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:21"
- }
- },
- "cpu_reset": {
- "hide_name": 0,
- "bits": [ 249 ],
- "attributes": {
- "src": "hdl/ice40.v:39"
- }
- },
- "cs1w": {
- "hide_name": 0,
- "bits": [ 504 ],
- "attributes": {
- "src": "hdl/ice40.v:136"
- }
- },
- "dat_rd_req": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "hdl/ice40.v:48"
- }
- },
- "dat_rw_addr": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:46"
- }
- },
- "dat_wr_data": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:49"
- }
- },
- "dat_wr_req": {
- "hide_name": 0,
- "bits": [ 67 ],
- "attributes": {
- "src": "hdl/ice40.v:50"
- }
- },
- "dbg_waddr": {
- "hide_name": 0,
- "bits": [ 313, 280, 302, 257, 380, 366, 183, 46, 503, 514, 525, 1576, 216, 205, 227, 238 ],
- "attributes": {
- "src": "hdl/ice40.v:98"
- }
- },
- "dbg_wdata": {
- "hide_name": 0,
- "bits": [ 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557 ],
- "attributes": {
- "src": "hdl/ice40.v:99"
- }
- },
- "ins_rd_data": {
- "hide_name": 0,
- "bits": [ 1345, 1350, 1355, 1360, 1365, 1370, 1375, 1380, 1385, 1390, 1395, 1400, 1405, 1410, 1415, 1420 ],
- "attributes": {
- "src": "hdl/ice40.v:43"
- }
- },
- "ins_rd_rdy": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "hdl/ice40.v:60"
- }
- },
- "out1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:19"
- }
- },
- "out2": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:20"
- }
- },
- "pll0.clk12m_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:2"
- }
- },
- "pll0.clk12m_out": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:3"
- }
- },
- "pll0.clk25m_out": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:4"
- }
- },
- "pll0.lock": {
- "hide_name": 0,
- "bits": [ 1689 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:6",
- "unused_bits": "0"
- }
- },
- "ram0.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:187"
- }
- },
- "ram0.ra": {
- "hide_name": 0,
- "bits": [ 443, 445, 451, 450, 449, 439, 452, 453, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:198"
- }
- },
- "ram0.waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526, "x", 1886, 1887, 1888, 1889 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:191"
- }
- },
- "ram0.wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:192"
- }
- },
- "ram0.we": {
- "hide_name": 0,
- "bits": [ 1002 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:193"
- }
- },
- "ram1.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:187"
- }
- },
- "ram1.ra": {
- "hide_name": 0,
- "bits": [ 270, 291, 296, 196, 389, 373, 395, 402, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:198"
- }
- },
- "ram1.waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526, "x", 1886, 1887, 1888, 1889 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:191"
- }
- },
- "ram1.wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:192"
- }
- },
- "ram1.we": {
- "hide_name": 0,
- "bits": [ 1003 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:193"
- }
- },
- "sdi.addr": {
- "hide_name": 0,
- "bits": [ 313, 280, 302, 257, 380, 366, 183, 46, 503, 514, 525, 1576, 216, 205, 227, 238 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:73"
- }
- },
- "sdi.addr_next": {
- "hide_name": 0,
- "bits": [ 755, 757, 760, 763, 766, 769, 772, 775, 778, 781, 784, 787, 790, 793, 796, 799 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:77"
- }
- },
- "sdi.data": {
- "hide_name": 0,
- "bits": [ 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:74"
- }
- },
- "sdi.data_next": {
- "hide_name": 0,
- "bits": [ 754, 756, 759, 762, 765, 768, 771, 774, 777, 780, 783, 786, 789, 792, 795, 798 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:78"
- }
- },
- "sdi.delay": {
- "hide_name": 0,
- "bits": [ 418, 419, 420, 421, 414, 415, 416, 417, 426, 427, 428, 429, 422, 423, 424, 425 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:83"
- }
- },
- "sdi.delay_next": {
- "hide_name": 0,
- "bits": [ 1594, 1280, 1608, 1610, 1612, 1614, 1616, 1618, 1620, 1622, 1596, 1598, 1600, 1602, 1604, 1606 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:84"
- }
- },
- "sdi.enabled": {
- "hide_name": 0,
- "bits": [ 178 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:72"
- }
- },
- "sdi.enabled_next": {
- "hide_name": 0,
- "bits": [ 413 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:79"
- }
- },
- "sdi.spi_clk": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:7"
- }
- },
- "sdi.spi_count": {
- "hide_name": 0,
- "bits": [ 461, 465, 466, 467 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:19"
- }
- },
- "sdi.spi_cs_i": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:8"
- }
- },
- "sdi.spi_data": {
- "hide_name": 0,
- "bits": [ 754, 756, 759, 762, 765, 768, 771, 774, 777, 780, 783, 786, 789, 792, 795, 798, 471 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:18"
- }
- },
- "sdi.spi_data_i": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:9"
- }
- },
- "sdi.spi_data_next": {
- "hide_name": 0,
- "bits": [ 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 8, 1671 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:28"
- }
- },
- "sdi.spi_flag": {
- "hide_name": 0,
- "bits": [ 1671 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:21"
- }
- },
- "sdi.spi_flag_next": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:31"
- }
- },
- "sdi.spi_next": {
- "hide_name": 0,
- "bits": [ 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 8 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:25"
- }
- },
- "sdi.spi_shift": {
- "hide_name": 0,
- "bits": [ "x", 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686 ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:17"
- }
- },
- "sdi.spi_shift_next": {
- "hide_name": 0,
- "bits": [ "x", 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 8 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:27"
- }
- },
- "sdi.spi_signal": {
- "hide_name": 0,
- "bits": [ 1286 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:20"
- }
- },
- "sdi.spi_signal_next": {
- "hide_name": 0,
- "bits": [ 1287 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:30"
- }
- },
- "sdi.sync_spi_sys.a": {
- "hide_name": 0,
- "bits": [ 1688 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:142"
- }
- },
- "sdi.sync_spi_sys.b": {
- "hide_name": 0,
- "bits": [ 1687 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145"
- }
- },
- "sdi.sync_spi_sys.c": {
- "hide_name": 0,
- "bits": [ 474 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145"
- }
- },
- "sdi.sync_spi_sys.rxclk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:138"
- }
- },
- "sdi.sync_spi_sys.rxdat": {
- "hide_name": 0,
- "bits": [ 474 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:139"
- }
- },
- "sdi.sync_spi_sys.txclk": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:136"
- }
- },
- "sdi.sync_spi_sys.txdat": {
- "hide_name": 0,
- "bits": [ 1286 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:137"
- }
- },
- "sdi.sys_clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:11"
- }
- },
- "sdi.sys_signal": {
- "hide_name": 0,
- "bits": [ 474 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:62"
- }
- },
- "sdi.sys_signal_ack": {
- "hide_name": 0,
- "bits": [ 473 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:71"
- }
- },
- "sdi.sys_signal_ack_next": {
- "hide_name": 0,
- "bits": [ 1285 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:80"
- }
- },
- "sdi.sys_waddr_o": {
- "hide_name": 0,
- "bits": [ 313, 280, 302, 257, 380, 366, 183, 46, 503, 514, 525, 1576, 216, 205, 227, 238 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:13"
- }
- },
- "sdi.sys_wdata_o": {
- "hide_name": 0,
- "bits": [ 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:14"
- }
- },
- "sdi.wr": {
- "hide_name": 0,
- "bits": [ 177 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:75"
- }
- },
- "sdi.wr_next": {
- "hide_name": 0,
- "bits": [ 470 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:81"
- }
- },
- "spi_clk": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:17"
- }
- },
- "spi_cs": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "hdl/ice40.v:18"
- }
- },
- "spi_miso": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "hdl/ice40.v:16"
- }
- },
- "spi_mosi": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hdl/ice40.v:15"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:37"
- }
- },
- "vb": {
- "hide_name": 0,
- "bits": [ 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:163"
- }
- },
- "vg": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:163"
- }
- },
- "vga.advance": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:23"
- }
- },
- "vga.b": {
- "hide_name": 0,
- "bits": [ 485, 485, 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:20"
- }
- },
- "vga.blu": {
- "hide_name": 0,
- "bits": [ 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:8"
- }
- },
- "vga.clk25m": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:5"
- }
- },
- "vga.g": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:19"
- }
- },
- "vga.grn": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:7"
- }
- },
- "vga.hs": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:9"
- }
- },
- "vga.line": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:24"
- }
- },
- "vga.newline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:22"
- }
- },
- "vga.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 559, 559, 559, 559, 559, 559, 559, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:25"
- }
- },
- "vga.pixeldata0.advance": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:17"
- }
- },
- "vga.pixeldata0.cdata": {
- "hide_name": 0,
- "bits": [ 952, 955, 960, 965, 970, 975, 980, 985 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:54"
- }
- },
- "vga.pixeldata0.clk": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:15"
- }
- },
- "vga.pixeldata0.line": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:18"
- }
- },
- "vga.pixeldata0.load": {
- "hide_name": 0,
- "bits": [ 484 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:37"
- }
- },
- "vga.pixeldata0.newline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:16"
- }
- },
- "vga.pixeldata0.next_pattern": {
- "hide_name": 0,
- "bits": [ "x", 953, 956, 958, 961, 963, 966, 968, 971, 973, 976, 978, 981, 983, 986, 988 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:35"
- }
- },
- "vga.pixeldata0.next_xpos": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:33"
- }
- },
- "vga.pixeldata0.pattern": {
- "hide_name": 0,
- "bits": [ 951, 954, 957, 959, 962, 964, 967, 969, 972, 974, 977, 979, 982, 984, 987, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:40"
- }
- },
- "vga.pixeldata0.pattern_addr": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 1690, 1691, 1692, 1693, 1694, 1695, 1696 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:49"
- }
- },
- "vga.pixeldata0.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 559, 559, 559, 559, 559, 559, 559, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:19"
- }
- },
- "vga.pixeldata0.ppos": {
- "hide_name": 0,
- "bits": [ 489, 928, 929, 930 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:39"
- }
- },
- "vga.pixeldata0.vram_addr": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:21"
- }
- },
- "vga.pixeldata0.vram_data": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:20"
- }
- },
- "vga.pixeldata0.xpos": {
- "hide_name": 0,
- "bits": [ 923, 932, 935, 939, 943, 947 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:38"
- }
- },
- "vga.r": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:18"
- }
- },
- "vga.red": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:6"
- }
- },
- "vga.vga0.active": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:29"
- }
- },
- "vga.vga0.adjusted_vcount": {
- "hide_name": 0,
- "bits": [ "x", 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- }
- },
- "vga.vga0.advance": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:21"
- }
- },
- "vga.vga0.b": {
- "hide_name": 0,
- "bits": [ 485, 485, 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:18"
- }
- },
- "vga.vga0.clk": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:12"
- }
- },
- "vga.vga0.g": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:17"
- }
- },
- "vga.vga0.hcount": {
- "hide_name": 0,
- "bits": [ 28, 29, 38, 37, 30, 32, 33, 36, 39, 35 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:31"
- }
- },
- "vga.vga0.hs": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:13"
- }
- },
- "vga.vga0.hsync": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:26"
- }
- },
- "vga.vga0.line": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:22"
- }
- },
- "vga.vga0.newline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:20"
- }
- },
- "vga.vga0.next_hsync": {
- "hide_name": 0,
- "bits": [ 1252 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:34"
- }
- },
- "vga.vga0.next_startline": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:38"
- }
- },
- "vga.vga0.next_vcount": {
- "hide_name": 0,
- "bits": [ "x", 900, 902, 904, 906, 1278, 910, 912, 914, "x" ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:40"
- }
- },
- "vga.vga0.next_vsync": {
- "hide_name": 0,
- "bits": [ 1252 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:35"
- }
- },
- "vga.vga0.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 559, 559, 559, 559, 559, 559, 559, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:23"
- }
- },
- "vga.vga0.r": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:16"
- }
- },
- "vga.vga0.startline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:30"
- }
- },
- "vga.vga0.vcount": {
- "hide_name": 0,
- "bits": [ 24, 26, 17, 25, 20, 21, 22, 23, 18, 15 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:32"
- }
- },
- "vga.vga0.vs": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:14"
- }
- },
- "vga.vga0.vsync": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:27"
- }
- },
- "vga.vram.data": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:18"
- }
- },
- "vga.vram.raddr": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:13"
- }
- },
- "vga.vram.rclk": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:12"
- }
- },
- "vga.vram.rdata": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:14"
- }
- },
- "vga.vram.waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:10"
- }
- },
- "vga.vram.wclk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:9"
- }
- },
- "vga.vram.wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:11"
- }
- },
- "vga.vram.we": {
- "hide_name": 0,
- "bits": [ 1006 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:9"
- }
- },
- "vga.vram_clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:12"
- }
- },
- "vga.vram_raddr": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:45"
- }
- },
- "vga.vram_rdata": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:46"
- }
- },
- "vga.vram_waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:13"
- }
- },
- "vga.vram_wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:14"
- }
- },
- "vga.vram_we": {
- "hide_name": 0,
- "bits": [ 1006 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:15"
- }
- },
- "vga.vs": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:10"
- }
- },
- "vga_b": {
- "hide_name": 0,
- "bits": [ 5, 5 ],
- "attributes": {
- "src": "hdl/ice40.v:12"
- }
- },
- "vga_g": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:11"
- }
- },
- "vga_hsync": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:13"
- }
- },
- "vga_r": {
- "hide_name": 0,
- "bits": [ 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:10"
- }
- },
- "vga_vsync": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:14"
- }
- },
- "vr": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:163"
- }
- },
- "waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526, "x", 1886, 1887, 1888, 1889 ],
- "attributes": {
- "src": "hdl/ice40.v:115"
- }
- },
- "wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "attributes": {
- "src": "hdl/ice40.v:116"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0148/ice40.json.gz b/ice40/regressions/issue0148/ice40.json.gz
new file mode 100644
index 0000000..72a5876
--- /dev/null
+++ b/ice40/regressions/issue0148/ice40.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0151/top.json b/ice40/regressions/issue0151/top.json
deleted file mode 100644
index c9f84e3..0000000
--- a/ice40/regressions/issue0151/top.json
+++ /dev/null
@@ -1,5376 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "in_n": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "out": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "$abc$71$auto$blifparse.cc:492:parse_blif$72": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$56": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 5 ],
- "D": [ 4 ],
- "Q": [ 3 ]
- }
- },
- "differential_clock_input": {
- "hide_name": 0,
- "type": "SB_GB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVDS_INPUT",
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:8"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 5 ],
- "PACKAGE_PIN": [ 2 ]
- }
- }
- },
- "netnames": {
- "$0\\cntr[0:0]": {
- "hide_name": 1,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:19"
- }
- },
- "clk": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "cntr": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:17"
- }
- },
- "in_n": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "out": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0151/top.json.gz b/ice40/regressions/issue0151/top.json.gz
new file mode 100644
index 0000000..dc37d1d
--- /dev/null
+++ b/ice40/regressions/issue0151/top.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0170/hx8kbb.json b/ice40/regressions/issue0170/hx8kbb.json
deleted file mode 100644
index 4e752e3..0000000
--- a/ice40/regressions/issue0170/hx8kbb.json
+++ /dev/null
@@ -1,49585 +0,0 @@
-{
- "creator": "Yosys 0.8+64 (git sha1 1bb728e, clang 3.8.0-2ubuntu4 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "hx8kbb": {
- "attributes": {
- "top": 1,
- "src": "hx8kbb.v:5"
- },
- "ports": {
- "BCLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LRCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SDIN": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SCLp": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "SCLn": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "CSp": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "CSn": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "DOp": {
- "direction": "output",
- "bits": [ 9 ]
- },
- "DOn": {
- "direction": "output",
- "bits": [ 10 ]
- }
- },
- "cells": {
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 11 ],
- "I1": [ 12 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 13 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 14 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 15 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 16 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 17 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 18 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 19 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 20 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 21 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 22 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 23 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 24 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 25 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 26 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 27 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 28 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 29 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 30 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 31 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 32 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 33 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 34 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 35 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 36 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 37 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 38 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 39 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 41 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 42 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 43 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 44 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 45 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 46 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 47 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 48 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 49 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 51 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 52 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 53 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 54 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 55 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 56 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 57 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 58 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 59 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 60 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 61 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 62 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 63 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 64 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 65 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 66 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 67 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 68 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 69 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 70 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 71 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 72 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 73 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 74 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 75 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 76 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 77 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 78 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 79 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 80 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 81 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 82 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 84 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 85 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 86 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 87 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 88 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 89 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 90 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 91 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 92 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 93 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 94 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 95 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 97 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 98 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 99 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 101 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 103 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 104 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 105 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 106 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 107 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 108 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 109 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 110 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 111 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 112 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 113 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 115 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 116 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 117 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 119 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 120 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 122 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 124 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 126 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 128 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 129 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 130 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 132 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 133 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 134 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 135 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 138 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 141 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 144 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 146 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 147 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 148 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 149 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 150 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 151 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 152 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 153 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 155 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 156 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 157 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 158 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 159 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 160 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 161 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 162 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 163 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 164 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 165 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 166 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 167 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 168 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 169 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 170 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 172 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 173 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 174 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 175 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 176 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 177 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 178 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 179 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 180 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 181 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 182 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 183 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 184 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 185 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 186 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 187 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 188 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 189 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 190 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 191 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 192 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 193 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 194 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 195 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 196 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 199 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 201 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 202 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 206 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 207 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 211 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 213 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 214 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 215 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 216 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 217 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 218 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 219 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 220 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 222 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 223 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 226 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 228 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 231 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 232 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 234 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 237 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 238 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 239 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 240 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 242 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 244 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 246 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 248 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 249 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 250 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 251 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 252 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 253 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 254 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 255 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 256 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 257 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 258 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 259 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 260 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 262 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 263 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 264 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 268 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 270 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 272 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 274 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 276 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 277 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 278 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 280 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 281 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 282 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 284 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 286 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 288 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 289 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 290 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 292 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 294 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 296 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 297 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 298 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 300 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 301 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 302 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 303 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 304 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 305 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 306 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 307 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 308 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 309 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 310 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 311 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 312 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 313 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 314 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 315 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 316 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 317 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 318 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 320 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 321 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 322 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 323 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 324 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 325 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 326 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 327 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 328 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 329 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 330 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 331 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 332 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 333 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 335 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 336 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 337 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 338 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 339 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 340 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 341 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 342 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 343 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 344 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 345 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 347 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 348 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 349 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 350 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 351 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 352 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 354 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 355 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 356 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 357 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 358 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 359 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 360 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 361 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 362 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 364 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 365 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 366 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 368 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 369 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 370 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 372 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 373 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 374 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 375 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 376 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 377 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 378 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 379 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 380 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 381 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 382 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 383 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 384 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 385 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 386 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 387 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 388 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 389 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 390 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 391 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 392 ],
- "I1": [ 393 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 394 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ 396 ],
- "I2": [ 397 ],
- "I3": [ "0" ],
- "O": [ 398 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 399 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 400 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 401 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 402 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 403 ],
- "I1": [ 404 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 405 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 406 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 407 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 408 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 409 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 412 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 413 ],
- "I3": [ "0" ],
- "O": [ 414 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 415 ],
- "I3": [ "0" ],
- "O": [ 416 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 417 ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 419 ],
- "I3": [ "0" ],
- "O": [ 420 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 421 ],
- "I3": [ "0" ],
- "O": [ 422 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 424 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 425 ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 427 ],
- "I3": [ "0" ],
- "O": [ 428 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 430 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 431 ],
- "I3": [ "0" ],
- "O": [ 432 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 433 ],
- "I3": [ "0" ],
- "O": [ 434 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ 436 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 437 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 439 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 440 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ 442 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 443 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 445 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 446 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 447 ],
- "I1": [ 448 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 449 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 451 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 452 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 453 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 455 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 456 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 457 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 459 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 461 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 462 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 463 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 464 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 465 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 466 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 467 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 469 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 472 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 473 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 475 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 479 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 480 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 481 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 482 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 483 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 485 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 486 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 487 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 489 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 490 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 491 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 492 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 493 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 495 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 496 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 497 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 498 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 499 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 501 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 502 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 503 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 505 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 506 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 507 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 509 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 510 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 513 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 515 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 517 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 519 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 520 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 521 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 523 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 525 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 526 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 527 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 528 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 529 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 531 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 532 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 533 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 534 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 535 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 536 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 537 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 538 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 539 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 540 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 541 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 543 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 544 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 545 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 547 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 548 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 549 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$12999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 550 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 551 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 552 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 553 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 555 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 556 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 557 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 558 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 559 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 563 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 564 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 565 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 569 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 570 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 571 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 572 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 574 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 575 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 576 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 579 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 580 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 581 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 582 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 583 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 585 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 586 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 587 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 588 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 591 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 593 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 594 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 595 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 596 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 597 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 598 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 599 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 600 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 601 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 603 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 604 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 605 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 607 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 608 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 609 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 610 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 611 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 612 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 615 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 616 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 617 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 618 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 619 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 620 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 621 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 622 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 623 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 624 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 625 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 628 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 629 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 631 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 632 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 633 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 634 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 635 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 636 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 637 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 638 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 639 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 640 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 641 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 642 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 643 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 646 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 647 ]
- }
- },
- "$abc$12689$auto$blifparse.cc:492:parse_blif$13199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4974": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 13 ],
- "Q": [ 406 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4975": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 15 ],
- "Q": [ 11 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4976": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 17 ],
- "Q": [ 14 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4977": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 19 ],
- "Q": [ 16 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4978": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 21 ],
- "Q": [ 18 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4979": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 23 ],
- "Q": [ 20 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4980": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 25 ],
- "Q": [ 22 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4981": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 27 ],
- "Q": [ 24 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4982": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 29 ],
- "Q": [ 26 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4983": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 31 ],
- "Q": [ 28 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4984": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 33 ],
- "Q": [ 30 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4985": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 35 ],
- "Q": [ 32 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4986": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 37 ],
- "Q": [ 34 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4987": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 39 ],
- "Q": [ 36 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4988": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 41 ],
- "Q": [ 38 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4989": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 43 ],
- "Q": [ 40 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4990": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 45 ],
- "Q": [ 42 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4991": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 47 ],
- "Q": [ 44 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4992": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 49 ],
- "Q": [ 46 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4993": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 51 ],
- "Q": [ 48 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4994": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 53 ],
- "Q": [ 50 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4995": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 55 ],
- "Q": [ 52 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4996": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 57 ],
- "Q": [ 54 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4997": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 59 ],
- "Q": [ 56 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4998": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 61 ],
- "Q": [ 58 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$4999": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 63 ],
- "Q": [ 60 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5000": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 65 ],
- "Q": [ 62 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5001": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 67 ],
- "Q": [ 64 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 69 ],
- "Q": [ 66 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 71 ],
- "Q": [ 68 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 73 ],
- "Q": [ 70 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 75 ],
- "Q": [ 72 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 77 ],
- "Q": [ 74 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 79 ],
- "Q": [ 76 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 81 ],
- "Q": [ 78 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 83 ],
- "Q": [ 80 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5010": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 85 ],
- "Q": [ 82 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5011": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 87 ],
- "Q": [ 84 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5012": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 89 ],
- "Q": [ 86 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5013": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 91 ],
- "Q": [ 88 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5014": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 93 ],
- "Q": [ 90 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5015": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 95 ],
- "Q": [ 92 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 97 ],
- "Q": [ 94 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 99 ],
- "Q": [ 96 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 101 ],
- "Q": [ 98 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 103 ],
- "Q": [ 100 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5020": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 105 ],
- "Q": [ 102 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 107 ],
- "Q": [ 104 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5022": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 109 ],
- "Q": [ 106 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5023": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 111 ],
- "Q": [ 108 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5024": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 113 ],
- "Q": [ 110 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5025": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 115 ],
- "Q": [ 112 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5026": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 117 ],
- "Q": [ 114 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 119 ],
- "Q": [ 116 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 121 ],
- "Q": [ 118 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 123 ],
- "Q": [ 120 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 125 ],
- "Q": [ 122 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 127 ],
- "Q": [ 124 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 129 ],
- "Q": [ 126 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 131 ],
- "Q": [ 128 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 133 ],
- "Q": [ 130 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5035": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 135 ],
- "Q": [ 132 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 137 ],
- "Q": [ 134 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5037": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 139 ],
- "Q": [ 136 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5038": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 141 ],
- "Q": [ 138 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5039": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 143 ],
- "Q": [ 140 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5040": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 145 ],
- "Q": [ 142 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5041": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 147 ],
- "Q": [ 144 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5042": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 149 ],
- "Q": [ 146 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5043": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 151 ],
- "Q": [ 148 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5044": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 153 ],
- "Q": [ 150 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5045": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 155 ],
- "Q": [ 152 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5046": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 157 ],
- "Q": [ 154 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5047": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 159 ],
- "Q": [ 156 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5048": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 161 ],
- "Q": [ 158 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5049": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 163 ],
- "Q": [ 160 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5050": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 165 ],
- "Q": [ 162 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5051": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 167 ],
- "Q": [ 164 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5052": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 169 ],
- "Q": [ 166 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5053": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 171 ],
- "Q": [ 168 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5054": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 173 ],
- "Q": [ 170 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5055": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 175 ],
- "Q": [ 172 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5056": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 177 ],
- "Q": [ 174 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5057": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 179 ],
- "Q": [ 176 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5058": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 181 ],
- "Q": [ 178 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 183 ],
- "Q": [ 180 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 185 ],
- "Q": [ 182 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 187 ],
- "Q": [ 184 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5062": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 189 ],
- "Q": [ 186 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5063": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 191 ],
- "Q": [ 188 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5064": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 193 ],
- "Q": [ 190 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5065": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 195 ],
- "Q": [ 192 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5066": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 197 ],
- "Q": [ 194 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5067": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 199 ],
- "Q": [ 196 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5068": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 201 ],
- "Q": [ 198 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5069": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 203 ],
- "Q": [ 200 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5070": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 205 ],
- "Q": [ 202 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5071": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 207 ],
- "Q": [ 204 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5072": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 209 ],
- "Q": [ 206 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5073": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 211 ],
- "Q": [ 208 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5074": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 213 ],
- "Q": [ 210 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5075": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 215 ],
- "Q": [ 212 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5076": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 217 ],
- "Q": [ 214 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5077": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 219 ],
- "Q": [ 216 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5078": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 221 ],
- "Q": [ 218 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5079": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 223 ],
- "Q": [ 220 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5080": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 225 ],
- "Q": [ 222 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5081": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 227 ],
- "Q": [ 224 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5082": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 229 ],
- "Q": [ 226 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5083": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 231 ],
- "Q": [ 228 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5084": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 233 ],
- "Q": [ 230 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5085": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 235 ],
- "Q": [ 232 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5086": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 237 ],
- "Q": [ 234 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5087": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 239 ],
- "Q": [ 236 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5088": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 241 ],
- "Q": [ 238 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5089": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 243 ],
- "Q": [ 240 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5090": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 245 ],
- "Q": [ 242 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5091": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 247 ],
- "Q": [ 244 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5092": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 249 ],
- "Q": [ 246 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5093": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 251 ],
- "Q": [ 248 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5094": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 253 ],
- "Q": [ 250 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5095": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 255 ],
- "Q": [ 252 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5096": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 257 ],
- "Q": [ 254 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5097": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 259 ],
- "Q": [ 256 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5098": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 261 ],
- "Q": [ 258 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5099": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 263 ],
- "Q": [ 260 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5100": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 265 ],
- "Q": [ 262 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5101": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 267 ],
- "Q": [ 264 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5102": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 269 ],
- "Q": [ 266 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5103": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 271 ],
- "Q": [ 268 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5104": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 273 ],
- "Q": [ 270 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5105": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 275 ],
- "Q": [ 272 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5106": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 277 ],
- "Q": [ 274 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5107": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 279 ],
- "Q": [ 276 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5108": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 281 ],
- "Q": [ 278 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5109": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 283 ],
- "Q": [ 280 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5110": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 285 ],
- "Q": [ 282 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5111": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 287 ],
- "Q": [ 284 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5112": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 289 ],
- "Q": [ 286 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5113": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 291 ],
- "Q": [ 288 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5114": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 293 ],
- "Q": [ 290 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5115": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 295 ],
- "Q": [ 292 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5116": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 297 ],
- "Q": [ 294 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5117": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 299 ],
- "Q": [ 296 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5118": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 301 ],
- "Q": [ 298 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5119": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 303 ],
- "Q": [ 300 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5120": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 305 ],
- "Q": [ 302 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5121": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 307 ],
- "Q": [ 304 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5122": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 309 ],
- "Q": [ 306 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5123": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 311 ],
- "Q": [ 308 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5124": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 313 ],
- "Q": [ 310 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5125": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 315 ],
- "Q": [ 312 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5126": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 317 ],
- "Q": [ 314 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5127": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 319 ],
- "Q": [ 316 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5128": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 321 ],
- "Q": [ 318 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5129": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 323 ],
- "Q": [ 320 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5130": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 325 ],
- "Q": [ 322 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5131": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 327 ],
- "Q": [ 324 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5132": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 329 ],
- "Q": [ 326 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5133": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 331 ],
- "Q": [ 328 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5134": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 333 ],
- "Q": [ 330 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5135": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 335 ],
- "Q": [ 332 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5136": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 337 ],
- "Q": [ 334 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5137": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 339 ],
- "Q": [ 336 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5138": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 341 ],
- "Q": [ 338 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 343 ],
- "Q": [ 340 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 345 ],
- "Q": [ 342 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 347 ],
- "Q": [ 344 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 349 ],
- "Q": [ 346 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5143": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 351 ],
- "Q": [ 348 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 353 ],
- "Q": [ 350 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 355 ],
- "Q": [ 352 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5146": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 357 ],
- "Q": [ 354 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 359 ],
- "Q": [ 356 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 361 ],
- "Q": [ 358 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 363 ],
- "Q": [ 360 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 365 ],
- "Q": [ 362 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 367 ],
- "Q": [ 364 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 369 ],
- "Q": [ 366 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 371 ],
- "Q": [ 368 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5154": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 373 ],
- "Q": [ 370 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5155": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 375 ],
- "Q": [ 372 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 377 ],
- "Q": [ 374 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5157": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 379 ],
- "Q": [ 376 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5158": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 381 ],
- "Q": [ 378 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5159": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 383 ],
- "Q": [ 380 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 385 ],
- "Q": [ 382 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 387 ],
- "Q": [ 384 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 389 ],
- "Q": [ 386 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 391 ],
- "Q": [ 388 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 12 ],
- "Q": [ 390 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 651 ],
- "Q": [ 408 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5166": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 652 ],
- "E": [ 394 ],
- "Q": [ 653 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5167": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 654 ],
- "E": [ 394 ],
- "Q": [ 655 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5168": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 656 ],
- "E": [ 394 ],
- "Q": [ 657 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5169": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 658 ],
- "E": [ 394 ],
- "Q": [ 659 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5170": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 660 ],
- "E": [ 394 ],
- "Q": [ 661 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5171": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 662 ],
- "E": [ 394 ],
- "Q": [ 663 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5172": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 664 ],
- "E": [ 394 ],
- "Q": [ 665 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5173": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 666 ],
- "E": [ 394 ],
- "Q": [ 667 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5174": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 668 ],
- "E": [ 394 ],
- "Q": [ 669 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5175": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 670 ],
- "E": [ 394 ],
- "Q": [ 671 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5176": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 672 ],
- "E": [ 394 ],
- "Q": [ 673 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5177": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 674 ],
- "E": [ 394 ],
- "Q": [ 675 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5178": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 676 ],
- "E": [ 394 ],
- "Q": [ 677 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5179": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 678 ],
- "E": [ 394 ],
- "Q": [ 679 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5180": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 680 ],
- "E": [ 394 ],
- "Q": [ 681 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 682 ],
- "Q": [ 683 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 683 ],
- "Q": [ 393 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 684 ],
- "Q": [ 682 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 685 ],
- "Q": [ 684 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 686 ],
- "Q": [ 685 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 687 ],
- "Q": [ 686 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 688 ],
- "Q": [ 687 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 689 ],
- "Q": [ 688 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 690 ],
- "Q": [ 689 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 691 ],
- "Q": [ 690 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 692 ],
- "Q": [ 691 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 693 ],
- "Q": [ 692 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 694 ],
- "Q": [ 693 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 695 ],
- "Q": [ 694 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 696 ],
- "Q": [ 695 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 697 ],
- "Q": [ 696 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 698 ],
- "Q": [ 697 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 699 ],
- "Q": [ 698 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 700 ],
- "Q": [ 699 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 701 ],
- "Q": [ 700 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 702 ],
- "Q": [ 701 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 703 ],
- "Q": [ 702 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 704 ],
- "Q": [ 703 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 705 ],
- "Q": [ 704 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 706 ],
- "Q": [ 705 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 395 ],
- "Q": [ 706 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 707 ],
- "Q": [ 395 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 708 ],
- "Q": [ 707 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 709 ],
- "Q": [ 708 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 396 ],
- "Q": [ 709 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 398 ],
- "Q": [ 396 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 656 ],
- "Q": [ 654 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 654 ],
- "Q": [ 652 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 658 ],
- "Q": [ 656 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 660 ],
- "Q": [ 658 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 662 ],
- "Q": [ 660 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 664 ],
- "Q": [ 662 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 666 ],
- "Q": [ 664 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 668 ],
- "Q": [ 666 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 670 ],
- "Q": [ 668 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 672 ],
- "Q": [ 670 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 674 ],
- "Q": [ 672 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 676 ],
- "Q": [ 674 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 678 ],
- "Q": [ 676 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 680 ],
- "Q": [ 678 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5226": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 710 ],
- "Q": [ 680 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5227": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 711 ],
- "Q": [ 710 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5228": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 712 ],
- "Q": [ 711 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5229": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 713 ],
- "Q": [ 712 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5230": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 714 ],
- "Q": [ 713 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5231": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 715 ],
- "Q": [ 714 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5232": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 716 ],
- "Q": [ 715 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5233": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 717 ],
- "Q": [ 716 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5234": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 718 ],
- "Q": [ 717 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5235": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 719 ],
- "Q": [ 718 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5236": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 720 ],
- "Q": [ 719 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5237": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 721 ],
- "Q": [ 720 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5238": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 722 ],
- "Q": [ 721 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5239": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 723 ],
- "Q": [ 722 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 724 ],
- "Q": [ 723 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 725 ],
- "Q": [ 724 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 726 ],
- "Q": [ 725 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 400 ],
- "Q": [ 450 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 450 ],
- "Q": [ 7 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 451 ],
- "Q": [ 8 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 402 ],
- "Q": [ 452 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 452 ],
- "Q": [ 9 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 453 ],
- "Q": [ 10 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5249": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 727 ],
- "E": [ 394 ],
- "Q": [ 728 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 393 ],
- "Q": [ 392 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 392 ],
- "Q": [ 411 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 652 ],
- "Q": [ 727 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 403 ],
- "Q": [ 404 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 405 ],
- "Q": [ 397 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ "0" ],
- "Q": [ 12 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 407 ],
- "Q": [ 399 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 729 ],
- "Q": [ 401 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 730 ],
- "Q": [ 731 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 732 ],
- "Q": [ 730 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 733 ],
- "Q": [ 732 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 734 ],
- "Q": [ 733 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 735 ],
- "Q": [ 734 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 736 ],
- "Q": [ 735 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 737 ],
- "Q": [ 736 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 738 ],
- "Q": [ 737 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 739 ],
- "Q": [ 738 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 740 ],
- "Q": [ 739 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 741 ],
- "Q": [ 740 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 742 ],
- "Q": [ 741 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 743 ],
- "Q": [ 742 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 744 ],
- "Q": [ 743 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 745 ],
- "Q": [ 744 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 746 ],
- "Q": [ 745 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 747 ],
- "Q": [ 746 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 748 ],
- "Q": [ 747 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 749 ],
- "Q": [ 748 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 750 ],
- "Q": [ 749 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 751 ],
- "Q": [ 750 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 752 ],
- "Q": [ 751 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 753 ],
- "Q": [ 752 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 754 ],
- "Q": [ 753 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 755 ],
- "Q": [ 754 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 756 ],
- "Q": [ 755 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 757 ],
- "Q": [ 756 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 758 ],
- "Q": [ 757 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 759 ],
- "Q": [ 758 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 760 ],
- "Q": [ 759 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 761 ],
- "Q": [ 760 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 762 ],
- "Q": [ 761 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5291": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 763 ],
- "Q": [ 762 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5292": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 764 ],
- "Q": [ 763 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5293": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 765 ],
- "Q": [ 764 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5294": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 766 ],
- "Q": [ 765 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5295": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 767 ],
- "Q": [ 766 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5296": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 768 ],
- "Q": [ 767 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5297": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 769 ],
- "Q": [ 768 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5298": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 770 ],
- "Q": [ 769 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5299": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 771 ],
- "Q": [ 770 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5300": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 772 ],
- "Q": [ 771 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 773 ],
- "Q": [ 772 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5302": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 774 ],
- "Q": [ 773 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5303": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 775 ],
- "Q": [ 774 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5304": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 776 ],
- "Q": [ 775 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5305": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 777 ],
- "Q": [ 776 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5306": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 778 ],
- "Q": [ 777 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5307": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 779 ],
- "Q": [ 778 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5308": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 780 ],
- "Q": [ 779 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5309": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 781 ],
- "Q": [ 780 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5310": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 782 ],
- "Q": [ 781 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5311": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 783 ],
- "Q": [ 782 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5312": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 784 ],
- "Q": [ 783 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5313": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 785 ],
- "Q": [ 784 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5314": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 786 ],
- "Q": [ 785 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5315": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 787 ],
- "Q": [ 786 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5316": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 788 ],
- "Q": [ 787 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5317": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 789 ],
- "Q": [ 788 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5318": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 790 ],
- "Q": [ 789 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5319": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 791 ],
- "Q": [ 790 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5320": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 792 ],
- "Q": [ 791 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5321": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 793 ],
- "Q": [ 792 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5322": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 794 ],
- "Q": [ 793 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5323": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 795 ],
- "Q": [ 794 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5324": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 796 ],
- "Q": [ 795 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5325": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 797 ],
- "Q": [ 796 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5326": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 798 ],
- "Q": [ 797 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5327": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 799 ],
- "Q": [ 798 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5328": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 800 ],
- "Q": [ 799 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5329": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 801 ],
- "Q": [ 800 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5330": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 802 ],
- "Q": [ 801 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5331": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 803 ],
- "Q": [ 802 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5332": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 804 ],
- "Q": [ 803 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5333": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 805 ],
- "Q": [ 804 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5334": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 806 ],
- "Q": [ 805 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5335": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 807 ],
- "Q": [ 806 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5336": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 808 ],
- "Q": [ 807 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 809 ],
- "Q": [ 808 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 810 ],
- "Q": [ 809 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 811 ],
- "Q": [ 810 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 812 ],
- "Q": [ 811 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 813 ],
- "Q": [ 812 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 814 ],
- "Q": [ 813 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 815 ],
- "Q": [ 814 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 816 ],
- "Q": [ 815 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 817 ],
- "Q": [ 816 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 818 ],
- "Q": [ 817 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 819 ],
- "Q": [ 818 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 820 ],
- "Q": [ 819 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 821 ],
- "Q": [ 820 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 822 ],
- "Q": [ 821 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 823 ],
- "Q": [ 822 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 824 ],
- "Q": [ 823 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 825 ],
- "Q": [ 824 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5354": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 826 ],
- "Q": [ 825 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5355": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 827 ],
- "Q": [ 826 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5356": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 828 ],
- "Q": [ 827 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5357": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 829 ],
- "Q": [ 828 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5358": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 830 ],
- "Q": [ 829 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5359": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 831 ],
- "Q": [ 830 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 832 ],
- "Q": [ 831 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5361": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 833 ],
- "Q": [ 832 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5362": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 834 ],
- "Q": [ 833 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5363": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 835 ],
- "Q": [ 834 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5364": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 836 ],
- "Q": [ 835 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5365": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 837 ],
- "Q": [ 836 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5366": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 838 ],
- "Q": [ 837 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5367": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 839 ],
- "Q": [ 838 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5368": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 840 ],
- "Q": [ 839 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5369": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 841 ],
- "Q": [ 840 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5370": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 842 ],
- "Q": [ 841 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5371": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 843 ],
- "Q": [ 842 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5372": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 844 ],
- "Q": [ 843 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5373": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 845 ],
- "Q": [ 844 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 846 ],
- "Q": [ 845 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5375": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 847 ],
- "Q": [ 846 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5376": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 848 ],
- "Q": [ 847 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5377": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 849 ],
- "Q": [ 848 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5378": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 850 ],
- "Q": [ 849 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5379": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 851 ],
- "Q": [ 850 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 852 ],
- "Q": [ 851 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 853 ],
- "Q": [ 852 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 854 ],
- "Q": [ 853 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 855 ],
- "Q": [ 854 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 856 ],
- "Q": [ 855 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 857 ],
- "Q": [ 856 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 858 ],
- "Q": [ 857 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 859 ],
- "Q": [ 858 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 860 ],
- "Q": [ 859 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 861 ],
- "Q": [ 860 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 862 ],
- "Q": [ 861 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 863 ],
- "Q": [ 862 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 864 ],
- "Q": [ 863 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 865 ],
- "Q": [ 864 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 866 ],
- "Q": [ 865 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 867 ],
- "Q": [ 866 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 868 ],
- "Q": [ 867 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 869 ],
- "Q": [ 868 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 870 ],
- "Q": [ 869 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 871 ],
- "Q": [ 870 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 872 ],
- "Q": [ 871 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5401": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 873 ],
- "Q": [ 872 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5402": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 874 ],
- "Q": [ 873 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5403": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 875 ],
- "Q": [ 874 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5404": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 876 ],
- "Q": [ 875 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5405": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 877 ],
- "Q": [ 876 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5406": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 878 ],
- "Q": [ 877 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5407": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 879 ],
- "Q": [ 878 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 880 ],
- "Q": [ 879 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 881 ],
- "Q": [ 880 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 882 ],
- "Q": [ 881 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 883 ],
- "Q": [ 882 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 884 ],
- "Q": [ 883 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 885 ],
- "Q": [ 884 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 886 ],
- "Q": [ 885 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 887 ],
- "Q": [ 886 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 888 ],
- "Q": [ 887 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 889 ],
- "Q": [ 888 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5418": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 890 ],
- "Q": [ 889 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5419": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 891 ],
- "Q": [ 890 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5420": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 892 ],
- "Q": [ 891 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5421": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 893 ],
- "Q": [ 892 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5422": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 894 ],
- "Q": [ 893 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5423": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 895 ],
- "Q": [ 894 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5424": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 896 ],
- "Q": [ 895 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5425": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 897 ],
- "Q": [ 896 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5426": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 898 ],
- "Q": [ 897 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5427": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 899 ],
- "Q": [ 898 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5428": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 900 ],
- "Q": [ 899 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5429": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 901 ],
- "Q": [ 900 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5430": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 902 ],
- "Q": [ 901 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5431": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 903 ],
- "Q": [ 902 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5432": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 904 ],
- "Q": [ 903 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5433": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 905 ],
- "Q": [ 904 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5434": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 906 ],
- "Q": [ 905 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5435": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 907 ],
- "Q": [ 906 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5436": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 908 ],
- "Q": [ 907 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5437": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 909 ],
- "Q": [ 908 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5438": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 910 ],
- "Q": [ 909 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5439": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 911 ],
- "Q": [ 910 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5440": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 912 ],
- "Q": [ 911 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5441": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 913 ],
- "Q": [ 912 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 914 ],
- "Q": [ 913 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 915 ],
- "Q": [ 914 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5444": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 916 ],
- "Q": [ 915 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 917 ],
- "Q": [ 916 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5446": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 918 ],
- "Q": [ 917 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5447": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 919 ],
- "Q": [ 918 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5448": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 409 ],
- "Q": [ 919 ]
- }
- },
- "$abc$4973$auto$blifparse.cc:352:parse_blif$5449": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 650 ],
- "D": [ 731 ],
- "Q": [ 729 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5954": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 921 ],
- "Q": [ 922 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5955": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 923 ],
- "Q": [ 924 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5956": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 925 ],
- "Q": [ 926 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5957": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 927 ],
- "Q": [ 928 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5958": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 929 ],
- "Q": [ 930 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5959": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 931 ],
- "Q": [ 932 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5960": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 437 ],
- "Q": [ 933 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5961": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 934 ],
- "Q": [ 935 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5962": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 921 ],
- "E": [ 431 ],
- "Q": [ 936 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5963": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 923 ],
- "E": [ 431 ],
- "Q": [ 937 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5964": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 925 ],
- "E": [ 431 ],
- "Q": [ 938 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5965": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 927 ],
- "E": [ 431 ],
- "Q": [ 939 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5966": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 929 ],
- "E": [ 431 ],
- "Q": [ 940 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5967": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 931 ],
- "E": [ 431 ],
- "Q": [ 941 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5968": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 437 ],
- "E": [ 431 ],
- "Q": [ 435 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5969": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 934 ],
- "E": [ 431 ],
- "Q": [ 436 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5970": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 942 ],
- "Q": [ 943 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 944 ],
- "Q": [ 945 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5972": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 946 ],
- "Q": [ 947 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5973": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 948 ],
- "Q": [ 949 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5974": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 950 ],
- "Q": [ 951 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5975": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 952 ],
- "Q": [ 953 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5976": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 443 ],
- "Q": [ 954 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5977": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 955 ],
- "Q": [ 956 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5978": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 942 ],
- "E": [ 425 ],
- "Q": [ 957 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5979": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 944 ],
- "E": [ 425 ],
- "Q": [ 958 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5980": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 946 ],
- "E": [ 425 ],
- "Q": [ 959 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5981": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 948 ],
- "E": [ 425 ],
- "Q": [ 960 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5982": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 950 ],
- "E": [ 425 ],
- "Q": [ 961 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5983": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 952 ],
- "E": [ 425 ],
- "Q": [ 962 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5984": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 443 ],
- "E": [ 425 ],
- "Q": [ 441 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5985": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 955 ],
- "E": [ 425 ],
- "Q": [ 442 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5986": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 963 ],
- "Q": [ 964 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5987": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 965 ],
- "Q": [ 966 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5988": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 967 ],
- "Q": [ 968 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5989": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 969 ],
- "Q": [ 970 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5990": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 971 ],
- "Q": [ 972 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5991": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 973 ],
- "Q": [ 974 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5992": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 446 ],
- "Q": [ 975 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5993": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 976 ],
- "Q": [ 977 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5994": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 963 ],
- "E": [ 419 ],
- "Q": [ 978 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5995": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 965 ],
- "E": [ 419 ],
- "Q": [ 979 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5996": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 967 ],
- "E": [ 419 ],
- "Q": [ 980 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5997": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 969 ],
- "E": [ 419 ],
- "Q": [ 981 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5998": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 971 ],
- "E": [ 419 ],
- "Q": [ 982 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$5999": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 973 ],
- "E": [ 419 ],
- "Q": [ 983 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6000": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 446 ],
- "E": [ 419 ],
- "Q": [ 444 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6001": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 976 ],
- "E": [ 419 ],
- "Q": [ 445 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 984 ],
- "Q": [ 985 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 986 ],
- "Q": [ 987 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 988 ],
- "Q": [ 989 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 990 ],
- "Q": [ 991 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 992 ],
- "Q": [ 993 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 994 ],
- "Q": [ 995 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 440 ],
- "Q": [ 996 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 997 ],
- "Q": [ 998 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6010": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 984 ],
- "E": [ 413 ],
- "Q": [ 999 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6011": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 986 ],
- "E": [ 413 ],
- "Q": [ 1000 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6012": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 988 ],
- "E": [ 413 ],
- "Q": [ 1001 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6013": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 990 ],
- "E": [ 413 ],
- "Q": [ 1002 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6014": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 992 ],
- "E": [ 413 ],
- "Q": [ 1003 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6015": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 994 ],
- "E": [ 413 ],
- "Q": [ 1004 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6016": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 440 ],
- "E": [ 413 ],
- "Q": [ 438 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6017": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 997 ],
- "E": [ 413 ],
- "Q": [ 439 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6085": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ "1" ],
- "Q": [ 1005 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6086": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1006 ],
- "Q": [ 1007 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6087": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1008 ],
- "Q": [ 1009 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6088": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 449 ],
- "Q": [ 1010 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6089": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1011 ],
- "Q": [ 1012 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6090": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1006 ],
- "E": [ 648 ],
- "Q": [ 1013 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6091": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1008 ],
- "E": [ 648 ],
- "Q": [ 1014 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6092": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 449 ],
- "E": [ 648 ],
- "Q": [ 447 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6093": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1011 ],
- "E": [ 648 ],
- "Q": [ 448 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6121": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1015 ],
- "E": [ 431 ],
- "Q": [ 1016 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6122": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1017 ],
- "E": [ 431 ],
- "Q": [ 1018 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6123": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1019 ],
- "E": [ 431 ],
- "Q": [ 1020 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6124": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1021 ],
- "E": [ 431 ],
- "Q": [ 1022 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6125": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1023 ],
- "E": [ 431 ],
- "Q": [ 1024 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6126": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1025 ],
- "E": [ 431 ],
- "Q": [ 1026 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6127": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1027 ],
- "E": [ 431 ],
- "Q": [ 1028 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6128": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1029 ],
- "E": [ 431 ],
- "Q": [ 1030 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6129": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1031 ],
- "E": [ 431 ],
- "Q": [ 1032 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6130": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1033 ],
- "E": [ 431 ],
- "Q": [ 1034 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6131": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1035 ],
- "E": [ 431 ],
- "Q": [ 1036 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6132": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1037 ],
- "E": [ 431 ],
- "Q": [ 1038 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6133": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1039 ],
- "E": [ 431 ],
- "Q": [ 1040 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6134": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1041 ],
- "E": [ 431 ],
- "Q": [ 1042 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6135": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1043 ],
- "E": [ 431 ],
- "Q": [ 1044 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6136": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1045 ],
- "E": [ 431 ],
- "Q": [ 1046 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6137": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1047 ],
- "E": [ 431 ],
- "Q": [ 1048 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6138": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1049 ],
- "E": [ 431 ],
- "Q": [ 1050 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6139": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1051 ],
- "E": [ 431 ],
- "Q": [ 1052 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6140": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1053 ],
- "E": [ 431 ],
- "Q": [ 1054 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6141": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1055 ],
- "E": [ 431 ],
- "Q": [ 1056 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6142": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1057 ],
- "E": [ 431 ],
- "Q": [ 1058 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6143": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1059 ],
- "E": [ 431 ],
- "Q": [ 1060 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6144": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1061 ],
- "E": [ 431 ],
- "Q": [ 1062 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1063 ],
- "Q": [ 1064 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1065 ],
- "Q": [ 1066 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1067 ],
- "Q": [ 1068 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1069 ],
- "Q": [ 1070 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1071 ],
- "Q": [ 1072 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1073 ],
- "Q": [ 1074 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6166": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1075 ],
- "Q": [ 1076 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6167": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1077 ],
- "Q": [ 1078 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6168": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1079 ],
- "Q": [ 1080 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6169": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1081 ],
- "Q": [ 1082 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6170": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1083 ],
- "Q": [ 1084 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6171": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1085 ],
- "Q": [ 1086 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6172": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1087 ],
- "Q": [ 1088 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6173": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1089 ],
- "Q": [ 1090 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6174": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1091 ],
- "Q": [ 1092 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6175": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1093 ],
- "Q": [ 1094 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6176": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1095 ],
- "Q": [ 1096 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6177": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1097 ],
- "Q": [ 1098 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1099 ],
- "Q": [ 1100 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1101 ],
- "Q": [ 1102 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1103 ],
- "Q": [ 1104 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1105 ],
- "Q": [ 1106 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1107 ],
- "Q": [ 1108 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1109 ],
- "Q": [ 1110 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6184": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1111 ],
- "E": [ 425 ],
- "Q": [ 1112 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6185": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1113 ],
- "E": [ 425 ],
- "Q": [ 1114 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6186": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1115 ],
- "E": [ 425 ],
- "Q": [ 1116 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6187": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1117 ],
- "E": [ 425 ],
- "Q": [ 1118 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6188": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1119 ],
- "E": [ 425 ],
- "Q": [ 1120 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6189": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1121 ],
- "E": [ 425 ],
- "Q": [ 1122 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6190": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1123 ],
- "E": [ 425 ],
- "Q": [ 1124 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6191": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1125 ],
- "E": [ 425 ],
- "Q": [ 1126 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6192": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1127 ],
- "E": [ 425 ],
- "Q": [ 1128 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6193": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1129 ],
- "E": [ 425 ],
- "Q": [ 1130 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6194": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1131 ],
- "E": [ 425 ],
- "Q": [ 1132 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6195": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1133 ],
- "E": [ 425 ],
- "Q": [ 1134 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6196": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1135 ],
- "E": [ 425 ],
- "Q": [ 1136 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6197": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1137 ],
- "E": [ 425 ],
- "Q": [ 1138 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6198": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1139 ],
- "E": [ 425 ],
- "Q": [ 1140 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6199": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1141 ],
- "E": [ 425 ],
- "Q": [ 1142 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6200": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1143 ],
- "E": [ 425 ],
- "Q": [ 1144 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6201": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1145 ],
- "E": [ 425 ],
- "Q": [ 1146 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6202": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1147 ],
- "E": [ 425 ],
- "Q": [ 1148 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6203": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1149 ],
- "E": [ 425 ],
- "Q": [ 1150 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6204": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1151 ],
- "E": [ 425 ],
- "Q": [ 1152 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6205": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1153 ],
- "E": [ 425 ],
- "Q": [ 1154 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6206": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1155 ],
- "E": [ 425 ],
- "Q": [ 1156 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6207": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1157 ],
- "E": [ 425 ],
- "Q": [ 1158 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6208": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1159 ],
- "E": [ 425 ],
- "Q": [ 1160 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6209": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1161 ],
- "E": [ 425 ],
- "Q": [ 1162 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6210": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1163 ],
- "E": [ 425 ],
- "Q": [ 1164 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6211": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1165 ],
- "E": [ 425 ],
- "Q": [ 1166 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6212": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1167 ],
- "E": [ 425 ],
- "Q": [ 1168 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6213": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1169 ],
- "E": [ 425 ],
- "Q": [ 1170 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6214": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1171 ],
- "E": [ 425 ],
- "Q": [ 1172 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1173 ],
- "Q": [ 1174 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1175 ],
- "Q": [ 1176 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1177 ],
- "Q": [ 1178 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1179 ],
- "Q": [ 1180 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1181 ],
- "Q": [ 1182 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1183 ],
- "Q": [ 1184 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1185 ],
- "Q": [ 1186 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1187 ],
- "Q": [ 1188 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1189 ],
- "Q": [ 1190 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1191 ],
- "Q": [ 1192 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1193 ],
- "Q": [ 1194 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6226": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1195 ],
- "Q": [ 1196 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6227": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1197 ],
- "Q": [ 1198 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6228": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1199 ],
- "Q": [ 1200 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6229": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1201 ],
- "Q": [ 1202 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6230": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1203 ],
- "Q": [ 1204 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6231": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1205 ],
- "Q": [ 1206 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6232": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1207 ],
- "Q": [ 1208 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6233": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1209 ],
- "Q": [ 1210 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6234": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1211 ],
- "Q": [ 1212 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6235": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1213 ],
- "Q": [ 1214 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6236": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1215 ],
- "Q": [ 1216 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6237": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1217 ],
- "Q": [ 1218 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6238": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1219 ],
- "Q": [ 1220 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6239": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1221 ],
- "Q": [ 1222 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1223 ],
- "Q": [ 1224 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1225 ],
- "Q": [ 1226 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1227 ],
- "Q": [ 1228 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1229 ],
- "Q": [ 1230 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1231 ],
- "Q": [ 1232 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1233 ],
- "Q": [ 1234 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6246": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1235 ],
- "E": [ 419 ],
- "Q": [ 1236 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6247": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1237 ],
- "E": [ 419 ],
- "Q": [ 1238 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6248": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1239 ],
- "E": [ 419 ],
- "Q": [ 1240 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6249": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1241 ],
- "E": [ 419 ],
- "Q": [ 1242 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6250": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1243 ],
- "E": [ 419 ],
- "Q": [ 1244 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6251": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1245 ],
- "E": [ 419 ],
- "Q": [ 1246 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6252": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1247 ],
- "E": [ 419 ],
- "Q": [ 1248 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6253": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1249 ],
- "E": [ 419 ],
- "Q": [ 1250 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6254": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1251 ],
- "E": [ 419 ],
- "Q": [ 1252 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6255": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1253 ],
- "E": [ 419 ],
- "Q": [ 1254 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6256": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1255 ],
- "E": [ 419 ],
- "Q": [ 1256 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6257": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1257 ],
- "E": [ 419 ],
- "Q": [ 1258 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6258": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1259 ],
- "E": [ 419 ],
- "Q": [ 1260 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6259": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1261 ],
- "E": [ 419 ],
- "Q": [ 1262 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6260": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1263 ],
- "E": [ 419 ],
- "Q": [ 1264 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6261": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1265 ],
- "E": [ 419 ],
- "Q": [ 1266 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6262": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1267 ],
- "E": [ 419 ],
- "Q": [ 1268 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6263": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1269 ],
- "E": [ 419 ],
- "Q": [ 1270 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6264": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1271 ],
- "E": [ 419 ],
- "Q": [ 1272 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6265": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1273 ],
- "E": [ 419 ],
- "Q": [ 1274 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6266": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1275 ],
- "E": [ 419 ],
- "Q": [ 1276 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6267": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1277 ],
- "E": [ 419 ],
- "Q": [ 1278 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6268": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1279 ],
- "E": [ 419 ],
- "Q": [ 1280 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1281 ],
- "Q": [ 1282 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1283 ],
- "Q": [ 1284 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1285 ],
- "Q": [ 1286 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1287 ],
- "Q": [ 1288 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1289 ],
- "Q": [ 1290 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1291 ],
- "Q": [ 1292 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1293 ],
- "Q": [ 1294 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1295 ],
- "Q": [ 1296 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1297 ],
- "Q": [ 1298 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1299 ],
- "Q": [ 1300 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1301 ],
- "Q": [ 1302 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1303 ],
- "Q": [ 1304 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1305 ],
- "Q": [ 1306 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1307 ],
- "Q": [ 1308 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1309 ],
- "Q": [ 1310 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1311 ],
- "Q": [ 1312 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1313 ],
- "Q": [ 1314 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1315 ],
- "Q": [ 1316 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1317 ],
- "Q": [ 1318 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1319 ],
- "Q": [ 1320 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1321 ],
- "Q": [ 1322 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1323 ],
- "Q": [ 1324 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6291": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1325 ],
- "Q": [ 1326 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6292": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1327 ],
- "E": [ 413 ],
- "Q": [ 1328 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6293": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1329 ],
- "E": [ 413 ],
- "Q": [ 1330 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6294": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1331 ],
- "E": [ 413 ],
- "Q": [ 1332 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6295": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1333 ],
- "E": [ 413 ],
- "Q": [ 1334 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6296": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1335 ],
- "E": [ 413 ],
- "Q": [ 1336 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6297": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1337 ],
- "E": [ 413 ],
- "Q": [ 1338 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6298": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1339 ],
- "E": [ 413 ],
- "Q": [ 1340 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6299": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1341 ],
- "E": [ 413 ],
- "Q": [ 1342 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6300": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1343 ],
- "E": [ 413 ],
- "Q": [ 1344 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6301": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1345 ],
- "E": [ 413 ],
- "Q": [ 1346 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6302": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1347 ],
- "E": [ 413 ],
- "Q": [ 1348 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6303": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1349 ],
- "E": [ 413 ],
- "Q": [ 1350 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6304": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1351 ],
- "E": [ 413 ],
- "Q": [ 1352 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6305": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1353 ],
- "E": [ 413 ],
- "Q": [ 1354 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6306": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1355 ],
- "E": [ 413 ],
- "Q": [ 1356 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6307": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1357 ],
- "E": [ 413 ],
- "Q": [ 1358 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6308": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1359 ],
- "E": [ 413 ],
- "Q": [ 1360 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6309": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1361 ],
- "E": [ 413 ],
- "Q": [ 1362 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6310": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1363 ],
- "E": [ 413 ],
- "Q": [ 1364 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6311": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1365 ],
- "E": [ 413 ],
- "Q": [ 1366 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6312": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1367 ],
- "E": [ 413 ],
- "Q": [ 1368 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6313": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1369 ],
- "E": [ 413 ],
- "Q": [ 1370 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6314": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1371 ],
- "E": [ 413 ],
- "Q": [ 1372 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6315": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1373 ],
- "Q": [ 1374 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6316": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1375 ],
- "Q": [ 1376 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6317": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1377 ],
- "Q": [ 1378 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6318": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1379 ],
- "Q": [ 1380 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6319": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1381 ],
- "Q": [ 1382 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6320": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1383 ],
- "Q": [ 1384 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6321": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1385 ],
- "Q": [ 1386 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6322": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1387 ],
- "Q": [ 1388 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6323": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1389 ],
- "Q": [ 1390 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6324": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1391 ],
- "Q": [ 1392 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6325": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1393 ],
- "Q": [ 1394 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6326": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1395 ],
- "Q": [ 1396 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6327": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1397 ],
- "Q": [ 1398 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6328": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1399 ],
- "Q": [ 1400 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6329": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1401 ],
- "Q": [ 1402 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6330": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1403 ],
- "Q": [ 1404 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6331": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1405 ],
- "Q": [ 1406 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6332": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1407 ],
- "Q": [ 1408 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6333": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1409 ],
- "Q": [ 1410 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6334": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1411 ],
- "Q": [ 1412 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6335": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1413 ],
- "Q": [ 1414 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6336": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1415 ],
- "Q": [ 1416 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1417 ],
- "Q": [ 1418 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1419 ],
- "Q": [ 651 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1420 ],
- "Q": [ 1421 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1422 ],
- "Q": [ 1423 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1424 ],
- "Q": [ 1425 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1426 ],
- "Q": [ 1427 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1428 ],
- "Q": [ 1429 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1430 ],
- "Q": [ 1431 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1432 ],
- "Q": [ 1433 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1434 ],
- "Q": [ 1435 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1436 ],
- "Q": [ 1437 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1438 ],
- "Q": [ 1439 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1440 ],
- "Q": [ 1441 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1442 ],
- "Q": [ 1443 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1444 ],
- "Q": [ 1445 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1446 ],
- "Q": [ 1447 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1448 ],
- "Q": [ 1449 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1450 ],
- "Q": [ 1451 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 414 ],
- "Q": [ 415 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 416 ],
- "Q": [ 417 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 418 ],
- "Q": [ 419 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 420 ],
- "Q": [ 421 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 422 ],
- "Q": [ 423 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 424 ],
- "Q": [ 425 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 426 ],
- "Q": [ 427 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 428 ],
- "Q": [ 429 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 430 ],
- "Q": [ 431 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 432 ],
- "Q": [ 433 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 434 ],
- "Q": [ 648 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 412 ],
- "Q": [ 413 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 411 ],
- "Q": [ 410 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6730": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1452 ],
- "Q": [ 1453 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6731": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1452 ],
- "E": [ 431 ],
- "Q": [ 1454 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6732": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1455 ],
- "Q": [ 1456 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6733": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1457 ],
- "E": [ 413 ],
- "Q": [ 1458 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6734": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1459 ],
- "Q": [ 1460 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6735": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1459 ],
- "E": [ 413 ],
- "Q": [ 1461 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6736": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1462 ],
- "Q": [ 1463 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6737": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1464 ],
- "E": [ 425 ],
- "Q": [ 1465 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6738": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1466 ],
- "Q": [ 1467 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6739": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1466 ],
- "E": [ 425 ],
- "Q": [ 1468 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6740": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1469 ],
- "Q": [ 1470 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6741": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1471 ],
- "E": [ 419 ],
- "Q": [ 1472 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6742": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1473 ],
- "Q": [ 1474 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6743": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1473 ],
- "E": [ 419 ],
- "Q": [ 1475 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6745": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1476 ],
- "Q": [ 1477 ]
- }
- },
- "$abc$5953$auto$blifparse.cc:352:parse_blif$6746": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 920 ],
- "D": [ 1476 ],
- "E": [ 648 ],
- "Q": [ 1478 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1218 ],
- "I2": [ 1110 ],
- "I3": [ "0" ],
- "O": [ 1061 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1479 ],
- "I0": [ 1218 ],
- "I1": [ 1110 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1198 ],
- "I2": [ 1090 ],
- "I3": [ 1480 ],
- "O": [ 1041 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1480 ],
- "CO": [ 1481 ],
- "I0": [ 1198 ],
- "I1": [ 1090 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1196 ],
- "I2": [ 1088 ],
- "I3": [ 1481 ],
- "O": [ 1039 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1481 ],
- "CO": [ 1482 ],
- "I0": [ 1196 ],
- "I1": [ 1088 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1194 ],
- "I2": [ 1086 ],
- "I3": [ 1482 ],
- "O": [ 1037 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1482 ],
- "CO": [ 1483 ],
- "I0": [ 1194 ],
- "I1": [ 1086 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1192 ],
- "I2": [ 1084 ],
- "I3": [ 1483 ],
- "O": [ 1035 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1483 ],
- "CO": [ 1484 ],
- "I0": [ 1192 ],
- "I1": [ 1084 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1190 ],
- "I2": [ 1082 ],
- "I3": [ 1484 ],
- "O": [ 1033 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1484 ],
- "CO": [ 1485 ],
- "I0": [ 1190 ],
- "I1": [ 1082 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1188 ],
- "I2": [ 1080 ],
- "I3": [ 1485 ],
- "O": [ 1031 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1485 ],
- "CO": [ 1486 ],
- "I0": [ 1188 ],
- "I1": [ 1080 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1186 ],
- "I2": [ 1078 ],
- "I3": [ 1486 ],
- "O": [ 1029 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1486 ],
- "CO": [ 1487 ],
- "I0": [ 1186 ],
- "I1": [ 1078 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1184 ],
- "I2": [ 1076 ],
- "I3": [ 1487 ],
- "O": [ 1027 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1487 ],
- "CO": [ 1488 ],
- "I0": [ 1184 ],
- "I1": [ 1076 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1182 ],
- "I2": [ 1074 ],
- "I3": [ 1488 ],
- "O": [ 1025 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1488 ],
- "CO": [ 1489 ],
- "I0": [ 1182 ],
- "I1": [ 1074 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1180 ],
- "I2": [ 1072 ],
- "I3": [ 1489 ],
- "O": [ 1023 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1489 ],
- "CO": [ 1490 ],
- "I0": [ 1180 ],
- "I1": [ 1072 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1216 ],
- "I2": [ 1108 ],
- "I3": [ 1479 ],
- "O": [ 1059 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1479 ],
- "CO": [ 1491 ],
- "I0": [ 1216 ],
- "I1": [ 1108 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1178 ],
- "I2": [ 1070 ],
- "I3": [ 1490 ],
- "O": [ 1021 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1490 ],
- "CO": [ 1492 ],
- "I0": [ 1178 ],
- "I1": [ 1070 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1176 ],
- "I2": [ 1068 ],
- "I3": [ 1492 ],
- "O": [ 1019 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1492 ],
- "CO": [ 1493 ],
- "I0": [ 1176 ],
- "I1": [ 1068 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1174 ],
- "I2": [ 1066 ],
- "I3": [ 1493 ],
- "O": [ 1017 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1493 ],
- "CO": [ 1494 ],
- "I0": [ 1174 ],
- "I1": [ 1066 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1463 ],
- "I2": [ 1064 ],
- "I3": [ 1494 ],
- "O": [ 1015 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1214 ],
- "I2": [ 1106 ],
- "I3": [ 1491 ],
- "O": [ 1057 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1491 ],
- "CO": [ 1495 ],
- "I0": [ 1214 ],
- "I1": [ 1106 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1212 ],
- "I2": [ 1104 ],
- "I3": [ 1495 ],
- "O": [ 1055 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1495 ],
- "CO": [ 1496 ],
- "I0": [ 1212 ],
- "I1": [ 1104 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1210 ],
- "I2": [ 1102 ],
- "I3": [ 1496 ],
- "O": [ 1053 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1496 ],
- "CO": [ 1497 ],
- "I0": [ 1210 ],
- "I1": [ 1102 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1208 ],
- "I2": [ 1100 ],
- "I3": [ 1497 ],
- "O": [ 1051 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1497 ],
- "CO": [ 1498 ],
- "I0": [ 1208 ],
- "I1": [ 1100 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1206 ],
- "I2": [ 1098 ],
- "I3": [ 1498 ],
- "O": [ 1049 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1498 ],
- "CO": [ 1499 ],
- "I0": [ 1206 ],
- "I1": [ 1098 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1204 ],
- "I2": [ 1096 ],
- "I3": [ 1499 ],
- "O": [ 1047 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1499 ],
- "CO": [ 1500 ],
- "I0": [ 1204 ],
- "I1": [ 1096 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1202 ],
- "I2": [ 1094 ],
- "I3": [ 1500 ],
- "O": [ 1045 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1500 ],
- "CO": [ 1501 ],
- "I0": [ 1202 ],
- "I1": [ 1094 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1200 ],
- "I2": [ 1092 ],
- "I3": [ 1501 ],
- "O": [ 1043 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1501 ],
- "CO": [ 1480 ],
- "I0": [ 1200 ],
- "I1": [ 1092 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1062 ],
- "I2": [ 641 ],
- "I3": [ "1" ],
- "O": [ 1109 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1502 ],
- "I0": [ 1062 ],
- "I1": [ 641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1042 ],
- "I2": [ 595 ],
- "I3": [ 1503 ],
- "O": [ 1089 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1503 ],
- "CO": [ 1504 ],
- "I0": [ 1042 ],
- "I1": [ 595 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1040 ],
- "I2": [ 597 ],
- "I3": [ 1504 ],
- "O": [ 1087 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1504 ],
- "CO": [ 1505 ],
- "I0": [ 1040 ],
- "I1": [ 597 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1038 ],
- "I2": [ 599 ],
- "I3": [ 1505 ],
- "O": [ 1085 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1505 ],
- "CO": [ 1506 ],
- "I0": [ 1038 ],
- "I1": [ 599 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1036 ],
- "I2": [ 601 ],
- "I3": [ 1506 ],
- "O": [ 1083 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1506 ],
- "CO": [ 1507 ],
- "I0": [ 1036 ],
- "I1": [ 601 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1034 ],
- "I2": [ 603 ],
- "I3": [ 1507 ],
- "O": [ 1081 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1507 ],
- "CO": [ 1508 ],
- "I0": [ 1034 ],
- "I1": [ 603 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1032 ],
- "I2": [ 605 ],
- "I3": [ 1508 ],
- "O": [ 1079 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1508 ],
- "CO": [ 1509 ],
- "I0": [ 1032 ],
- "I1": [ 605 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1030 ],
- "I2": [ 607 ],
- "I3": [ 1509 ],
- "O": [ 1077 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1509 ],
- "CO": [ 1510 ],
- "I0": [ 1030 ],
- "I1": [ 607 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1028 ],
- "I2": [ 609 ],
- "I3": [ 1510 ],
- "O": [ 1075 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1510 ],
- "CO": [ 1511 ],
- "I0": [ 1028 ],
- "I1": [ 609 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1026 ],
- "I2": [ 611 ],
- "I3": [ 1511 ],
- "O": [ 1073 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1511 ],
- "CO": [ 1512 ],
- "I0": [ 1026 ],
- "I1": [ 611 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1024 ],
- "I2": [ 613 ],
- "I3": [ 1512 ],
- "O": [ 1071 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1512 ],
- "CO": [ 1513 ],
- "I0": [ 1024 ],
- "I1": [ 613 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1060 ],
- "I2": [ 587 ],
- "I3": [ 1502 ],
- "O": [ 1107 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1502 ],
- "CO": [ 1514 ],
- "I0": [ 1060 ],
- "I1": [ 587 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1022 ],
- "I2": [ 615 ],
- "I3": [ 1513 ],
- "O": [ 1069 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1513 ],
- "CO": [ 1515 ],
- "I0": [ 1022 ],
- "I1": [ 615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1020 ],
- "I2": [ 617 ],
- "I3": [ 1515 ],
- "O": [ 1067 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1515 ],
- "CO": [ 1516 ],
- "I0": [ 1020 ],
- "I1": [ 617 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1018 ],
- "I2": [ 619 ],
- "I3": [ 1516 ],
- "O": [ 1065 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1516 ],
- "CO": [ 1517 ],
- "I0": [ 1018 ],
- "I1": [ 619 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1016 ],
- "I2": [ 621 ],
- "I3": [ 1517 ],
- "O": [ 1063 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1058 ],
- "I2": [ 645 ],
- "I3": [ 1514 ],
- "O": [ 1105 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1514 ],
- "CO": [ 1518 ],
- "I0": [ 1058 ],
- "I1": [ 645 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1056 ],
- "I2": [ 643 ],
- "I3": [ 1518 ],
- "O": [ 1103 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1518 ],
- "CO": [ 1519 ],
- "I0": [ 1056 ],
- "I1": [ 643 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1054 ],
- "I2": [ 647 ],
- "I3": [ 1519 ],
- "O": [ 1101 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1519 ],
- "CO": [ 1520 ],
- "I0": [ 1054 ],
- "I1": [ 647 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1052 ],
- "I2": [ 583 ],
- "I3": [ 1520 ],
- "O": [ 1099 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1520 ],
- "CO": [ 1521 ],
- "I0": [ 1052 ],
- "I1": [ 583 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1050 ],
- "I2": [ 585 ],
- "I3": [ 1521 ],
- "O": [ 1097 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1521 ],
- "CO": [ 1522 ],
- "I0": [ 1050 ],
- "I1": [ 585 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1048 ],
- "I2": [ 589 ],
- "I3": [ 1522 ],
- "O": [ 1095 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1522 ],
- "CO": [ 1523 ],
- "I0": [ 1048 ],
- "I1": [ 589 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1046 ],
- "I2": [ 591 ],
- "I3": [ 1523 ],
- "O": [ 1093 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1523 ],
- "CO": [ 1524 ],
- "I0": [ 1046 ],
- "I1": [ 591 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1044 ],
- "I2": [ 593 ],
- "I3": [ 1524 ],
- "O": [ 1091 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1524 ],
- "CO": [ 1503 ],
- "I0": [ 1044 ],
- "I1": [ 593 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 436 ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 436 ],
- "CO": [ 1525 ],
- "I0": [ "0" ],
- "I1": [ 435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 941 ],
- "I3": [ 1525 ],
- "O": [ 931 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1525 ],
- "CO": [ 1526 ],
- "I0": [ "0" ],
- "I1": [ 941 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 940 ],
- "I3": [ 1526 ],
- "O": [ 929 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1526 ],
- "CO": [ 1527 ],
- "I0": [ "0" ],
- "I1": [ 940 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 939 ],
- "I3": [ 1527 ],
- "O": [ 927 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1527 ],
- "CO": [ 1528 ],
- "I0": [ "0" ],
- "I1": [ 939 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 938 ],
- "I3": [ 1528 ],
- "O": [ 925 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1528 ],
- "CO": [ 1529 ],
- "I0": [ "0" ],
- "I1": [ 938 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 937 ],
- "I3": [ 1529 ],
- "O": [ 923 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1529 ],
- "CO": [ 1530 ],
- "I0": [ "0" ],
- "I1": [ 937 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 936 ],
- "I3": [ 1530 ],
- "O": [ 921 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1530 ],
- "CO": [ 1531 ],
- "I0": [ "0" ],
- "I1": [ 936 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1454 ],
- "I3": [ 1531 ],
- "O": [ 1452 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 681 ],
- "I2": [ 1418 ],
- "I3": [ "0" ],
- "O": [ 1371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1532 ],
- "I0": [ 681 ],
- "I1": [ 1418 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 661 ],
- "I2": [ 1398 ],
- "I3": [ 1533 ],
- "O": [ 1351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1533 ],
- "CO": [ 1534 ],
- "I0": [ 661 ],
- "I1": [ 1398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 659 ],
- "I2": [ 1396 ],
- "I3": [ 1534 ],
- "O": [ 1349 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1534 ],
- "CO": [ 1535 ],
- "I0": [ 659 ],
- "I1": [ 1396 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 657 ],
- "I2": [ 1394 ],
- "I3": [ 1535 ],
- "O": [ 1347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1535 ],
- "CO": [ 1536 ],
- "I0": [ 657 ],
- "I1": [ 1394 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 655 ],
- "I2": [ 1392 ],
- "I3": [ 1536 ],
- "O": [ 1345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1536 ],
- "CO": [ 1537 ],
- "I0": [ 655 ],
- "I1": [ 1392 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 653 ],
- "I2": [ 1390 ],
- "I3": [ 1537 ],
- "O": [ 1343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1537 ],
- "CO": [ 1538 ],
- "I0": [ 653 ],
- "I1": [ 1390 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 728 ],
- "I2": [ 1388 ],
- "I3": [ 1538 ],
- "O": [ 1341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1538 ],
- "CO": [ 1539 ],
- "I0": [ 728 ],
- "I1": [ 1388 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1386 ],
- "I3": [ 1539 ],
- "O": [ 1339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1539 ],
- "CO": [ 1540 ],
- "I0": [ "0" ],
- "I1": [ 1386 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1384 ],
- "I3": [ 1540 ],
- "O": [ 1337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1540 ],
- "CO": [ 1541 ],
- "I0": [ "0" ],
- "I1": [ 1384 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1382 ],
- "I3": [ 1541 ],
- "O": [ 1335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1541 ],
- "CO": [ 1542 ],
- "I0": [ "0" ],
- "I1": [ 1382 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1380 ],
- "I3": [ 1542 ],
- "O": [ 1333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1542 ],
- "CO": [ 1543 ],
- "I0": [ "0" ],
- "I1": [ 1380 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 679 ],
- "I2": [ 1416 ],
- "I3": [ 1532 ],
- "O": [ 1369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1532 ],
- "CO": [ 1544 ],
- "I0": [ 679 ],
- "I1": [ 1416 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1378 ],
- "I3": [ 1543 ],
- "O": [ 1331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1543 ],
- "CO": [ 1545 ],
- "I0": [ "0" ],
- "I1": [ 1378 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1376 ],
- "I3": [ 1545 ],
- "O": [ 1329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1545 ],
- "CO": [ 1546 ],
- "I0": [ "0" ],
- "I1": [ 1376 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1374 ],
- "I3": [ 1546 ],
- "O": [ 1327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1546 ],
- "CO": [ 1547 ],
- "I0": [ "0" ],
- "I1": [ 1374 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1456 ],
- "I3": [ 1547 ],
- "O": [ 1457 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 677 ],
- "I2": [ 1414 ],
- "I3": [ 1544 ],
- "O": [ 1367 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1544 ],
- "CO": [ 1548 ],
- "I0": [ 677 ],
- "I1": [ 1414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 675 ],
- "I2": [ 1412 ],
- "I3": [ 1548 ],
- "O": [ 1365 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1548 ],
- "CO": [ 1549 ],
- "I0": [ 675 ],
- "I1": [ 1412 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 673 ],
- "I2": [ 1410 ],
- "I3": [ 1549 ],
- "O": [ 1363 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1549 ],
- "CO": [ 1550 ],
- "I0": [ 673 ],
- "I1": [ 1410 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 671 ],
- "I2": [ 1408 ],
- "I3": [ 1550 ],
- "O": [ 1361 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1550 ],
- "CO": [ 1551 ],
- "I0": [ 671 ],
- "I1": [ 1408 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 669 ],
- "I2": [ 1406 ],
- "I3": [ 1551 ],
- "O": [ 1359 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1551 ],
- "CO": [ 1552 ],
- "I0": [ 669 ],
- "I1": [ 1406 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 667 ],
- "I2": [ 1404 ],
- "I3": [ 1552 ],
- "O": [ 1357 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1552 ],
- "CO": [ 1553 ],
- "I0": [ 667 ],
- "I1": [ 1404 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 665 ],
- "I2": [ 1402 ],
- "I3": [ 1553 ],
- "O": [ 1355 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1553 ],
- "CO": [ 1554 ],
- "I0": [ 665 ],
- "I1": [ 1402 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 663 ],
- "I2": [ 1400 ],
- "I3": [ 1554 ],
- "O": [ 1353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1554 ],
- "CO": [ 1533 ],
- "I0": [ 663 ],
- "I1": [ 1400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1372 ],
- "I2": [ 571 ],
- "I3": [ "1" ],
- "O": [ 1417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1555 ],
- "I0": [ 1372 ],
- "I1": [ 571 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1352 ],
- "I2": [ 561 ],
- "I3": [ 1556 ],
- "O": [ 1397 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1556 ],
- "CO": [ 1557 ],
- "I0": [ 1352 ],
- "I1": [ 561 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1350 ],
- "I2": [ 559 ],
- "I3": [ 1557 ],
- "O": [ 1395 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1557 ],
- "CO": [ 1558 ],
- "I0": [ 1350 ],
- "I1": [ 559 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1348 ],
- "I2": [ 557 ],
- "I3": [ 1558 ],
- "O": [ 1393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1558 ],
- "CO": [ 1559 ],
- "I0": [ 1348 ],
- "I1": [ 557 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1346 ],
- "I2": [ 581 ],
- "I3": [ 1559 ],
- "O": [ 1391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1559 ],
- "CO": [ 1560 ],
- "I0": [ 1346 ],
- "I1": [ 581 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1344 ],
- "I2": [ 579 ],
- "I3": [ 1560 ],
- "O": [ 1389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1560 ],
- "CO": [ 1561 ],
- "I0": [ 1344 ],
- "I1": [ 579 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1342 ],
- "I2": [ 577 ],
- "I3": [ 1561 ],
- "O": [ 1387 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1561 ],
- "CO": [ 1562 ],
- "I0": [ 1342 ],
- "I1": [ 577 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1340 ],
- "I2": [ "1" ],
- "I3": [ 1562 ],
- "O": [ 1385 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1562 ],
- "CO": [ 1563 ],
- "I0": [ 1340 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1338 ],
- "I2": [ "1" ],
- "I3": [ 1563 ],
- "O": [ 1383 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1563 ],
- "CO": [ 1564 ],
- "I0": [ 1338 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1336 ],
- "I2": [ "1" ],
- "I3": [ 1564 ],
- "O": [ 1381 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1564 ],
- "CO": [ 1565 ],
- "I0": [ 1336 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1334 ],
- "I2": [ "1" ],
- "I3": [ 1565 ],
- "O": [ 1379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1565 ],
- "CO": [ 1566 ],
- "I0": [ 1334 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1370 ],
- "I2": [ 569 ],
- "I3": [ 1555 ],
- "O": [ 1415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1555 ],
- "CO": [ 1567 ],
- "I0": [ 1370 ],
- "I1": [ 569 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1332 ],
- "I2": [ "1" ],
- "I3": [ 1566 ],
- "O": [ 1377 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1566 ],
- "CO": [ 1568 ],
- "I0": [ 1332 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1330 ],
- "I2": [ "1" ],
- "I3": [ 1568 ],
- "O": [ 1375 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1568 ],
- "CO": [ 1569 ],
- "I0": [ 1330 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1328 ],
- "I2": [ "1" ],
- "I3": [ 1569 ],
- "O": [ 1373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1569 ],
- "CO": [ 1570 ],
- "I0": [ 1328 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1458 ],
- "I2": [ "1" ],
- "I3": [ 1570 ],
- "O": [ 1455 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1368 ],
- "I2": [ 575 ],
- "I3": [ 1567 ],
- "O": [ 1413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1567 ],
- "CO": [ 1571 ],
- "I0": [ 1368 ],
- "I1": [ 575 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1366 ],
- "I2": [ 573 ],
- "I3": [ 1571 ],
- "O": [ 1411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1571 ],
- "CO": [ 1572 ],
- "I0": [ 1366 ],
- "I1": [ 573 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1364 ],
- "I2": [ 545 ],
- "I3": [ 1572 ],
- "O": [ 1409 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1572 ],
- "CO": [ 1573 ],
- "I0": [ 1364 ],
- "I1": [ 545 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1362 ],
- "I2": [ 543 ],
- "I3": [ 1573 ],
- "O": [ 1407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1573 ],
- "CO": [ 1574 ],
- "I0": [ 1362 ],
- "I1": [ 543 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1360 ],
- "I2": [ 541 ],
- "I3": [ 1574 ],
- "O": [ 1405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1574 ],
- "CO": [ 1575 ],
- "I0": [ 1360 ],
- "I1": [ 541 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1358 ],
- "I2": [ 567 ],
- "I3": [ 1575 ],
- "O": [ 1403 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1575 ],
- "CO": [ 1576 ],
- "I0": [ 1358 ],
- "I1": [ 567 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1356 ],
- "I2": [ 565 ],
- "I3": [ 1576 ],
- "O": [ 1401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1576 ],
- "CO": [ 1577 ],
- "I0": [ 1356 ],
- "I1": [ 565 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1354 ],
- "I2": [ 563 ],
- "I3": [ 1577 ],
- "O": [ 1399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1577 ],
- "CO": [ 1556 ],
- "I0": [ 1354 ],
- "I1": [ 563 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 439 ],
- "I3": [ "0" ],
- "O": [ 997 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 439 ],
- "CO": [ 1578 ],
- "I0": [ "0" ],
- "I1": [ 438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1004 ],
- "I3": [ 1578 ],
- "O": [ 994 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1578 ],
- "CO": [ 1579 ],
- "I0": [ "0" ],
- "I1": [ 1004 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1003 ],
- "I3": [ 1579 ],
- "O": [ 992 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1579 ],
- "CO": [ 1580 ],
- "I0": [ "0" ],
- "I1": [ 1003 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1002 ],
- "I3": [ 1580 ],
- "O": [ 990 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1580 ],
- "CO": [ 1581 ],
- "I0": [ "0" ],
- "I1": [ 1002 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1001 ],
- "I3": [ 1581 ],
- "O": [ 988 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1581 ],
- "CO": [ 1582 ],
- "I0": [ "0" ],
- "I1": [ 1001 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1000 ],
- "I3": [ 1582 ],
- "O": [ 986 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1582 ],
- "CO": [ 1583 ],
- "I0": [ "0" ],
- "I1": [ 1000 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 999 ],
- "I3": [ 1583 ],
- "O": [ 984 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1583 ],
- "CO": [ 1584 ],
- "I0": [ "0" ],
- "I1": [ 999 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1461 ],
- "I3": [ 1584 ],
- "O": [ 1459 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1326 ],
- "I2": [ 1234 ],
- "I3": [ "0" ],
- "O": [ 1171 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1585 ],
- "I0": [ 1326 ],
- "I1": [ 1234 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1306 ],
- "I2": [ 1214 ],
- "I3": [ 1586 ],
- "O": [ 1151 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1586 ],
- "CO": [ 1587 ],
- "I0": [ 1306 ],
- "I1": [ 1214 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1304 ],
- "I2": [ 1212 ],
- "I3": [ 1587 ],
- "O": [ 1149 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1587 ],
- "CO": [ 1588 ],
- "I0": [ 1304 ],
- "I1": [ 1212 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1302 ],
- "I2": [ 1210 ],
- "I3": [ 1588 ],
- "O": [ 1147 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1588 ],
- "CO": [ 1589 ],
- "I0": [ 1302 ],
- "I1": [ 1210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1300 ],
- "I2": [ 1208 ],
- "I3": [ 1589 ],
- "O": [ 1145 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1589 ],
- "CO": [ 1590 ],
- "I0": [ 1300 ],
- "I1": [ 1208 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1298 ],
- "I2": [ 1206 ],
- "I3": [ 1590 ],
- "O": [ 1143 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1590 ],
- "CO": [ 1591 ],
- "I0": [ 1298 ],
- "I1": [ 1206 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1296 ],
- "I2": [ 1204 ],
- "I3": [ 1591 ],
- "O": [ 1141 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1591 ],
- "CO": [ 1592 ],
- "I0": [ 1296 ],
- "I1": [ 1204 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1294 ],
- "I2": [ 1202 ],
- "I3": [ 1592 ],
- "O": [ 1139 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1592 ],
- "CO": [ 1593 ],
- "I0": [ 1294 ],
- "I1": [ 1202 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1292 ],
- "I2": [ 1200 ],
- "I3": [ 1593 ],
- "O": [ 1137 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1593 ],
- "CO": [ 1594 ],
- "I0": [ 1292 ],
- "I1": [ 1200 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1290 ],
- "I2": [ 1198 ],
- "I3": [ 1594 ],
- "O": [ 1135 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1594 ],
- "CO": [ 1595 ],
- "I0": [ 1290 ],
- "I1": [ 1198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1288 ],
- "I2": [ 1196 ],
- "I3": [ 1595 ],
- "O": [ 1133 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1595 ],
- "CO": [ 1596 ],
- "I0": [ 1288 ],
- "I1": [ 1196 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1324 ],
- "I2": [ 1232 ],
- "I3": [ 1585 ],
- "O": [ 1169 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1585 ],
- "CO": [ 1597 ],
- "I0": [ 1324 ],
- "I1": [ 1232 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1286 ],
- "I2": [ 1194 ],
- "I3": [ 1596 ],
- "O": [ 1131 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1596 ],
- "CO": [ 1598 ],
- "I0": [ 1286 ],
- "I1": [ 1194 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1284 ],
- "I2": [ 1192 ],
- "I3": [ 1598 ],
- "O": [ 1129 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1598 ],
- "CO": [ 1599 ],
- "I0": [ 1284 ],
- "I1": [ 1192 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1282 ],
- "I2": [ 1190 ],
- "I3": [ 1599 ],
- "O": [ 1127 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1599 ],
- "CO": [ 1600 ],
- "I0": [ 1282 ],
- "I1": [ 1190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1470 ],
- "I2": [ 1188 ],
- "I3": [ 1600 ],
- "O": [ 1125 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1600 ],
- "CO": [ 1601 ],
- "I0": [ 1470 ],
- "I1": [ 1188 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1186 ],
- "I3": [ 1601 ],
- "O": [ 1123 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1601 ],
- "CO": [ 1602 ],
- "I0": [ "0" ],
- "I1": [ 1186 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1184 ],
- "I3": [ 1602 ],
- "O": [ 1121 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1602 ],
- "CO": [ 1603 ],
- "I0": [ "0" ],
- "I1": [ 1184 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1182 ],
- "I3": [ 1603 ],
- "O": [ 1119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1603 ],
- "CO": [ 1604 ],
- "I0": [ "0" ],
- "I1": [ 1182 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1180 ],
- "I3": [ 1604 ],
- "O": [ 1117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1604 ],
- "CO": [ 1605 ],
- "I0": [ "0" ],
- "I1": [ 1180 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1178 ],
- "I3": [ 1605 ],
- "O": [ 1115 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1605 ],
- "CO": [ 1606 ],
- "I0": [ "0" ],
- "I1": [ 1178 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1176 ],
- "I3": [ 1606 ],
- "O": [ 1113 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1606 ],
- "CO": [ 1607 ],
- "I0": [ "0" ],
- "I1": [ 1176 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1322 ],
- "I2": [ 1230 ],
- "I3": [ 1597 ],
- "O": [ 1167 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1597 ],
- "CO": [ 1608 ],
- "I0": [ 1322 ],
- "I1": [ 1230 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1174 ],
- "I3": [ 1607 ],
- "O": [ 1111 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1607 ],
- "CO": [ 1609 ],
- "I0": [ "0" ],
- "I1": [ 1174 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1463 ],
- "I3": [ 1609 ],
- "O": [ 1464 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1320 ],
- "I2": [ 1228 ],
- "I3": [ 1608 ],
- "O": [ 1165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1608 ],
- "CO": [ 1610 ],
- "I0": [ 1320 ],
- "I1": [ 1228 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1318 ],
- "I2": [ 1226 ],
- "I3": [ 1610 ],
- "O": [ 1163 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1610 ],
- "CO": [ 1611 ],
- "I0": [ 1318 ],
- "I1": [ 1226 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1316 ],
- "I2": [ 1224 ],
- "I3": [ 1611 ],
- "O": [ 1161 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1611 ],
- "CO": [ 1612 ],
- "I0": [ 1316 ],
- "I1": [ 1224 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1314 ],
- "I2": [ 1222 ],
- "I3": [ 1612 ],
- "O": [ 1159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1612 ],
- "CO": [ 1613 ],
- "I0": [ 1314 ],
- "I1": [ 1222 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1312 ],
- "I2": [ 1220 ],
- "I3": [ 1613 ],
- "O": [ 1157 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1613 ],
- "CO": [ 1614 ],
- "I0": [ 1312 ],
- "I1": [ 1220 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1310 ],
- "I2": [ 1218 ],
- "I3": [ 1614 ],
- "O": [ 1155 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1614 ],
- "CO": [ 1615 ],
- "I0": [ 1310 ],
- "I1": [ 1218 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1308 ],
- "I2": [ 1216 ],
- "I3": [ 1615 ],
- "O": [ 1153 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1615 ],
- "CO": [ 1586 ],
- "I0": [ 1308 ],
- "I1": [ 1216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1172 ],
- "I2": [ 509 ],
- "I3": [ "1" ],
- "O": [ 1233 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1616 ],
- "I0": [ 1172 ],
- "I1": [ 509 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1152 ],
- "I2": [ 513 ],
- "I3": [ 1617 ],
- "O": [ 1213 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1617 ],
- "CO": [ 1618 ],
- "I0": [ 1152 ],
- "I1": [ 513 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1150 ],
- "I2": [ 511 ],
- "I3": [ 1618 ],
- "O": [ 1211 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1618 ],
- "CO": [ 1619 ],
- "I0": [ 1150 ],
- "I1": [ 511 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1148 ],
- "I2": [ 533 ],
- "I3": [ 1619 ],
- "O": [ 1209 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1619 ],
- "CO": [ 1620 ],
- "I0": [ 1148 ],
- "I1": [ 533 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1146 ],
- "I2": [ 531 ],
- "I3": [ 1620 ],
- "O": [ 1207 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1620 ],
- "CO": [ 1621 ],
- "I0": [ 1146 ],
- "I1": [ 531 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1144 ],
- "I2": [ 529 ],
- "I3": [ 1621 ],
- "O": [ 1205 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1621 ],
- "CO": [ 1622 ],
- "I0": [ 1144 ],
- "I1": [ 529 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1142 ],
- "I2": [ 539 ],
- "I3": [ 1622 ],
- "O": [ 1203 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1622 ],
- "CO": [ 1623 ],
- "I0": [ 1142 ],
- "I1": [ 539 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1140 ],
- "I2": [ 537 ],
- "I3": [ 1623 ],
- "O": [ 1201 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1623 ],
- "CO": [ 1624 ],
- "I0": [ 1140 ],
- "I1": [ 537 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1138 ],
- "I2": [ 535 ],
- "I3": [ 1624 ],
- "O": [ 1199 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1624 ],
- "CO": [ 1625 ],
- "I0": [ 1138 ],
- "I1": [ 535 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1136 ],
- "I2": [ 527 ],
- "I3": [ 1625 ],
- "O": [ 1197 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1625 ],
- "CO": [ 1626 ],
- "I0": [ 1136 ],
- "I1": [ 527 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1134 ],
- "I2": [ 525 ],
- "I3": [ 1626 ],
- "O": [ 1195 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1626 ],
- "CO": [ 1627 ],
- "I0": [ 1134 ],
- "I1": [ 525 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1170 ],
- "I2": [ 503 ],
- "I3": [ 1616 ],
- "O": [ 1231 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1616 ],
- "CO": [ 1628 ],
- "I0": [ 1170 ],
- "I1": [ 503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1132 ],
- "I2": [ 523 ],
- "I3": [ 1627 ],
- "O": [ 1193 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1627 ],
- "CO": [ 1629 ],
- "I0": [ 1132 ],
- "I1": [ 523 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1130 ],
- "I2": [ 555 ],
- "I3": [ 1629 ],
- "O": [ 1191 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1629 ],
- "CO": [ 1630 ],
- "I0": [ 1130 ],
- "I1": [ 555 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1128 ],
- "I2": [ 553 ],
- "I3": [ 1630 ],
- "O": [ 1189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1630 ],
- "CO": [ 1631 ],
- "I0": [ 1128 ],
- "I1": [ 553 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1126 ],
- "I2": [ 551 ],
- "I3": [ 1631 ],
- "O": [ 1187 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1631 ],
- "CO": [ 1632 ],
- "I0": [ 1126 ],
- "I1": [ 551 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1124 ],
- "I2": [ "1" ],
- "I3": [ 1632 ],
- "O": [ 1185 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1632 ],
- "CO": [ 1633 ],
- "I0": [ 1124 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1122 ],
- "I2": [ "1" ],
- "I3": [ 1633 ],
- "O": [ 1183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1633 ],
- "CO": [ 1634 ],
- "I0": [ 1122 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1120 ],
- "I2": [ "1" ],
- "I3": [ 1634 ],
- "O": [ 1181 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1634 ],
- "CO": [ 1635 ],
- "I0": [ 1120 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1118 ],
- "I2": [ "1" ],
- "I3": [ 1635 ],
- "O": [ 1179 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1635 ],
- "CO": [ 1636 ],
- "I0": [ 1118 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1116 ],
- "I2": [ "1" ],
- "I3": [ 1636 ],
- "O": [ 1177 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1636 ],
- "CO": [ 1637 ],
- "I0": [ 1116 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1114 ],
- "I2": [ "1" ],
- "I3": [ 1637 ],
- "O": [ 1175 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1637 ],
- "CO": [ 1638 ],
- "I0": [ 1114 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1168 ],
- "I2": [ 547 ],
- "I3": [ 1628 ],
- "O": [ 1229 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1628 ],
- "CO": [ 1639 ],
- "I0": [ 1168 ],
- "I1": [ 547 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1112 ],
- "I2": [ "1" ],
- "I3": [ 1638 ],
- "O": [ 1173 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1638 ],
- "CO": [ 1640 ],
- "I0": [ 1112 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1465 ],
- "I2": [ "1" ],
- "I3": [ 1640 ],
- "O": [ 1462 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1166 ],
- "I2": [ 505 ],
- "I3": [ 1639 ],
- "O": [ 1227 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1639 ],
- "CO": [ 1641 ],
- "I0": [ 1166 ],
- "I1": [ 505 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1164 ],
- "I2": [ 507 ],
- "I3": [ 1641 ],
- "O": [ 1225 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1641 ],
- "CO": [ 1642 ],
- "I0": [ 1164 ],
- "I1": [ 507 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1162 ],
- "I2": [ 549 ],
- "I3": [ 1642 ],
- "O": [ 1223 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1642 ],
- "CO": [ 1643 ],
- "I0": [ 1162 ],
- "I1": [ 549 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1160 ],
- "I2": [ 521 ],
- "I3": [ 1643 ],
- "O": [ 1221 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1643 ],
- "CO": [ 1644 ],
- "I0": [ 1160 ],
- "I1": [ 521 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1158 ],
- "I2": [ 519 ],
- "I3": [ 1644 ],
- "O": [ 1219 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1644 ],
- "CO": [ 1645 ],
- "I0": [ 1158 ],
- "I1": [ 519 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1156 ],
- "I2": [ 517 ],
- "I3": [ 1645 ],
- "O": [ 1217 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1645 ],
- "CO": [ 1646 ],
- "I0": [ 1156 ],
- "I1": [ 517 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1154 ],
- "I2": [ 515 ],
- "I3": [ 1646 ],
- "O": [ 1215 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1646 ],
- "CO": [ 1617 ],
- "I0": [ 1154 ],
- "I1": [ 515 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 442 ],
- "I3": [ "0" ],
- "O": [ 955 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 442 ],
- "CO": [ 1647 ],
- "I0": [ "0" ],
- "I1": [ 441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 962 ],
- "I3": [ 1647 ],
- "O": [ 952 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1647 ],
- "CO": [ 1648 ],
- "I0": [ "0" ],
- "I1": [ 962 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 961 ],
- "I3": [ 1648 ],
- "O": [ 950 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1648 ],
- "CO": [ 1649 ],
- "I0": [ "0" ],
- "I1": [ 961 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 960 ],
- "I3": [ 1649 ],
- "O": [ 948 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1649 ],
- "CO": [ 1650 ],
- "I0": [ "0" ],
- "I1": [ 960 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 959 ],
- "I3": [ 1650 ],
- "O": [ 946 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1650 ],
- "CO": [ 1651 ],
- "I0": [ "0" ],
- "I1": [ 959 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 958 ],
- "I3": [ 1651 ],
- "O": [ 944 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1651 ],
- "CO": [ 1652 ],
- "I0": [ "0" ],
- "I1": [ 958 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 957 ],
- "I3": [ 1652 ],
- "O": [ 942 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1652 ],
- "CO": [ 1653 ],
- "I0": [ "0" ],
- "I1": [ 957 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1468 ],
- "I3": [ 1653 ],
- "O": [ 1466 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1402 ],
- "I2": [ 1326 ],
- "I3": [ "0" ],
- "O": [ 1279 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1654 ],
- "I0": [ 1402 ],
- "I1": [ 1326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1382 ],
- "I2": [ 1306 ],
- "I3": [ 1655 ],
- "O": [ 1259 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1655 ],
- "CO": [ 1656 ],
- "I0": [ 1382 ],
- "I1": [ 1306 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1380 ],
- "I2": [ 1304 ],
- "I3": [ 1656 ],
- "O": [ 1257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1656 ],
- "CO": [ 1657 ],
- "I0": [ 1380 ],
- "I1": [ 1304 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1378 ],
- "I2": [ 1302 ],
- "I3": [ 1657 ],
- "O": [ 1255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1657 ],
- "CO": [ 1658 ],
- "I0": [ 1378 ],
- "I1": [ 1302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1376 ],
- "I2": [ 1300 ],
- "I3": [ 1658 ],
- "O": [ 1253 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1658 ],
- "CO": [ 1659 ],
- "I0": [ 1376 ],
- "I1": [ 1300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1374 ],
- "I2": [ 1298 ],
- "I3": [ 1659 ],
- "O": [ 1251 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1659 ],
- "CO": [ 1660 ],
- "I0": [ 1374 ],
- "I1": [ 1298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1456 ],
- "I2": [ 1296 ],
- "I3": [ 1660 ],
- "O": [ 1249 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1660 ],
- "CO": [ 1661 ],
- "I0": [ 1456 ],
- "I1": [ 1296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1294 ],
- "I3": [ 1661 ],
- "O": [ 1247 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1661 ],
- "CO": [ 1662 ],
- "I0": [ "0" ],
- "I1": [ 1294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1292 ],
- "I3": [ 1662 ],
- "O": [ 1245 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1662 ],
- "CO": [ 1663 ],
- "I0": [ "0" ],
- "I1": [ 1292 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1290 ],
- "I3": [ 1663 ],
- "O": [ 1243 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1663 ],
- "CO": [ 1664 ],
- "I0": [ "0" ],
- "I1": [ 1290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1288 ],
- "I3": [ 1664 ],
- "O": [ 1241 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1664 ],
- "CO": [ 1665 ],
- "I0": [ "0" ],
- "I1": [ 1288 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1400 ],
- "I2": [ 1324 ],
- "I3": [ 1654 ],
- "O": [ 1277 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1654 ],
- "CO": [ 1666 ],
- "I0": [ 1400 ],
- "I1": [ 1324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1286 ],
- "I3": [ 1665 ],
- "O": [ 1239 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1665 ],
- "CO": [ 1667 ],
- "I0": [ "0" ],
- "I1": [ 1286 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1284 ],
- "I3": [ 1667 ],
- "O": [ 1237 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1667 ],
- "CO": [ 1668 ],
- "I0": [ "0" ],
- "I1": [ 1284 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1282 ],
- "I3": [ 1668 ],
- "O": [ 1235 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1668 ],
- "CO": [ 1669 ],
- "I0": [ "0" ],
- "I1": [ 1282 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1470 ],
- "I3": [ 1669 ],
- "O": [ 1471 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1398 ],
- "I2": [ 1322 ],
- "I3": [ 1666 ],
- "O": [ 1275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1666 ],
- "CO": [ 1670 ],
- "I0": [ 1398 ],
- "I1": [ 1322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1396 ],
- "I2": [ 1320 ],
- "I3": [ 1670 ],
- "O": [ 1273 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1670 ],
- "CO": [ 1671 ],
- "I0": [ 1396 ],
- "I1": [ 1320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1394 ],
- "I2": [ 1318 ],
- "I3": [ 1671 ],
- "O": [ 1271 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1671 ],
- "CO": [ 1672 ],
- "I0": [ 1394 ],
- "I1": [ 1318 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1392 ],
- "I2": [ 1316 ],
- "I3": [ 1672 ],
- "O": [ 1269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1672 ],
- "CO": [ 1673 ],
- "I0": [ 1392 ],
- "I1": [ 1316 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1390 ],
- "I2": [ 1314 ],
- "I3": [ 1673 ],
- "O": [ 1267 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1673 ],
- "CO": [ 1674 ],
- "I0": [ 1390 ],
- "I1": [ 1314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1388 ],
- "I2": [ 1312 ],
- "I3": [ 1674 ],
- "O": [ 1265 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1674 ],
- "CO": [ 1675 ],
- "I0": [ 1388 ],
- "I1": [ 1312 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1386 ],
- "I2": [ 1310 ],
- "I3": [ 1675 ],
- "O": [ 1263 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1675 ],
- "CO": [ 1676 ],
- "I0": [ 1386 ],
- "I1": [ 1310 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1384 ],
- "I2": [ 1308 ],
- "I3": [ 1676 ],
- "O": [ 1261 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1676 ],
- "CO": [ 1655 ],
- "I0": [ 1384 ],
- "I1": [ 1308 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1280 ],
- "I2": [ 637 ],
- "I3": [ "1" ],
- "O": [ 1325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1677 ],
- "I0": [ 1280 ],
- "I1": [ 637 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1260 ],
- "I2": [ 469 ],
- "I3": [ 1678 ],
- "O": [ 1305 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1678 ],
- "CO": [ 1679 ],
- "I0": [ 1260 ],
- "I1": [ 469 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1258 ],
- "I2": [ 493 ],
- "I3": [ 1679 ],
- "O": [ 1303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1679 ],
- "CO": [ 1680 ],
- "I0": [ 1258 ],
- "I1": [ 493 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1256 ],
- "I2": [ 495 ],
- "I3": [ 1680 ],
- "O": [ 1301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1680 ],
- "CO": [ 1681 ],
- "I0": [ 1256 ],
- "I1": [ 495 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1254 ],
- "I2": [ 497 ],
- "I3": [ 1681 ],
- "O": [ 1299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1681 ],
- "CO": [ 1682 ],
- "I0": [ 1254 ],
- "I1": [ 497 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1252 ],
- "I2": [ 499 ],
- "I3": [ 1682 ],
- "O": [ 1297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1682 ],
- "CO": [ 1683 ],
- "I0": [ 1252 ],
- "I1": [ 499 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1250 ],
- "I2": [ 501 ],
- "I3": [ 1683 ],
- "O": [ 1295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1683 ],
- "CO": [ 1684 ],
- "I0": [ 1250 ],
- "I1": [ 501 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1248 ],
- "I2": [ "1" ],
- "I3": [ 1684 ],
- "O": [ 1293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1684 ],
- "CO": [ 1685 ],
- "I0": [ 1248 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1246 ],
- "I2": [ "1" ],
- "I3": [ 1685 ],
- "O": [ 1291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1685 ],
- "CO": [ 1686 ],
- "I0": [ 1246 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1244 ],
- "I2": [ "1" ],
- "I3": [ 1686 ],
- "O": [ 1289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1686 ],
- "CO": [ 1687 ],
- "I0": [ 1244 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1242 ],
- "I2": [ "1" ],
- "I3": [ 1687 ],
- "O": [ 1287 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1687 ],
- "CO": [ 1688 ],
- "I0": [ 1242 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1278 ],
- "I2": [ 631 ],
- "I3": [ 1677 ],
- "O": [ 1323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1677 ],
- "CO": [ 1689 ],
- "I0": [ 1278 ],
- "I1": [ 631 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1240 ],
- "I2": [ "1" ],
- "I3": [ 1688 ],
- "O": [ 1285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1688 ],
- "CO": [ 1690 ],
- "I0": [ 1240 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1238 ],
- "I2": [ "1" ],
- "I3": [ 1690 ],
- "O": [ 1283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1690 ],
- "CO": [ 1691 ],
- "I0": [ 1238 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1236 ],
- "I2": [ "1" ],
- "I3": [ 1691 ],
- "O": [ 1281 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1691 ],
- "CO": [ 1692 ],
- "I0": [ 1236 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1472 ],
- "I2": [ "1" ],
- "I3": [ 1692 ],
- "O": [ 1469 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1276 ],
- "I2": [ 639 ],
- "I3": [ 1689 ],
- "O": [ 1321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1689 ],
- "CO": [ 1693 ],
- "I0": [ 1276 ],
- "I1": [ 639 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1274 ],
- "I2": [ 629 ],
- "I3": [ 1693 ],
- "O": [ 1319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1693 ],
- "CO": [ 1694 ],
- "I0": [ 1274 ],
- "I1": [ 629 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1272 ],
- "I2": [ 627 ],
- "I3": [ 1694 ],
- "O": [ 1317 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1694 ],
- "CO": [ 1695 ],
- "I0": [ 1272 ],
- "I1": [ 627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1270 ],
- "I2": [ 633 ],
- "I3": [ 1695 ],
- "O": [ 1315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1695 ],
- "CO": [ 1696 ],
- "I0": [ 1270 ],
- "I1": [ 633 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1268 ],
- "I2": [ 635 ],
- "I3": [ 1696 ],
- "O": [ 1313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1696 ],
- "CO": [ 1697 ],
- "I0": [ 1268 ],
- "I1": [ 635 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1266 ],
- "I2": [ 463 ],
- "I3": [ 1697 ],
- "O": [ 1311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1697 ],
- "CO": [ 1698 ],
- "I0": [ 1266 ],
- "I1": [ 463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1264 ],
- "I2": [ 465 ],
- "I3": [ 1698 ],
- "O": [ 1309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1698 ],
- "CO": [ 1699 ],
- "I0": [ 1264 ],
- "I1": [ 465 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1262 ],
- "I2": [ 467 ],
- "I3": [ 1699 ],
- "O": [ 1307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1699 ],
- "CO": [ 1678 ],
- "I0": [ 1262 ],
- "I1": [ 467 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 445 ],
- "I3": [ "0" ],
- "O": [ 976 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 445 ],
- "CO": [ 1700 ],
- "I0": [ "0" ],
- "I1": [ 444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 983 ],
- "I3": [ 1700 ],
- "O": [ 973 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1700 ],
- "CO": [ 1701 ],
- "I0": [ "0" ],
- "I1": [ 983 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 982 ],
- "I3": [ 1701 ],
- "O": [ 971 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1701 ],
- "CO": [ 1702 ],
- "I0": [ "0" ],
- "I1": [ 982 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 981 ],
- "I3": [ 1702 ],
- "O": [ 969 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1702 ],
- "CO": [ 1703 ],
- "I0": [ "0" ],
- "I1": [ 981 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 980 ],
- "I3": [ 1703 ],
- "O": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1703 ],
- "CO": [ 1704 ],
- "I0": [ "0" ],
- "I1": [ 980 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 979 ],
- "I3": [ 1704 ],
- "O": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1704 ],
- "CO": [ 1705 ],
- "I0": [ "0" ],
- "I1": [ 979 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 978 ],
- "I3": [ 1705 ],
- "O": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1705 ],
- "CO": [ 1706 ],
- "I0": [ "0" ],
- "I1": [ 978 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1475 ],
- "I3": [ 1706 ],
- "O": [ 1473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1094 ],
- "I2": [ 625 ],
- "I3": [ "1" ],
- "O": [ 1450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1707 ],
- "I0": [ 1094 ],
- "I1": [ 625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1074 ],
- "I2": [ 487 ],
- "I3": [ 1708 ],
- "O": [ 1430 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1708 ],
- "CO": [ 1709 ],
- "I0": [ 1074 ],
- "I1": [ 487 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1072 ],
- "I2": [ 461 ],
- "I3": [ 1709 ],
- "O": [ 1428 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1709 ],
- "CO": [ 1710 ],
- "I0": [ 1072 ],
- "I1": [ 461 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1070 ],
- "I2": [ 455 ],
- "I3": [ 1710 ],
- "O": [ 1426 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1710 ],
- "CO": [ 1711 ],
- "I0": [ 1070 ],
- "I1": [ 455 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1068 ],
- "I2": [ 459 ],
- "I3": [ 1711 ],
- "O": [ 1424 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1711 ],
- "CO": [ 1712 ],
- "I0": [ 1068 ],
- "I1": [ 459 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1066 ],
- "I2": [ 457 ],
- "I3": [ 1712 ],
- "O": [ 1422 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1712 ],
- "CO": [ 1713 ],
- "I0": [ 1066 ],
- "I1": [ 457 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1064 ],
- "I2": [ 479 ],
- "I3": [ 1713 ],
- "O": [ 1420 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1092 ],
- "I2": [ 471 ],
- "I3": [ 1707 ],
- "O": [ 1448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1707 ],
- "CO": [ 1714 ],
- "I0": [ 1092 ],
- "I1": [ 471 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1090 ],
- "I2": [ 491 ],
- "I3": [ 1714 ],
- "O": [ 1446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1714 ],
- "CO": [ 1715 ],
- "I0": [ 1090 ],
- "I1": [ 491 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1088 ],
- "I2": [ 481 ],
- "I3": [ 1715 ],
- "O": [ 1444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1715 ],
- "CO": [ 1716 ],
- "I0": [ 1088 ],
- "I1": [ 481 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1086 ],
- "I2": [ 483 ],
- "I3": [ 1716 ],
- "O": [ 1442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1716 ],
- "CO": [ 1717 ],
- "I0": [ 1086 ],
- "I1": [ 483 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1084 ],
- "I2": [ 473 ],
- "I3": [ 1717 ],
- "O": [ 1440 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1717 ],
- "CO": [ 1718 ],
- "I0": [ 1084 ],
- "I1": [ 473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1082 ],
- "I2": [ 475 ],
- "I3": [ 1718 ],
- "O": [ 1438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1718 ],
- "CO": [ 1719 ],
- "I0": [ 1082 ],
- "I1": [ 475 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1080 ],
- "I2": [ 623 ],
- "I3": [ 1719 ],
- "O": [ 1436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1719 ],
- "CO": [ 1720 ],
- "I0": [ 1080 ],
- "I1": [ 623 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1078 ],
- "I2": [ 485 ],
- "I3": [ 1720 ],
- "O": [ 1434 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1720 ],
- "CO": [ 1721 ],
- "I0": [ 1078 ],
- "I1": [ 485 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1076 ],
- "I2": [ 477 ],
- "I3": [ 1721 ],
- "O": [ 1432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1721 ],
- "CO": [ 1708 ],
- "I0": [ 1076 ],
- "I1": [ 477 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 448 ],
- "I3": [ "0" ],
- "O": [ 1011 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 448 ],
- "CO": [ 1722 ],
- "I0": [ "0" ],
- "I1": [ 447 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1014 ],
- "I3": [ 1722 ],
- "O": [ 1008 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1722 ],
- "CO": [ 1723 ],
- "I0": [ "0" ],
- "I1": [ 1014 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1013 ],
- "I3": [ 1723 ],
- "O": [ 1006 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1723 ],
- "CO": [ 1724 ],
- "I0": [ "0" ],
- "I1": [ 1013 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1478 ],
- "I3": [ 1724 ],
- "O": [ 1476 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$310.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:90|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1451 ],
- "I2": [ 489 ],
- "I3": [ "1" ],
- "O": [ 1419 ]
- }
- },
- "fclkoutn": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:124"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_OUT_0": [ "1" ],
- "D_OUT_1": [ "0" ],
- "OUTPUT_CLK": [ 650 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "fclkoutp": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:114"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_OUT_0": "input",
- "D_OUT_1": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_OUT_0": [ "0" ],
- "D_OUT_1": [ "1" ],
- "OUTPUT_CLK": [ 650 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "pll8020.uut": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 0,
- "DIVQ": 5,
- "DIVR": 3,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:30|pll.v:19"
- },
- "port_directions": {
- "BYPASS": "input",
- "LOCK": "output",
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "LOCK": [ 1725 ],
- "PLLOUTCORE": [ 920 ],
- "REFERENCECLK": [ 650 ],
- "RESETB": [ "1" ]
- }
- },
- "right.stage_four.delayline.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 640, 1726, 586, 1727, 644, 1728, 642, 1729, 646, 1730, 582, 1731, 584, 1732, 588, 1733 ],
- "RE": [ "1" ],
- "WADDR": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 431 ],
- "WDATA": [ 1218, "x", 1216, "x", 1214, "x", 1212, "x", 1210, "x", 1208, "x", 1206, "x", 1204, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_four.delayline.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 590, 1734, 592, 1735, 594, 1736, 596, 1737, 598, 1738, 600, 1739, 602, 1740, 604, 1741 ],
- "RE": [ "1" ],
- "WADDR": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 431 ],
- "WDATA": [ 1202, "x", 1200, "x", 1198, "x", 1196, "x", 1194, "x", 1192, "x", 1190, "x", 1188, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_four.delayline.mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 606, 1742, 608, 1743, 610, 1744, 612, 1745, 614, 1746, 616, 1747, 618, 1748, 620, 1749 ],
- "RE": [ "1" ],
- "WADDR": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 431 ],
- "WDATA": [ 1186, "x", 1184, "x", 1182, "x", 1180, "x", 1178, "x", 1176, "x", 1174, "x", 1463, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_one.delayline.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 998, 996, 995, 993, 991, 989, 987, 985, 1460, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 570, 1750, 568, 1751, 574, 1752, 572, 1753, 544, 1754, 542, 1755, 540, 1756, 566, 1757 ],
- "RE": [ "1" ],
- "WADDR": [ 439, 438, 1004, 1003, 1002, 1001, 1000, 999, 1461, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 413 ],
- "WDATA": [ 681, "x", 679, "x", 677, "x", 675, "x", 673, "x", 671, "x", 669, "x", 667, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_one.delayline.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 998, 996, 995, 993, 991, 989, 987, 985, 1460, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 564, 1758, 562, 1759, 560, 1760, 558, 1761, 556, 1762, 580, 1763, 578, 1764, 576, 1765 ],
- "RE": [ "1" ],
- "WADDR": [ 439, 438, 1004, 1003, 1002, 1001, 1000, 999, 1461, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 413 ],
- "WDATA": [ 665, "x", 663, "x", 661, "x", 659, "x", 657, "x", 655, "x", 653, "x", 728, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_three.delayline.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 508, 1766, 502, 1767, 546, 1768, 504, 1769, 506, 1770, 548, 1771, 520, 1772, 518, 1773 ],
- "RE": [ "1" ],
- "WADDR": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 425 ],
- "WDATA": [ 1326, "x", 1324, "x", 1322, "x", 1320, "x", 1318, "x", 1316, "x", 1314, "x", 1312, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_three.delayline.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 516, 1774, 514, 1775, 512, 1776, 510, 1777, 532, 1778, 530, 1779, 528, 1780, 538, 1781 ],
- "RE": [ "1" ],
- "WADDR": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 425 ],
- "WDATA": [ 1310, "x", 1308, "x", 1306, "x", 1304, "x", 1302, "x", 1300, "x", 1298, "x", 1296, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_three.delayline.mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 536, 1782, 534, 1783, 526, 1784, 524, 1785, 522, 1786, 554, 1787, 552, 1788, 550, 1789 ],
- "RE": [ "1" ],
- "WADDR": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 425 ],
- "WDATA": [ 1294, "x", 1292, "x", 1290, "x", 1288, "x", 1286, "x", 1284, "x", 1282, "x", 1470, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_two.delayline.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 977, 975, 974, 972, 970, 968, 966, 964, 1474, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 636, 1790, 630, 1791, 638, 1792, 628, 1793, 626, 1794, 632, 1795, 634, 1796, 462, 1797 ],
- "RE": [ "1" ],
- "WADDR": [ 445, 444, 983, 982, 981, 980, 979, 978, 1475, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 419 ],
- "WDATA": [ 1402, "x", 1400, "x", 1398, "x", 1396, "x", 1394, "x", 1392, "x", 1390, "x", 1388, "x" ],
- "WE": [ "1" ]
- }
- },
- "right.stage_two.delayline.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 977, 975, 974, 972, 970, 968, 966, 964, 1474, "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 464, 1798, 466, 1799, 468, 1800, 492, 1801, 494, 1802, 496, 1803, 498, 1804, 500, 1805 ],
- "RE": [ "1" ],
- "WADDR": [ 445, 444, 983, 982, 981, 980, 979, 978, 1475, "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 419 ],
- "WDATA": [ 1386, "x", 1384, "x", 1382, "x", 1380, "x", 1378, "x", 1376, "x", 1374, "x", 1456, "x" ],
- "WE": [ "1" ]
- }
- },
- "rightd.older.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649, 649 ],
- "RADDR": [ 1012, 1010, 1009, 1007, 1477, "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 624, 470, 490, 480, 482, 472, 474, 622, 484, 476, 486, 460, 454, 458, 456, 478 ],
- "RE": [ "1" ],
- "WADDR": [ 448, 447, 1014, 1013, 1478, "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ 648 ],
- "WDATA": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064 ],
- "WE": [ "1" ]
- }
- },
- "rightd2.older.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ],
- "RADDR": [ 1005, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 920 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 488, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ 920 ],
- "WCLKE": [ "0" ],
- "WDATA": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421 ],
- "WE": [ "1" ]
- }
- },
- "sb_io_bclk": {
- "hide_name": 0,
- "type": "SB_GB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:9"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 650 ],
- "PACKAGE_PIN": [ 2 ]
- }
- },
- "sb_io_lrclk": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:15"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 403 ],
- "INPUT_CLK": [ 650 ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "sb_io_sdin": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hx8kbb.v:23"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 726 ],
- "INPUT_CLK": [ 650 ],
- "PACKAGE_PIN": [ 4 ]
- }
- }
- },
- "netnames": {
- "$abc$12689$n0": {
- "hide_name": 1,
- "bits": [ 450 ],
- "attributes": {
- }
- },
- "$abc$12689$n1": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$12689$n10": {
- "hide_name": 1,
- "bits": [ 13 ],
- "attributes": {
- }
- },
- "$abc$12689$n100": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$12689$n1002": {
- "hide_name": 1,
- "bits": [ 463 ],
- "attributes": {
- }
- },
- "$abc$12689$n1004": {
- "hide_name": 1,
- "bits": [ 465 ],
- "attributes": {
- }
- },
- "$abc$12689$n1006": {
- "hide_name": 1,
- "bits": [ 467 ],
- "attributes": {
- }
- },
- "$abc$12689$n1008": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$12689$n101": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$12689$n102": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$12689$n103": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$12689$n1032": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$12689$n104": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$12689$n105": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$12689$n106": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$12689$n1064": {
- "hide_name": 1,
- "bits": [ 473 ],
- "attributes": {
- }
- },
- "$abc$12689$n107": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$12689$n1074": {
- "hide_name": 1,
- "bits": [ 475 ],
- "attributes": {
- }
- },
- "$abc$12689$n1076": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$12689$n108": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$12689$n1080": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$12689$n1086": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$12689$n1088": {
- "hide_name": 1,
- "bits": [ 483 ],
- "attributes": {
- }
- },
- "$abc$12689$n109": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$12689$n1092": {
- "hide_name": 1,
- "bits": [ 485 ],
- "attributes": {
- }
- },
- "$abc$12689$n1094": {
- "hide_name": 1,
- "bits": [ 487 ],
- "attributes": {
- }
- },
- "$abc$12689$n1096": {
- "hide_name": 1,
- "bits": [ 489 ],
- "attributes": {
- }
- },
- "$abc$12689$n11": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$12689$n110": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$12689$n1100": {
- "hide_name": 1,
- "bits": [ 491 ],
- "attributes": {
- }
- },
- "$abc$12689$n1102": {
- "hide_name": 1,
- "bits": [ 493 ],
- "attributes": {
- }
- },
- "$abc$12689$n1104": {
- "hide_name": 1,
- "bits": [ 495 ],
- "attributes": {
- }
- },
- "$abc$12689$n1106": {
- "hide_name": 1,
- "bits": [ 497 ],
- "attributes": {
- }
- },
- "$abc$12689$n1108": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$12689$n111": {
- "hide_name": 1,
- "bits": [ 114 ],
- "attributes": {
- }
- },
- "$abc$12689$n1110": {
- "hide_name": 1,
- "bits": [ 501 ],
- "attributes": {
- }
- },
- "$abc$12689$n1112": {
- "hide_name": 1,
- "bits": [ 503 ],
- "attributes": {
- }
- },
- "$abc$12689$n1114": {
- "hide_name": 1,
- "bits": [ 505 ],
- "attributes": {
- }
- },
- "$abc$12689$n1116": {
- "hide_name": 1,
- "bits": [ 507 ],
- "attributes": {
- }
- },
- "$abc$12689$n1118": {
- "hide_name": 1,
- "bits": [ 509 ],
- "attributes": {
- }
- },
- "$abc$12689$n112": {
- "hide_name": 1,
- "bits": [ 115 ],
- "attributes": {
- }
- },
- "$abc$12689$n1120": {
- "hide_name": 1,
- "bits": [ 511 ],
- "attributes": {
- }
- },
- "$abc$12689$n1122": {
- "hide_name": 1,
- "bits": [ 513 ],
- "attributes": {
- }
- },
- "$abc$12689$n1124": {
- "hide_name": 1,
- "bits": [ 515 ],
- "attributes": {
- }
- },
- "$abc$12689$n1126": {
- "hide_name": 1,
- "bits": [ 517 ],
- "attributes": {
- }
- },
- "$abc$12689$n1128": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$12689$n113": {
- "hide_name": 1,
- "bits": [ 116 ],
- "attributes": {
- }
- },
- "$abc$12689$n1130": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$12689$n1132": {
- "hide_name": 1,
- "bits": [ 523 ],
- "attributes": {
- }
- },
- "$abc$12689$n1134": {
- "hide_name": 1,
- "bits": [ 525 ],
- "attributes": {
- }
- },
- "$abc$12689$n1136": {
- "hide_name": 1,
- "bits": [ 527 ],
- "attributes": {
- }
- },
- "$abc$12689$n1138": {
- "hide_name": 1,
- "bits": [ 529 ],
- "attributes": {
- }
- },
- "$abc$12689$n114": {
- "hide_name": 1,
- "bits": [ 117 ],
- "attributes": {
- }
- },
- "$abc$12689$n1140": {
- "hide_name": 1,
- "bits": [ 531 ],
- "attributes": {
- }
- },
- "$abc$12689$n1142": {
- "hide_name": 1,
- "bits": [ 533 ],
- "attributes": {
- }
- },
- "$abc$12689$n1144": {
- "hide_name": 1,
- "bits": [ 535 ],
- "attributes": {
- }
- },
- "$abc$12689$n1146": {
- "hide_name": 1,
- "bits": [ 537 ],
- "attributes": {
- }
- },
- "$abc$12689$n1148": {
- "hide_name": 1,
- "bits": [ 539 ],
- "attributes": {
- }
- },
- "$abc$12689$n115": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$12689$n1150": {
- "hide_name": 1,
- "bits": [ 541 ],
- "attributes": {
- }
- },
- "$abc$12689$n1152": {
- "hide_name": 1,
- "bits": [ 543 ],
- "attributes": {
- }
- },
- "$abc$12689$n1154": {
- "hide_name": 1,
- "bits": [ 545 ],
- "attributes": {
- }
- },
- "$abc$12689$n1156": {
- "hide_name": 1,
- "bits": [ 547 ],
- "attributes": {
- }
- },
- "$abc$12689$n1158": {
- "hide_name": 1,
- "bits": [ 549 ],
- "attributes": {
- }
- },
- "$abc$12689$n116": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$12689$n1160": {
- "hide_name": 1,
- "bits": [ 551 ],
- "attributes": {
- }
- },
- "$abc$12689$n1162": {
- "hide_name": 1,
- "bits": [ 553 ],
- "attributes": {
- }
- },
- "$abc$12689$n1164": {
- "hide_name": 1,
- "bits": [ 555 ],
- "attributes": {
- }
- },
- "$abc$12689$n1166": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$12689$n1168": {
- "hide_name": 1,
- "bits": [ 559 ],
- "attributes": {
- }
- },
- "$abc$12689$n117": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$12689$n1170": {
- "hide_name": 1,
- "bits": [ 561 ],
- "attributes": {
- }
- },
- "$abc$12689$n1172": {
- "hide_name": 1,
- "bits": [ 563 ],
- "attributes": {
- }
- },
- "$abc$12689$n1174": {
- "hide_name": 1,
- "bits": [ 565 ],
- "attributes": {
- }
- },
- "$abc$12689$n1176": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$12689$n1178": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$12689$n118": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$12689$n1180": {
- "hide_name": 1,
- "bits": [ 571 ],
- "attributes": {
- }
- },
- "$abc$12689$n1182": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$12689$n1184": {
- "hide_name": 1,
- "bits": [ 575 ],
- "attributes": {
- }
- },
- "$abc$12689$n1186": {
- "hide_name": 1,
- "bits": [ 577 ],
- "attributes": {
- }
- },
- "$abc$12689$n1188": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$12689$n119": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$12689$n1190": {
- "hide_name": 1,
- "bits": [ 581 ],
- "attributes": {
- }
- },
- "$abc$12689$n1192": {
- "hide_name": 1,
- "bits": [ 583 ],
- "attributes": {
- }
- },
- "$abc$12689$n1194": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$12689$n1196": {
- "hide_name": 1,
- "bits": [ 587 ],
- "attributes": {
- }
- },
- "$abc$12689$n1198": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$12689$n12": {
- "hide_name": 1,
- "bits": [ 15 ],
- "attributes": {
- }
- },
- "$abc$12689$n120": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$12689$n1200": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$12689$n1202": {
- "hide_name": 1,
- "bits": [ 593 ],
- "attributes": {
- }
- },
- "$abc$12689$n1204": {
- "hide_name": 1,
- "bits": [ 595 ],
- "attributes": {
- }
- },
- "$abc$12689$n1206": {
- "hide_name": 1,
- "bits": [ 597 ],
- "attributes": {
- }
- },
- "$abc$12689$n1208": {
- "hide_name": 1,
- "bits": [ 599 ],
- "attributes": {
- }
- },
- "$abc$12689$n121": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$12689$n1210": {
- "hide_name": 1,
- "bits": [ 601 ],
- "attributes": {
- }
- },
- "$abc$12689$n1212": {
- "hide_name": 1,
- "bits": [ 603 ],
- "attributes": {
- }
- },
- "$abc$12689$n1214": {
- "hide_name": 1,
- "bits": [ 605 ],
- "attributes": {
- }
- },
- "$abc$12689$n1216": {
- "hide_name": 1,
- "bits": [ 607 ],
- "attributes": {
- }
- },
- "$abc$12689$n1218": {
- "hide_name": 1,
- "bits": [ 609 ],
- "attributes": {
- }
- },
- "$abc$12689$n122": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$12689$n1220": {
- "hide_name": 1,
- "bits": [ 611 ],
- "attributes": {
- }
- },
- "$abc$12689$n1222": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$12689$n1224": {
- "hide_name": 1,
- "bits": [ 615 ],
- "attributes": {
- }
- },
- "$abc$12689$n1226": {
- "hide_name": 1,
- "bits": [ 617 ],
- "attributes": {
- }
- },
- "$abc$12689$n1228": {
- "hide_name": 1,
- "bits": [ 619 ],
- "attributes": {
- }
- },
- "$abc$12689$n123": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$12689$n1230": {
- "hide_name": 1,
- "bits": [ 621 ],
- "attributes": {
- }
- },
- "$abc$12689$n124": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$12689$n125": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$12689$n126": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$12689$n127": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$12689$n128": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$12689$n129": {
- "hide_name": 1,
- "bits": [ 132 ],
- "attributes": {
- }
- },
- "$abc$12689$n13": {
- "hide_name": 1,
- "bits": [ 16 ],
- "attributes": {
- }
- },
- "$abc$12689$n130": {
- "hide_name": 1,
- "bits": [ 133 ],
- "attributes": {
- }
- },
- "$abc$12689$n131": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$12689$n132": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$12689$n133": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$12689$n134": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$12689$n135": {
- "hide_name": 1,
- "bits": [ 138 ],
- "attributes": {
- }
- },
- "$abc$12689$n136": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$12689$n137": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$12689$n138": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$12689$n139": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$12689$n14": {
- "hide_name": 1,
- "bits": [ 17 ],
- "attributes": {
- }
- },
- "$abc$12689$n140": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$12689$n141": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$12689$n142": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$12689$n143": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$12689$n144": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$12689$n145": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$12689$n146": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$12689$n1460": {
- "hide_name": 1,
- "bits": [ 623 ],
- "attributes": {
- }
- },
- "$abc$12689$n1462": {
- "hide_name": 1,
- "bits": [ 625 ],
- "attributes": {
- }
- },
- "$abc$12689$n147": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$12689$n1478": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$12689$n148": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$12689$n1480": {
- "hide_name": 1,
- "bits": [ 629 ],
- "attributes": {
- }
- },
- "$abc$12689$n1482": {
- "hide_name": 1,
- "bits": [ 631 ],
- "attributes": {
- }
- },
- "$abc$12689$n1484": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$12689$n1486": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$12689$n1488": {
- "hide_name": 1,
- "bits": [ 637 ],
- "attributes": {
- }
- },
- "$abc$12689$n149": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$12689$n1490": {
- "hide_name": 1,
- "bits": [ 639 ],
- "attributes": {
- }
- },
- "$abc$12689$n15": {
- "hide_name": 1,
- "bits": [ 18 ],
- "attributes": {
- }
- },
- "$abc$12689$n150": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$12689$n1500": {
- "hide_name": 1,
- "bits": [ 641 ],
- "attributes": {
- }
- },
- "$abc$12689$n1502": {
- "hide_name": 1,
- "bits": [ 643 ],
- "attributes": {
- }
- },
- "$abc$12689$n1504": {
- "hide_name": 1,
- "bits": [ 645 ],
- "attributes": {
- }
- },
- "$abc$12689$n1506": {
- "hide_name": 1,
- "bits": [ 647 ],
- "attributes": {
- }
- },
- "$abc$12689$n151": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$12689$n152": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- }
- },
- "$abc$12689$n153": {
- "hide_name": 1,
- "bits": [ 156 ],
- "attributes": {
- }
- },
- "$abc$12689$n154": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$12689$n155": {
- "hide_name": 1,
- "bits": [ 158 ],
- "attributes": {
- }
- },
- "$abc$12689$n156": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$12689$n1560": {
- "hide_name": 1,
- "bits": [ 649 ],
- "attributes": {
- }
- },
- "$abc$12689$n1561": {
- "hide_name": 1,
- "bits": [ 410 ],
- "attributes": {
- }
- },
- "$abc$12689$n1563": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$12689$n1565": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$12689$n1566": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$12689$n1567": {
- "hide_name": 1,
- "bits": [ 416 ],
- "attributes": {
- }
- },
- "$abc$12689$n1568": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$12689$n1569": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$12689$n157": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$12689$n1571": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$12689$n1572": {
- "hide_name": 1,
- "bits": [ 421 ],
- "attributes": {
- }
- },
- "$abc$12689$n1573": {
- "hide_name": 1,
- "bits": [ 422 ],
- "attributes": {
- }
- },
- "$abc$12689$n1574": {
- "hide_name": 1,
- "bits": [ 423 ],
- "attributes": {
- }
- },
- "$abc$12689$n1575": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$12689$n1577": {
- "hide_name": 1,
- "bits": [ 426 ],
- "attributes": {
- }
- },
- "$abc$12689$n1578": {
- "hide_name": 1,
- "bits": [ 427 ],
- "attributes": {
- }
- },
- "$abc$12689$n1579": {
- "hide_name": 1,
- "bits": [ 428 ],
- "attributes": {
- }
- },
- "$abc$12689$n158": {
- "hide_name": 1,
- "bits": [ 161 ],
- "attributes": {
- }
- },
- "$abc$12689$n1580": {
- "hide_name": 1,
- "bits": [ 429 ],
- "attributes": {
- }
- },
- "$abc$12689$n1581": {
- "hide_name": 1,
- "bits": [ 430 ],
- "attributes": {
- }
- },
- "$abc$12689$n1583": {
- "hide_name": 1,
- "bits": [ 432 ],
- "attributes": {
- }
- },
- "$abc$12689$n1584": {
- "hide_name": 1,
- "bits": [ 433 ],
- "attributes": {
- }
- },
- "$abc$12689$n1585": {
- "hide_name": 1,
- "bits": [ 434 ],
- "attributes": {
- }
- },
- "$abc$12689$n159": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$12689$n16": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$12689$n160": {
- "hide_name": 1,
- "bits": [ 163 ],
- "attributes": {
- }
- },
- "$abc$12689$n1609": {
- "hide_name": 1,
- "bits": [ 437 ],
- "attributes": {
- }
- },
- "$abc$12689$n161": {
- "hide_name": 1,
- "bits": [ 164 ],
- "attributes": {
- }
- },
- "$abc$12689$n1613": {
- "hide_name": 1,
- "bits": [ 440 ],
- "attributes": {
- }
- },
- "$abc$12689$n1617": {
- "hide_name": 1,
- "bits": [ 443 ],
- "attributes": {
- }
- },
- "$abc$12689$n162": {
- "hide_name": 1,
- "bits": [ 165 ],
- "attributes": {
- }
- },
- "$abc$12689$n1621": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$12689$n1625": {
- "hide_name": 1,
- "bits": [ 449 ],
- "attributes": {
- }
- },
- "$abc$12689$n163": {
- "hide_name": 1,
- "bits": [ 166 ],
- "attributes": {
- }
- },
- "$abc$12689$n164": {
- "hide_name": 1,
- "bits": [ 167 ],
- "attributes": {
- }
- },
- "$abc$12689$n165": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$12689$n166": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$12689$n167": {
- "hide_name": 1,
- "bits": [ 170 ],
- "attributes": {
- }
- },
- "$abc$12689$n168": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$12689$n169": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$12689$n17": {
- "hide_name": 1,
- "bits": [ 20 ],
- "attributes": {
- }
- },
- "$abc$12689$n170": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$12689$n171": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$12689$n172": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$12689$n173": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$12689$n174": {
- "hide_name": 1,
- "bits": [ 177 ],
- "attributes": {
- }
- },
- "$abc$12689$n175": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$12689$n176": {
- "hide_name": 1,
- "bits": [ 179 ],
- "attributes": {
- }
- },
- "$abc$12689$n177": {
- "hide_name": 1,
- "bits": [ 180 ],
- "attributes": {
- }
- },
- "$abc$12689$n178": {
- "hide_name": 1,
- "bits": [ 181 ],
- "attributes": {
- }
- },
- "$abc$12689$n179": {
- "hide_name": 1,
- "bits": [ 182 ],
- "attributes": {
- }
- },
- "$abc$12689$n18": {
- "hide_name": 1,
- "bits": [ 21 ],
- "attributes": {
- }
- },
- "$abc$12689$n180": {
- "hide_name": 1,
- "bits": [ 183 ],
- "attributes": {
- }
- },
- "$abc$12689$n181": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$12689$n182": {
- "hide_name": 1,
- "bits": [ 185 ],
- "attributes": {
- }
- },
- "$abc$12689$n183": {
- "hide_name": 1,
- "bits": [ 186 ],
- "attributes": {
- }
- },
- "$abc$12689$n184": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$12689$n185": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$12689$n186": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$12689$n187": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$12689$n188": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$12689$n189": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$12689$n19": {
- "hide_name": 1,
- "bits": [ 22 ],
- "attributes": {
- }
- },
- "$abc$12689$n190": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$12689$n191": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$12689$n192": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$12689$n193": {
- "hide_name": 1,
- "bits": [ 196 ],
- "attributes": {
- }
- },
- "$abc$12689$n194": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$12689$n195": {
- "hide_name": 1,
- "bits": [ 198 ],
- "attributes": {
- }
- },
- "$abc$12689$n196": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$12689$n197": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$12689$n198": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$12689$n199": {
- "hide_name": 1,
- "bits": [ 202 ],
- "attributes": {
- }
- },
- "$abc$12689$n2": {
- "hide_name": 1,
- "bits": [ 452 ],
- "attributes": {
- }
- },
- "$abc$12689$n20": {
- "hide_name": 1,
- "bits": [ 23 ],
- "attributes": {
- }
- },
- "$abc$12689$n200": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$12689$n201": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$12689$n202": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$12689$n203": {
- "hide_name": 1,
- "bits": [ 206 ],
- "attributes": {
- }
- },
- "$abc$12689$n204": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$12689$n205": {
- "hide_name": 1,
- "bits": [ 208 ],
- "attributes": {
- }
- },
- "$abc$12689$n206": {
- "hide_name": 1,
- "bits": [ 209 ],
- "attributes": {
- }
- },
- "$abc$12689$n207": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$12689$n208": {
- "hide_name": 1,
- "bits": [ 211 ],
- "attributes": {
- }
- },
- "$abc$12689$n209": {
- "hide_name": 1,
- "bits": [ 212 ],
- "attributes": {
- }
- },
- "$abc$12689$n21": {
- "hide_name": 1,
- "bits": [ 24 ],
- "attributes": {
- }
- },
- "$abc$12689$n210": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$12689$n211": {
- "hide_name": 1,
- "bits": [ 214 ],
- "attributes": {
- }
- },
- "$abc$12689$n212": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$abc$12689$n213": {
- "hide_name": 1,
- "bits": [ 216 ],
- "attributes": {
- }
- },
- "$abc$12689$n214": {
- "hide_name": 1,
- "bits": [ 217 ],
- "attributes": {
- }
- },
- "$abc$12689$n215": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$12689$n216": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$12689$n217": {
- "hide_name": 1,
- "bits": [ 220 ],
- "attributes": {
- }
- },
- "$abc$12689$n218": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$12689$n219": {
- "hide_name": 1,
- "bits": [ 222 ],
- "attributes": {
- }
- },
- "$abc$12689$n22": {
- "hide_name": 1,
- "bits": [ 25 ],
- "attributes": {
- }
- },
- "$abc$12689$n220": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$12689$n221": {
- "hide_name": 1,
- "bits": [ 224 ],
- "attributes": {
- }
- },
- "$abc$12689$n222": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$12689$n223": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$12689$n224": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$12689$n225": {
- "hide_name": 1,
- "bits": [ 228 ],
- "attributes": {
- }
- },
- "$abc$12689$n226": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$12689$n227": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$12689$n228": {
- "hide_name": 1,
- "bits": [ 231 ],
- "attributes": {
- }
- },
- "$abc$12689$n229": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$12689$n23": {
- "hide_name": 1,
- "bits": [ 26 ],
- "attributes": {
- }
- },
- "$abc$12689$n230": {
- "hide_name": 1,
- "bits": [ 233 ],
- "attributes": {
- }
- },
- "$abc$12689$n231": {
- "hide_name": 1,
- "bits": [ 234 ],
- "attributes": {
- }
- },
- "$abc$12689$n232": {
- "hide_name": 1,
- "bits": [ 235 ],
- "attributes": {
- }
- },
- "$abc$12689$n233": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$12689$n234": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$12689$n235": {
- "hide_name": 1,
- "bits": [ 238 ],
- "attributes": {
- }
- },
- "$abc$12689$n236": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$12689$n237": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$12689$n238": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$12689$n239": {
- "hide_name": 1,
- "bits": [ 242 ],
- "attributes": {
- }
- },
- "$abc$12689$n24": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$12689$n240": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$12689$n241": {
- "hide_name": 1,
- "bits": [ 244 ],
- "attributes": {
- }
- },
- "$abc$12689$n242": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$12689$n243": {
- "hide_name": 1,
- "bits": [ 246 ],
- "attributes": {
- }
- },
- "$abc$12689$n244": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$12689$n245": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$12689$n246": {
- "hide_name": 1,
- "bits": [ 249 ],
- "attributes": {
- }
- },
- "$abc$12689$n247": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$12689$n248": {
- "hide_name": 1,
- "bits": [ 251 ],
- "attributes": {
- }
- },
- "$abc$12689$n249": {
- "hide_name": 1,
- "bits": [ 252 ],
- "attributes": {
- }
- },
- "$abc$12689$n25": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$12689$n250": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$12689$n251": {
- "hide_name": 1,
- "bits": [ 254 ],
- "attributes": {
- }
- },
- "$abc$12689$n252": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$12689$n253": {
- "hide_name": 1,
- "bits": [ 256 ],
- "attributes": {
- }
- },
- "$abc$12689$n254": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$12689$n255": {
- "hide_name": 1,
- "bits": [ 258 ],
- "attributes": {
- }
- },
- "$abc$12689$n256": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$12689$n257": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$12689$n258": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$12689$n259": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$12689$n26": {
- "hide_name": 1,
- "bits": [ 29 ],
- "attributes": {
- }
- },
- "$abc$12689$n260": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$12689$n261": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$12689$n262": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$12689$n263": {
- "hide_name": 1,
- "bits": [ 266 ],
- "attributes": {
- }
- },
- "$abc$12689$n264": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$12689$n265": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$12689$n266": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$12689$n267": {
- "hide_name": 1,
- "bits": [ 270 ],
- "attributes": {
- }
- },
- "$abc$12689$n268": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$12689$n269": {
- "hide_name": 1,
- "bits": [ 272 ],
- "attributes": {
- }
- },
- "$abc$12689$n27": {
- "hide_name": 1,
- "bits": [ 30 ],
- "attributes": {
- }
- },
- "$abc$12689$n270": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$12689$n271": {
- "hide_name": 1,
- "bits": [ 274 ],
- "attributes": {
- }
- },
- "$abc$12689$n272": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$12689$n273": {
- "hide_name": 1,
- "bits": [ 276 ],
- "attributes": {
- }
- },
- "$abc$12689$n274": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$12689$n275": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$12689$n276": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$12689$n277": {
- "hide_name": 1,
- "bits": [ 280 ],
- "attributes": {
- }
- },
- "$abc$12689$n278": {
- "hide_name": 1,
- "bits": [ 281 ],
- "attributes": {
- }
- },
- "$abc$12689$n279": {
- "hide_name": 1,
- "bits": [ 282 ],
- "attributes": {
- }
- },
- "$abc$12689$n28": {
- "hide_name": 1,
- "bits": [ 31 ],
- "attributes": {
- }
- },
- "$abc$12689$n280": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$12689$n281": {
- "hide_name": 1,
- "bits": [ 284 ],
- "attributes": {
- }
- },
- "$abc$12689$n282": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$12689$n283": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$12689$n284": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$12689$n285": {
- "hide_name": 1,
- "bits": [ 288 ],
- "attributes": {
- }
- },
- "$abc$12689$n286": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$12689$n287": {
- "hide_name": 1,
- "bits": [ 290 ],
- "attributes": {
- }
- },
- "$abc$12689$n288": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$12689$n289": {
- "hide_name": 1,
- "bits": [ 292 ],
- "attributes": {
- }
- },
- "$abc$12689$n29": {
- "hide_name": 1,
- "bits": [ 32 ],
- "attributes": {
- }
- },
- "$abc$12689$n290": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$12689$n291": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$12689$n292": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$12689$n293": {
- "hide_name": 1,
- "bits": [ 296 ],
- "attributes": {
- }
- },
- "$abc$12689$n294": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$12689$n295": {
- "hide_name": 1,
- "bits": [ 298 ],
- "attributes": {
- }
- },
- "$abc$12689$n296": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$12689$n297": {
- "hide_name": 1,
- "bits": [ 300 ],
- "attributes": {
- }
- },
- "$abc$12689$n298": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$12689$n299": {
- "hide_name": 1,
- "bits": [ 302 ],
- "attributes": {
- }
- },
- "$abc$12689$n3": {
- "hide_name": 1,
- "bits": [ 453 ],
- "attributes": {
- }
- },
- "$abc$12689$n30": {
- "hide_name": 1,
- "bits": [ 33 ],
- "attributes": {
- }
- },
- "$abc$12689$n300": {
- "hide_name": 1,
- "bits": [ 303 ],
- "attributes": {
- }
- },
- "$abc$12689$n301": {
- "hide_name": 1,
- "bits": [ 304 ],
- "attributes": {
- }
- },
- "$abc$12689$n302": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$12689$n303": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$12689$n304": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$12689$n305": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$12689$n306": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$12689$n307": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$12689$n308": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$12689$n309": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$12689$n31": {
- "hide_name": 1,
- "bits": [ 34 ],
- "attributes": {
- }
- },
- "$abc$12689$n310": {
- "hide_name": 1,
- "bits": [ 313 ],
- "attributes": {
- }
- },
- "$abc$12689$n311": {
- "hide_name": 1,
- "bits": [ 314 ],
- "attributes": {
- }
- },
- "$abc$12689$n312": {
- "hide_name": 1,
- "bits": [ 315 ],
- "attributes": {
- }
- },
- "$abc$12689$n313": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$12689$n314": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$12689$n315": {
- "hide_name": 1,
- "bits": [ 318 ],
- "attributes": {
- }
- },
- "$abc$12689$n316": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$12689$n317": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$12689$n318": {
- "hide_name": 1,
- "bits": [ 321 ],
- "attributes": {
- }
- },
- "$abc$12689$n319": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$12689$n32": {
- "hide_name": 1,
- "bits": [ 35 ],
- "attributes": {
- }
- },
- "$abc$12689$n320": {
- "hide_name": 1,
- "bits": [ 323 ],
- "attributes": {
- }
- },
- "$abc$12689$n321": {
- "hide_name": 1,
- "bits": [ 324 ],
- "attributes": {
- }
- },
- "$abc$12689$n322": {
- "hide_name": 1,
- "bits": [ 325 ],
- "attributes": {
- }
- },
- "$abc$12689$n323": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$12689$n324": {
- "hide_name": 1,
- "bits": [ 327 ],
- "attributes": {
- }
- },
- "$abc$12689$n325": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$12689$n326": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$12689$n327": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$12689$n328": {
- "hide_name": 1,
- "bits": [ 331 ],
- "attributes": {
- }
- },
- "$abc$12689$n329": {
- "hide_name": 1,
- "bits": [ 332 ],
- "attributes": {
- }
- },
- "$abc$12689$n33": {
- "hide_name": 1,
- "bits": [ 36 ],
- "attributes": {
- }
- },
- "$abc$12689$n330": {
- "hide_name": 1,
- "bits": [ 333 ],
- "attributes": {
- }
- },
- "$abc$12689$n331": {
- "hide_name": 1,
- "bits": [ 334 ],
- "attributes": {
- }
- },
- "$abc$12689$n332": {
- "hide_name": 1,
- "bits": [ 335 ],
- "attributes": {
- }
- },
- "$abc$12689$n333": {
- "hide_name": 1,
- "bits": [ 336 ],
- "attributes": {
- }
- },
- "$abc$12689$n334": {
- "hide_name": 1,
- "bits": [ 337 ],
- "attributes": {
- }
- },
- "$abc$12689$n335": {
- "hide_name": 1,
- "bits": [ 338 ],
- "attributes": {
- }
- },
- "$abc$12689$n336": {
- "hide_name": 1,
- "bits": [ 339 ],
- "attributes": {
- }
- },
- "$abc$12689$n337": {
- "hide_name": 1,
- "bits": [ 340 ],
- "attributes": {
- }
- },
- "$abc$12689$n338": {
- "hide_name": 1,
- "bits": [ 341 ],
- "attributes": {
- }
- },
- "$abc$12689$n339": {
- "hide_name": 1,
- "bits": [ 342 ],
- "attributes": {
- }
- },
- "$abc$12689$n34": {
- "hide_name": 1,
- "bits": [ 37 ],
- "attributes": {
- }
- },
- "$abc$12689$n340": {
- "hide_name": 1,
- "bits": [ 343 ],
- "attributes": {
- }
- },
- "$abc$12689$n341": {
- "hide_name": 1,
- "bits": [ 344 ],
- "attributes": {
- }
- },
- "$abc$12689$n342": {
- "hide_name": 1,
- "bits": [ 345 ],
- "attributes": {
- }
- },
- "$abc$12689$n343": {
- "hide_name": 1,
- "bits": [ 346 ],
- "attributes": {
- }
- },
- "$abc$12689$n344": {
- "hide_name": 1,
- "bits": [ 347 ],
- "attributes": {
- }
- },
- "$abc$12689$n345": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$12689$n346": {
- "hide_name": 1,
- "bits": [ 349 ],
- "attributes": {
- }
- },
- "$abc$12689$n347": {
- "hide_name": 1,
- "bits": [ 350 ],
- "attributes": {
- }
- },
- "$abc$12689$n348": {
- "hide_name": 1,
- "bits": [ 351 ],
- "attributes": {
- }
- },
- "$abc$12689$n349": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$12689$n35": {
- "hide_name": 1,
- "bits": [ 38 ],
- "attributes": {
- }
- },
- "$abc$12689$n350": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$12689$n351": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$12689$n352": {
- "hide_name": 1,
- "bits": [ 355 ],
- "attributes": {
- }
- },
- "$abc$12689$n353": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$12689$n354": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$12689$n355": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$12689$n356": {
- "hide_name": 1,
- "bits": [ 359 ],
- "attributes": {
- }
- },
- "$abc$12689$n357": {
- "hide_name": 1,
- "bits": [ 360 ],
- "attributes": {
- }
- },
- "$abc$12689$n358": {
- "hide_name": 1,
- "bits": [ 361 ],
- "attributes": {
- }
- },
- "$abc$12689$n359": {
- "hide_name": 1,
- "bits": [ 362 ],
- "attributes": {
- }
- },
- "$abc$12689$n36": {
- "hide_name": 1,
- "bits": [ 39 ],
- "attributes": {
- }
- },
- "$abc$12689$n360": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$12689$n361": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$12689$n362": {
- "hide_name": 1,
- "bits": [ 365 ],
- "attributes": {
- }
- },
- "$abc$12689$n363": {
- "hide_name": 1,
- "bits": [ 366 ],
- "attributes": {
- }
- },
- "$abc$12689$n364": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$12689$n365": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$12689$n366": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$12689$n367": {
- "hide_name": 1,
- "bits": [ 370 ],
- "attributes": {
- }
- },
- "$abc$12689$n368": {
- "hide_name": 1,
- "bits": [ 371 ],
- "attributes": {
- }
- },
- "$abc$12689$n369": {
- "hide_name": 1,
- "bits": [ 372 ],
- "attributes": {
- }
- },
- "$abc$12689$n37": {
- "hide_name": 1,
- "bits": [ 40 ],
- "attributes": {
- }
- },
- "$abc$12689$n370": {
- "hide_name": 1,
- "bits": [ 373 ],
- "attributes": {
- }
- },
- "$abc$12689$n371": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$12689$n372": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$12689$n373": {
- "hide_name": 1,
- "bits": [ 376 ],
- "attributes": {
- }
- },
- "$abc$12689$n374": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "$abc$12689$n375": {
- "hide_name": 1,
- "bits": [ 378 ],
- "attributes": {
- }
- },
- "$abc$12689$n376": {
- "hide_name": 1,
- "bits": [ 379 ],
- "attributes": {
- }
- },
- "$abc$12689$n377": {
- "hide_name": 1,
- "bits": [ 380 ],
- "attributes": {
- }
- },
- "$abc$12689$n378": {
- "hide_name": 1,
- "bits": [ 381 ],
- "attributes": {
- }
- },
- "$abc$12689$n379": {
- "hide_name": 1,
- "bits": [ 382 ],
- "attributes": {
- }
- },
- "$abc$12689$n38": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$12689$n380": {
- "hide_name": 1,
- "bits": [ 383 ],
- "attributes": {
- }
- },
- "$abc$12689$n381": {
- "hide_name": 1,
- "bits": [ 384 ],
- "attributes": {
- }
- },
- "$abc$12689$n382": {
- "hide_name": 1,
- "bits": [ 385 ],
- "attributes": {
- }
- },
- "$abc$12689$n383": {
- "hide_name": 1,
- "bits": [ 386 ],
- "attributes": {
- }
- },
- "$abc$12689$n384": {
- "hide_name": 1,
- "bits": [ 387 ],
- "attributes": {
- }
- },
- "$abc$12689$n385": {
- "hide_name": 1,
- "bits": [ 388 ],
- "attributes": {
- }
- },
- "$abc$12689$n386": {
- "hide_name": 1,
- "bits": [ 389 ],
- "attributes": {
- }
- },
- "$abc$12689$n387": {
- "hide_name": 1,
- "bits": [ 390 ],
- "attributes": {
- }
- },
- "$abc$12689$n388": {
- "hide_name": 1,
- "bits": [ 391 ],
- "attributes": {
- }
- },
- "$abc$12689$n389": {
- "hide_name": 1,
- "bits": [ 393 ],
- "attributes": {
- }
- },
- "$abc$12689$n39": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$12689$n390": {
- "hide_name": 1,
- "bits": [ 392 ],
- "attributes": {
- }
- },
- "$abc$12689$n391": {
- "hide_name": 1,
- "bits": [ 394 ],
- "attributes": {
- }
- },
- "$abc$12689$n392": {
- "hide_name": 1,
- "bits": [ 396 ],
- "attributes": {
- }
- },
- "$abc$12689$n393": {
- "hide_name": 1,
- "bits": [ 395 ],
- "attributes": {
- }
- },
- "$abc$12689$n395": {
- "hide_name": 1,
- "bits": [ 397 ],
- "attributes": {
- }
- },
- "$abc$12689$n396": {
- "hide_name": 1,
- "bits": [ 398 ],
- "attributes": {
- }
- },
- "$abc$12689$n397": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$12689$n398": {
- "hide_name": 1,
- "bits": [ 400 ],
- "attributes": {
- }
- },
- "$abc$12689$n399": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$12689$n40": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$12689$n400": {
- "hide_name": 1,
- "bits": [ 402 ],
- "attributes": {
- }
- },
- "$abc$12689$n401": {
- "hide_name": 1,
- "bits": [ 404 ],
- "attributes": {
- }
- },
- "$abc$12689$n403": {
- "hide_name": 1,
- "bits": [ 405 ],
- "attributes": {
- }
- },
- "$abc$12689$n407": {
- "hide_name": 1,
- "bits": [ 406 ],
- "attributes": {
- }
- },
- "$abc$12689$n408": {
- "hide_name": 1,
- "bits": [ 407 ],
- "attributes": {
- }
- },
- "$abc$12689$n41": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$12689$n410": {
- "hide_name": 1,
- "bits": [ 730 ],
- "attributes": {
- }
- },
- "$abc$12689$n413": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$12689$n416": {
- "hide_name": 1,
- "bits": [ 733 ],
- "attributes": {
- }
- },
- "$abc$12689$n419": {
- "hide_name": 1,
- "bits": [ 734 ],
- "attributes": {
- }
- },
- "$abc$12689$n42": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$12689$n422": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$12689$n425": {
- "hide_name": 1,
- "bits": [ 736 ],
- "attributes": {
- }
- },
- "$abc$12689$n428": {
- "hide_name": 1,
- "bits": [ 737 ],
- "attributes": {
- }
- },
- "$abc$12689$n43": {
- "hide_name": 1,
- "bits": [ 46 ],
- "attributes": {
- }
- },
- "$abc$12689$n431": {
- "hide_name": 1,
- "bits": [ 738 ],
- "attributes": {
- }
- },
- "$abc$12689$n434": {
- "hide_name": 1,
- "bits": [ 739 ],
- "attributes": {
- }
- },
- "$abc$12689$n437": {
- "hide_name": 1,
- "bits": [ 740 ],
- "attributes": {
- }
- },
- "$abc$12689$n44": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$12689$n440": {
- "hide_name": 1,
- "bits": [ 741 ],
- "attributes": {
- }
- },
- "$abc$12689$n443": {
- "hide_name": 1,
- "bits": [ 742 ],
- "attributes": {
- }
- },
- "$abc$12689$n446": {
- "hide_name": 1,
- "bits": [ 743 ],
- "attributes": {
- }
- },
- "$abc$12689$n449": {
- "hide_name": 1,
- "bits": [ 744 ],
- "attributes": {
- }
- },
- "$abc$12689$n45": {
- "hide_name": 1,
- "bits": [ 48 ],
- "attributes": {
- }
- },
- "$abc$12689$n452": {
- "hide_name": 1,
- "bits": [ 745 ],
- "attributes": {
- }
- },
- "$abc$12689$n455": {
- "hide_name": 1,
- "bits": [ 746 ],
- "attributes": {
- }
- },
- "$abc$12689$n458": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$12689$n46": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$12689$n461": {
- "hide_name": 1,
- "bits": [ 748 ],
- "attributes": {
- }
- },
- "$abc$12689$n464": {
- "hide_name": 1,
- "bits": [ 749 ],
- "attributes": {
- }
- },
- "$abc$12689$n467": {
- "hide_name": 1,
- "bits": [ 750 ],
- "attributes": {
- }
- },
- "$abc$12689$n47": {
- "hide_name": 1,
- "bits": [ 50 ],
- "attributes": {
- }
- },
- "$abc$12689$n470": {
- "hide_name": 1,
- "bits": [ 751 ],
- "attributes": {
- }
- },
- "$abc$12689$n473": {
- "hide_name": 1,
- "bits": [ 752 ],
- "attributes": {
- }
- },
- "$abc$12689$n476": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$12689$n479": {
- "hide_name": 1,
- "bits": [ 754 ],
- "attributes": {
- }
- },
- "$abc$12689$n48": {
- "hide_name": 1,
- "bits": [ 51 ],
- "attributes": {
- }
- },
- "$abc$12689$n482": {
- "hide_name": 1,
- "bits": [ 755 ],
- "attributes": {
- }
- },
- "$abc$12689$n485": {
- "hide_name": 1,
- "bits": [ 756 ],
- "attributes": {
- }
- },
- "$abc$12689$n488": {
- "hide_name": 1,
- "bits": [ 757 ],
- "attributes": {
- }
- },
- "$abc$12689$n49": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$12689$n491": {
- "hide_name": 1,
- "bits": [ 758 ],
- "attributes": {
- }
- },
- "$abc$12689$n494": {
- "hide_name": 1,
- "bits": [ 759 ],
- "attributes": {
- }
- },
- "$abc$12689$n497": {
- "hide_name": 1,
- "bits": [ 760 ],
- "attributes": {
- }
- },
- "$abc$12689$n50": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$12689$n500": {
- "hide_name": 1,
- "bits": [ 761 ],
- "attributes": {
- }
- },
- "$abc$12689$n503": {
- "hide_name": 1,
- "bits": [ 762 ],
- "attributes": {
- }
- },
- "$abc$12689$n506": {
- "hide_name": 1,
- "bits": [ 763 ],
- "attributes": {
- }
- },
- "$abc$12689$n509": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$12689$n51": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$12689$n512": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$12689$n515": {
- "hide_name": 1,
- "bits": [ 766 ],
- "attributes": {
- }
- },
- "$abc$12689$n518": {
- "hide_name": 1,
- "bits": [ 767 ],
- "attributes": {
- }
- },
- "$abc$12689$n52": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$12689$n521": {
- "hide_name": 1,
- "bits": [ 768 ],
- "attributes": {
- }
- },
- "$abc$12689$n524": {
- "hide_name": 1,
- "bits": [ 769 ],
- "attributes": {
- }
- },
- "$abc$12689$n527": {
- "hide_name": 1,
- "bits": [ 770 ],
- "attributes": {
- }
- },
- "$abc$12689$n53": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$12689$n530": {
- "hide_name": 1,
- "bits": [ 771 ],
- "attributes": {
- }
- },
- "$abc$12689$n533": {
- "hide_name": 1,
- "bits": [ 772 ],
- "attributes": {
- }
- },
- "$abc$12689$n536": {
- "hide_name": 1,
- "bits": [ 773 ],
- "attributes": {
- }
- },
- "$abc$12689$n539": {
- "hide_name": 1,
- "bits": [ 774 ],
- "attributes": {
- }
- },
- "$abc$12689$n54": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$12689$n542": {
- "hide_name": 1,
- "bits": [ 775 ],
- "attributes": {
- }
- },
- "$abc$12689$n545": {
- "hide_name": 1,
- "bits": [ 776 ],
- "attributes": {
- }
- },
- "$abc$12689$n548": {
- "hide_name": 1,
- "bits": [ 777 ],
- "attributes": {
- }
- },
- "$abc$12689$n55": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$12689$n551": {
- "hide_name": 1,
- "bits": [ 778 ],
- "attributes": {
- }
- },
- "$abc$12689$n554": {
- "hide_name": 1,
- "bits": [ 779 ],
- "attributes": {
- }
- },
- "$abc$12689$n557": {
- "hide_name": 1,
- "bits": [ 780 ],
- "attributes": {
- }
- },
- "$abc$12689$n56": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$12689$n560": {
- "hide_name": 1,
- "bits": [ 781 ],
- "attributes": {
- }
- },
- "$abc$12689$n563": {
- "hide_name": 1,
- "bits": [ 782 ],
- "attributes": {
- }
- },
- "$abc$12689$n566": {
- "hide_name": 1,
- "bits": [ 783 ],
- "attributes": {
- }
- },
- "$abc$12689$n569": {
- "hide_name": 1,
- "bits": [ 784 ],
- "attributes": {
- }
- },
- "$abc$12689$n57": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$12689$n572": {
- "hide_name": 1,
- "bits": [ 785 ],
- "attributes": {
- }
- },
- "$abc$12689$n575": {
- "hide_name": 1,
- "bits": [ 786 ],
- "attributes": {
- }
- },
- "$abc$12689$n578": {
- "hide_name": 1,
- "bits": [ 787 ],
- "attributes": {
- }
- },
- "$abc$12689$n58": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$12689$n581": {
- "hide_name": 1,
- "bits": [ 788 ],
- "attributes": {
- }
- },
- "$abc$12689$n584": {
- "hide_name": 1,
- "bits": [ 789 ],
- "attributes": {
- }
- },
- "$abc$12689$n587": {
- "hide_name": 1,
- "bits": [ 790 ],
- "attributes": {
- }
- },
- "$abc$12689$n59": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$12689$n590": {
- "hide_name": 1,
- "bits": [ 791 ],
- "attributes": {
- }
- },
- "$abc$12689$n593": {
- "hide_name": 1,
- "bits": [ 792 ],
- "attributes": {
- }
- },
- "$abc$12689$n596": {
- "hide_name": 1,
- "bits": [ 793 ],
- "attributes": {
- }
- },
- "$abc$12689$n599": {
- "hide_name": 1,
- "bits": [ 794 ],
- "attributes": {
- }
- },
- "$abc$12689$n60": {
- "hide_name": 1,
- "bits": [ 63 ],
- "attributes": {
- }
- },
- "$abc$12689$n602": {
- "hide_name": 1,
- "bits": [ 795 ],
- "attributes": {
- }
- },
- "$abc$12689$n605": {
- "hide_name": 1,
- "bits": [ 796 ],
- "attributes": {
- }
- },
- "$abc$12689$n608": {
- "hide_name": 1,
- "bits": [ 797 ],
- "attributes": {
- }
- },
- "$abc$12689$n61": {
- "hide_name": 1,
- "bits": [ 64 ],
- "attributes": {
- }
- },
- "$abc$12689$n611": {
- "hide_name": 1,
- "bits": [ 798 ],
- "attributes": {
- }
- },
- "$abc$12689$n614": {
- "hide_name": 1,
- "bits": [ 799 ],
- "attributes": {
- }
- },
- "$abc$12689$n617": {
- "hide_name": 1,
- "bits": [ 800 ],
- "attributes": {
- }
- },
- "$abc$12689$n62": {
- "hide_name": 1,
- "bits": [ 65 ],
- "attributes": {
- }
- },
- "$abc$12689$n620": {
- "hide_name": 1,
- "bits": [ 801 ],
- "attributes": {
- }
- },
- "$abc$12689$n623": {
- "hide_name": 1,
- "bits": [ 802 ],
- "attributes": {
- }
- },
- "$abc$12689$n626": {
- "hide_name": 1,
- "bits": [ 803 ],
- "attributes": {
- }
- },
- "$abc$12689$n629": {
- "hide_name": 1,
- "bits": [ 804 ],
- "attributes": {
- }
- },
- "$abc$12689$n63": {
- "hide_name": 1,
- "bits": [ 66 ],
- "attributes": {
- }
- },
- "$abc$12689$n632": {
- "hide_name": 1,
- "bits": [ 805 ],
- "attributes": {
- }
- },
- "$abc$12689$n635": {
- "hide_name": 1,
- "bits": [ 806 ],
- "attributes": {
- }
- },
- "$abc$12689$n638": {
- "hide_name": 1,
- "bits": [ 807 ],
- "attributes": {
- }
- },
- "$abc$12689$n64": {
- "hide_name": 1,
- "bits": [ 67 ],
- "attributes": {
- }
- },
- "$abc$12689$n641": {
- "hide_name": 1,
- "bits": [ 808 ],
- "attributes": {
- }
- },
- "$abc$12689$n644": {
- "hide_name": 1,
- "bits": [ 809 ],
- "attributes": {
- }
- },
- "$abc$12689$n647": {
- "hide_name": 1,
- "bits": [ 810 ],
- "attributes": {
- }
- },
- "$abc$12689$n65": {
- "hide_name": 1,
- "bits": [ 68 ],
- "attributes": {
- }
- },
- "$abc$12689$n650": {
- "hide_name": 1,
- "bits": [ 811 ],
- "attributes": {
- }
- },
- "$abc$12689$n653": {
- "hide_name": 1,
- "bits": [ 812 ],
- "attributes": {
- }
- },
- "$abc$12689$n656": {
- "hide_name": 1,
- "bits": [ 813 ],
- "attributes": {
- }
- },
- "$abc$12689$n659": {
- "hide_name": 1,
- "bits": [ 814 ],
- "attributes": {
- }
- },
- "$abc$12689$n66": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$12689$n662": {
- "hide_name": 1,
- "bits": [ 815 ],
- "attributes": {
- }
- },
- "$abc$12689$n665": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$12689$n668": {
- "hide_name": 1,
- "bits": [ 817 ],
- "attributes": {
- }
- },
- "$abc$12689$n67": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$12689$n671": {
- "hide_name": 1,
- "bits": [ 818 ],
- "attributes": {
- }
- },
- "$abc$12689$n674": {
- "hide_name": 1,
- "bits": [ 819 ],
- "attributes": {
- }
- },
- "$abc$12689$n677": {
- "hide_name": 1,
- "bits": [ 820 ],
- "attributes": {
- }
- },
- "$abc$12689$n68": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$12689$n680": {
- "hide_name": 1,
- "bits": [ 821 ],
- "attributes": {
- }
- },
- "$abc$12689$n683": {
- "hide_name": 1,
- "bits": [ 822 ],
- "attributes": {
- }
- },
- "$abc$12689$n686": {
- "hide_name": 1,
- "bits": [ 823 ],
- "attributes": {
- }
- },
- "$abc$12689$n689": {
- "hide_name": 1,
- "bits": [ 824 ],
- "attributes": {
- }
- },
- "$abc$12689$n69": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$12689$n692": {
- "hide_name": 1,
- "bits": [ 825 ],
- "attributes": {
- }
- },
- "$abc$12689$n695": {
- "hide_name": 1,
- "bits": [ 826 ],
- "attributes": {
- }
- },
- "$abc$12689$n698": {
- "hide_name": 1,
- "bits": [ 827 ],
- "attributes": {
- }
- },
- "$abc$12689$n70": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$12689$n701": {
- "hide_name": 1,
- "bits": [ 828 ],
- "attributes": {
- }
- },
- "$abc$12689$n704": {
- "hide_name": 1,
- "bits": [ 829 ],
- "attributes": {
- }
- },
- "$abc$12689$n707": {
- "hide_name": 1,
- "bits": [ 830 ],
- "attributes": {
- }
- },
- "$abc$12689$n71": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$12689$n710": {
- "hide_name": 1,
- "bits": [ 831 ],
- "attributes": {
- }
- },
- "$abc$12689$n713": {
- "hide_name": 1,
- "bits": [ 832 ],
- "attributes": {
- }
- },
- "$abc$12689$n716": {
- "hide_name": 1,
- "bits": [ 833 ],
- "attributes": {
- }
- },
- "$abc$12689$n719": {
- "hide_name": 1,
- "bits": [ 834 ],
- "attributes": {
- }
- },
- "$abc$12689$n72": {
- "hide_name": 1,
- "bits": [ 75 ],
- "attributes": {
- }
- },
- "$abc$12689$n722": {
- "hide_name": 1,
- "bits": [ 835 ],
- "attributes": {
- }
- },
- "$abc$12689$n725": {
- "hide_name": 1,
- "bits": [ 836 ],
- "attributes": {
- }
- },
- "$abc$12689$n728": {
- "hide_name": 1,
- "bits": [ 837 ],
- "attributes": {
- }
- },
- "$abc$12689$n73": {
- "hide_name": 1,
- "bits": [ 76 ],
- "attributes": {
- }
- },
- "$abc$12689$n731": {
- "hide_name": 1,
- "bits": [ 838 ],
- "attributes": {
- }
- },
- "$abc$12689$n734": {
- "hide_name": 1,
- "bits": [ 839 ],
- "attributes": {
- }
- },
- "$abc$12689$n737": {
- "hide_name": 1,
- "bits": [ 840 ],
- "attributes": {
- }
- },
- "$abc$12689$n74": {
- "hide_name": 1,
- "bits": [ 77 ],
- "attributes": {
- }
- },
- "$abc$12689$n740": {
- "hide_name": 1,
- "bits": [ 841 ],
- "attributes": {
- }
- },
- "$abc$12689$n743": {
- "hide_name": 1,
- "bits": [ 842 ],
- "attributes": {
- }
- },
- "$abc$12689$n746": {
- "hide_name": 1,
- "bits": [ 843 ],
- "attributes": {
- }
- },
- "$abc$12689$n749": {
- "hide_name": 1,
- "bits": [ 844 ],
- "attributes": {
- }
- },
- "$abc$12689$n75": {
- "hide_name": 1,
- "bits": [ 78 ],
- "attributes": {
- }
- },
- "$abc$12689$n752": {
- "hide_name": 1,
- "bits": [ 845 ],
- "attributes": {
- }
- },
- "$abc$12689$n755": {
- "hide_name": 1,
- "bits": [ 846 ],
- "attributes": {
- }
- },
- "$abc$12689$n758": {
- "hide_name": 1,
- "bits": [ 847 ],
- "attributes": {
- }
- },
- "$abc$12689$n76": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$12689$n761": {
- "hide_name": 1,
- "bits": [ 848 ],
- "attributes": {
- }
- },
- "$abc$12689$n764": {
- "hide_name": 1,
- "bits": [ 849 ],
- "attributes": {
- }
- },
- "$abc$12689$n767": {
- "hide_name": 1,
- "bits": [ 850 ],
- "attributes": {
- }
- },
- "$abc$12689$n77": {
- "hide_name": 1,
- "bits": [ 80 ],
- "attributes": {
- }
- },
- "$abc$12689$n770": {
- "hide_name": 1,
- "bits": [ 851 ],
- "attributes": {
- }
- },
- "$abc$12689$n773": {
- "hide_name": 1,
- "bits": [ 852 ],
- "attributes": {
- }
- },
- "$abc$12689$n776": {
- "hide_name": 1,
- "bits": [ 853 ],
- "attributes": {
- }
- },
- "$abc$12689$n779": {
- "hide_name": 1,
- "bits": [ 854 ],
- "attributes": {
- }
- },
- "$abc$12689$n78": {
- "hide_name": 1,
- "bits": [ 81 ],
- "attributes": {
- }
- },
- "$abc$12689$n782": {
- "hide_name": 1,
- "bits": [ 855 ],
- "attributes": {
- }
- },
- "$abc$12689$n785": {
- "hide_name": 1,
- "bits": [ 856 ],
- "attributes": {
- }
- },
- "$abc$12689$n788": {
- "hide_name": 1,
- "bits": [ 857 ],
- "attributes": {
- }
- },
- "$abc$12689$n79": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$12689$n791": {
- "hide_name": 1,
- "bits": [ 858 ],
- "attributes": {
- }
- },
- "$abc$12689$n794": {
- "hide_name": 1,
- "bits": [ 859 ],
- "attributes": {
- }
- },
- "$abc$12689$n797": {
- "hide_name": 1,
- "bits": [ 860 ],
- "attributes": {
- }
- },
- "$abc$12689$n8": {
- "hide_name": 1,
- "bits": [ 11 ],
- "attributes": {
- }
- },
- "$abc$12689$n80": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$12689$n800": {
- "hide_name": 1,
- "bits": [ 861 ],
- "attributes": {
- }
- },
- "$abc$12689$n803": {
- "hide_name": 1,
- "bits": [ 862 ],
- "attributes": {
- }
- },
- "$abc$12689$n806": {
- "hide_name": 1,
- "bits": [ 863 ],
- "attributes": {
- }
- },
- "$abc$12689$n809": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$12689$n81": {
- "hide_name": 1,
- "bits": [ 84 ],
- "attributes": {
- }
- },
- "$abc$12689$n812": {
- "hide_name": 1,
- "bits": [ 865 ],
- "attributes": {
- }
- },
- "$abc$12689$n815": {
- "hide_name": 1,
- "bits": [ 866 ],
- "attributes": {
- }
- },
- "$abc$12689$n818": {
- "hide_name": 1,
- "bits": [ 867 ],
- "attributes": {
- }
- },
- "$abc$12689$n82": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$12689$n821": {
- "hide_name": 1,
- "bits": [ 868 ],
- "attributes": {
- }
- },
- "$abc$12689$n824": {
- "hide_name": 1,
- "bits": [ 869 ],
- "attributes": {
- }
- },
- "$abc$12689$n827": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$12689$n83": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$12689$n830": {
- "hide_name": 1,
- "bits": [ 871 ],
- "attributes": {
- }
- },
- "$abc$12689$n833": {
- "hide_name": 1,
- "bits": [ 872 ],
- "attributes": {
- }
- },
- "$abc$12689$n836": {
- "hide_name": 1,
- "bits": [ 873 ],
- "attributes": {
- }
- },
- "$abc$12689$n839": {
- "hide_name": 1,
- "bits": [ 874 ],
- "attributes": {
- }
- },
- "$abc$12689$n84": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$12689$n842": {
- "hide_name": 1,
- "bits": [ 875 ],
- "attributes": {
- }
- },
- "$abc$12689$n845": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$12689$n848": {
- "hide_name": 1,
- "bits": [ 877 ],
- "attributes": {
- }
- },
- "$abc$12689$n85": {
- "hide_name": 1,
- "bits": [ 88 ],
- "attributes": {
- }
- },
- "$abc$12689$n851": {
- "hide_name": 1,
- "bits": [ 878 ],
- "attributes": {
- }
- },
- "$abc$12689$n854": {
- "hide_name": 1,
- "bits": [ 879 ],
- "attributes": {
- }
- },
- "$abc$12689$n857": {
- "hide_name": 1,
- "bits": [ 880 ],
- "attributes": {
- }
- },
- "$abc$12689$n86": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$12689$n860": {
- "hide_name": 1,
- "bits": [ 881 ],
- "attributes": {
- }
- },
- "$abc$12689$n863": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$12689$n866": {
- "hide_name": 1,
- "bits": [ 883 ],
- "attributes": {
- }
- },
- "$abc$12689$n869": {
- "hide_name": 1,
- "bits": [ 884 ],
- "attributes": {
- }
- },
- "$abc$12689$n87": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$12689$n872": {
- "hide_name": 1,
- "bits": [ 885 ],
- "attributes": {
- }
- },
- "$abc$12689$n875": {
- "hide_name": 1,
- "bits": [ 886 ],
- "attributes": {
- }
- },
- "$abc$12689$n878": {
- "hide_name": 1,
- "bits": [ 887 ],
- "attributes": {
- }
- },
- "$abc$12689$n88": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$12689$n881": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$12689$n884": {
- "hide_name": 1,
- "bits": [ 889 ],
- "attributes": {
- }
- },
- "$abc$12689$n887": {
- "hide_name": 1,
- "bits": [ 890 ],
- "attributes": {
- }
- },
- "$abc$12689$n89": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$12689$n890": {
- "hide_name": 1,
- "bits": [ 891 ],
- "attributes": {
- }
- },
- "$abc$12689$n893": {
- "hide_name": 1,
- "bits": [ 892 ],
- "attributes": {
- }
- },
- "$abc$12689$n896": {
- "hide_name": 1,
- "bits": [ 893 ],
- "attributes": {
- }
- },
- "$abc$12689$n899": {
- "hide_name": 1,
- "bits": [ 894 ],
- "attributes": {
- }
- },
- "$abc$12689$n9": {
- "hide_name": 1,
- "bits": [ 12 ],
- "attributes": {
- }
- },
- "$abc$12689$n90": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$12689$n902": {
- "hide_name": 1,
- "bits": [ 895 ],
- "attributes": {
- }
- },
- "$abc$12689$n905": {
- "hide_name": 1,
- "bits": [ 896 ],
- "attributes": {
- }
- },
- "$abc$12689$n908": {
- "hide_name": 1,
- "bits": [ 897 ],
- "attributes": {
- }
- },
- "$abc$12689$n91": {
- "hide_name": 1,
- "bits": [ 94 ],
- "attributes": {
- }
- },
- "$abc$12689$n911": {
- "hide_name": 1,
- "bits": [ 898 ],
- "attributes": {
- }
- },
- "$abc$12689$n914": {
- "hide_name": 1,
- "bits": [ 899 ],
- "attributes": {
- }
- },
- "$abc$12689$n917": {
- "hide_name": 1,
- "bits": [ 900 ],
- "attributes": {
- }
- },
- "$abc$12689$n92": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$12689$n920": {
- "hide_name": 1,
- "bits": [ 901 ],
- "attributes": {
- }
- },
- "$abc$12689$n923": {
- "hide_name": 1,
- "bits": [ 902 ],
- "attributes": {
- }
- },
- "$abc$12689$n926": {
- "hide_name": 1,
- "bits": [ 903 ],
- "attributes": {
- }
- },
- "$abc$12689$n929": {
- "hide_name": 1,
- "bits": [ 904 ],
- "attributes": {
- }
- },
- "$abc$12689$n93": {
- "hide_name": 1,
- "bits": [ 96 ],
- "attributes": {
- }
- },
- "$abc$12689$n932": {
- "hide_name": 1,
- "bits": [ 905 ],
- "attributes": {
- }
- },
- "$abc$12689$n935": {
- "hide_name": 1,
- "bits": [ 906 ],
- "attributes": {
- }
- },
- "$abc$12689$n938": {
- "hide_name": 1,
- "bits": [ 907 ],
- "attributes": {
- }
- },
- "$abc$12689$n94": {
- "hide_name": 1,
- "bits": [ 97 ],
- "attributes": {
- }
- },
- "$abc$12689$n941": {
- "hide_name": 1,
- "bits": [ 908 ],
- "attributes": {
- }
- },
- "$abc$12689$n944": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$12689$n947": {
- "hide_name": 1,
- "bits": [ 910 ],
- "attributes": {
- }
- },
- "$abc$12689$n95": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$12689$n950": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$12689$n953": {
- "hide_name": 1,
- "bits": [ 912 ],
- "attributes": {
- }
- },
- "$abc$12689$n956": {
- "hide_name": 1,
- "bits": [ 913 ],
- "attributes": {
- }
- },
- "$abc$12689$n959": {
- "hide_name": 1,
- "bits": [ 914 ],
- "attributes": {
- }
- },
- "$abc$12689$n96": {
- "hide_name": 1,
- "bits": [ 99 ],
- "attributes": {
- }
- },
- "$abc$12689$n962": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$12689$n965": {
- "hide_name": 1,
- "bits": [ 916 ],
- "attributes": {
- }
- },
- "$abc$12689$n968": {
- "hide_name": 1,
- "bits": [ 917 ],
- "attributes": {
- }
- },
- "$abc$12689$n97": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$12689$n971": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$12689$n974": {
- "hide_name": 1,
- "bits": [ 919 ],
- "attributes": {
- }
- },
- "$abc$12689$n976": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$12689$n979": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$12689$n98": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$12689$n981": {
- "hide_name": 1,
- "bits": [ 731 ],
- "attributes": {
- }
- },
- "$abc$12689$n986": {
- "hide_name": 1,
- "bits": [ 455 ],
- "attributes": {
- }
- },
- "$abc$12689$n988": {
- "hide_name": 1,
- "bits": [ 457 ],
- "attributes": {
- }
- },
- "$abc$12689$n99": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$12689$n990": {
- "hide_name": 1,
- "bits": [ 459 ],
- "attributes": {
- }
- },
- "$abc$12689$n994": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$4973$li192": {
- "hide_name": 1,
- "bits": [ 652 ],
- "attributes": {
- }
- },
- "$abc$4973$li193": {
- "hide_name": 1,
- "bits": [ 654 ],
- "attributes": {
- }
- },
- "$abc$4973$li194": {
- "hide_name": 1,
- "bits": [ 656 ],
- "attributes": {
- }
- },
- "$abc$4973$li195": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$4973$li196": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$4973$li197": {
- "hide_name": 1,
- "bits": [ 662 ],
- "attributes": {
- }
- },
- "$abc$4973$li198": {
- "hide_name": 1,
- "bits": [ 664 ],
- "attributes": {
- }
- },
- "$abc$4973$li199": {
- "hide_name": 1,
- "bits": [ 666 ],
- "attributes": {
- }
- },
- "$abc$4973$li200": {
- "hide_name": 1,
- "bits": [ 668 ],
- "attributes": {
- }
- },
- "$abc$4973$li201": {
- "hide_name": 1,
- "bits": [ 670 ],
- "attributes": {
- }
- },
- "$abc$4973$li202": {
- "hide_name": 1,
- "bits": [ 672 ],
- "attributes": {
- }
- },
- "$abc$4973$li203": {
- "hide_name": 1,
- "bits": [ 674 ],
- "attributes": {
- }
- },
- "$abc$4973$li204": {
- "hide_name": 1,
- "bits": [ 676 ],
- "attributes": {
- }
- },
- "$abc$4973$li205": {
- "hide_name": 1,
- "bits": [ 678 ],
- "attributes": {
- }
- },
- "$abc$4973$li206": {
- "hide_name": 1,
- "bits": [ 680 ],
- "attributes": {
- }
- },
- "$abc$4973$li207": {
- "hide_name": 1,
- "bits": [ 682 ],
- "attributes": {
- }
- },
- "$abc$4973$li208": {
- "hide_name": 1,
- "bits": [ 683 ],
- "attributes": {
- }
- },
- "$abc$4973$li209": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$4973$li210": {
- "hide_name": 1,
- "bits": [ 685 ],
- "attributes": {
- }
- },
- "$abc$4973$li211": {
- "hide_name": 1,
- "bits": [ 686 ],
- "attributes": {
- }
- },
- "$abc$4973$li212": {
- "hide_name": 1,
- "bits": [ 687 ],
- "attributes": {
- }
- },
- "$abc$4973$li213": {
- "hide_name": 1,
- "bits": [ 688 ],
- "attributes": {
- }
- },
- "$abc$4973$li214": {
- "hide_name": 1,
- "bits": [ 689 ],
- "attributes": {
- }
- },
- "$abc$4973$li215": {
- "hide_name": 1,
- "bits": [ 690 ],
- "attributes": {
- }
- },
- "$abc$4973$li216": {
- "hide_name": 1,
- "bits": [ 691 ],
- "attributes": {
- }
- },
- "$abc$4973$li217": {
- "hide_name": 1,
- "bits": [ 692 ],
- "attributes": {
- }
- },
- "$abc$4973$li218": {
- "hide_name": 1,
- "bits": [ 693 ],
- "attributes": {
- }
- },
- "$abc$4973$li219": {
- "hide_name": 1,
- "bits": [ 694 ],
- "attributes": {
- }
- },
- "$abc$4973$li220": {
- "hide_name": 1,
- "bits": [ 695 ],
- "attributes": {
- }
- },
- "$abc$4973$li221": {
- "hide_name": 1,
- "bits": [ 696 ],
- "attributes": {
- }
- },
- "$abc$4973$li222": {
- "hide_name": 1,
- "bits": [ 697 ],
- "attributes": {
- }
- },
- "$abc$4973$li223": {
- "hide_name": 1,
- "bits": [ 698 ],
- "attributes": {
- }
- },
- "$abc$4973$li224": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$4973$li225": {
- "hide_name": 1,
- "bits": [ 700 ],
- "attributes": {
- }
- },
- "$abc$4973$li226": {
- "hide_name": 1,
- "bits": [ 701 ],
- "attributes": {
- }
- },
- "$abc$4973$li227": {
- "hide_name": 1,
- "bits": [ 702 ],
- "attributes": {
- }
- },
- "$abc$4973$li228": {
- "hide_name": 1,
- "bits": [ 703 ],
- "attributes": {
- }
- },
- "$abc$4973$li229": {
- "hide_name": 1,
- "bits": [ 704 ],
- "attributes": {
- }
- },
- "$abc$4973$li230": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$4973$li231": {
- "hide_name": 1,
- "bits": [ 706 ],
- "attributes": {
- }
- },
- "$abc$4973$li233": {
- "hide_name": 1,
- "bits": [ 707 ],
- "attributes": {
- }
- },
- "$abc$4973$li234": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$4973$li235": {
- "hide_name": 1,
- "bits": [ 709 ],
- "attributes": {
- }
- },
- "$abc$4973$li252": {
- "hide_name": 1,
- "bits": [ 710 ],
- "attributes": {
- }
- },
- "$abc$4973$li253": {
- "hide_name": 1,
- "bits": [ 711 ],
- "attributes": {
- }
- },
- "$abc$4973$li254": {
- "hide_name": 1,
- "bits": [ 712 ],
- "attributes": {
- }
- },
- "$abc$4973$li255": {
- "hide_name": 1,
- "bits": [ 713 ],
- "attributes": {
- }
- },
- "$abc$4973$li256": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$4973$li257": {
- "hide_name": 1,
- "bits": [ 715 ],
- "attributes": {
- }
- },
- "$abc$4973$li258": {
- "hide_name": 1,
- "bits": [ 716 ],
- "attributes": {
- }
- },
- "$abc$4973$li259": {
- "hide_name": 1,
- "bits": [ 717 ],
- "attributes": {
- }
- },
- "$abc$4973$li260": {
- "hide_name": 1,
- "bits": [ 718 ],
- "attributes": {
- }
- },
- "$abc$4973$li261": {
- "hide_name": 1,
- "bits": [ 719 ],
- "attributes": {
- }
- },
- "$abc$4973$li262": {
- "hide_name": 1,
- "bits": [ 720 ],
- "attributes": {
- }
- },
- "$abc$4973$li263": {
- "hide_name": 1,
- "bits": [ 721 ],
- "attributes": {
- }
- },
- "$abc$4973$li264": {
- "hide_name": 1,
- "bits": [ 722 ],
- "attributes": {
- }
- },
- "$abc$4973$li265": {
- "hide_name": 1,
- "bits": [ 723 ],
- "attributes": {
- }
- },
- "$abc$4973$li266": {
- "hide_name": 1,
- "bits": [ 724 ],
- "attributes": {
- }
- },
- "$abc$4973$li267": {
- "hide_name": 1,
- "bits": [ 725 ],
- "attributes": {
- }
- },
- "$abc$4973$li275": {
- "hide_name": 1,
- "bits": [ 727 ],
- "attributes": {
- }
- },
- "$abc$4973$li283": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$5953$li000": {
- "hide_name": 1,
- "bits": [ 921 ],
- "attributes": {
- }
- },
- "$abc$5953$li001": {
- "hide_name": 1,
- "bits": [ 923 ],
- "attributes": {
- }
- },
- "$abc$5953$li002": {
- "hide_name": 1,
- "bits": [ 925 ],
- "attributes": {
- }
- },
- "$abc$5953$li003": {
- "hide_name": 1,
- "bits": [ 927 ],
- "attributes": {
- }
- },
- "$abc$5953$li004": {
- "hide_name": 1,
- "bits": [ 929 ],
- "attributes": {
- }
- },
- "$abc$5953$li005": {
- "hide_name": 1,
- "bits": [ 931 ],
- "attributes": {
- }
- },
- "$abc$5953$li007": {
- "hide_name": 1,
- "bits": [ 934 ],
- "attributes": {
- }
- },
- "$abc$5953$li016": {
- "hide_name": 1,
- "bits": [ 942 ],
- "attributes": {
- }
- },
- "$abc$5953$li017": {
- "hide_name": 1,
- "bits": [ 944 ],
- "attributes": {
- }
- },
- "$abc$5953$li018": {
- "hide_name": 1,
- "bits": [ 946 ],
- "attributes": {
- }
- },
- "$abc$5953$li019": {
- "hide_name": 1,
- "bits": [ 948 ],
- "attributes": {
- }
- },
- "$abc$5953$li020": {
- "hide_name": 1,
- "bits": [ 950 ],
- "attributes": {
- }
- },
- "$abc$5953$li021": {
- "hide_name": 1,
- "bits": [ 952 ],
- "attributes": {
- }
- },
- "$abc$5953$li023": {
- "hide_name": 1,
- "bits": [ 955 ],
- "attributes": {
- }
- },
- "$abc$5953$li032": {
- "hide_name": 1,
- "bits": [ 963 ],
- "attributes": {
- }
- },
- "$abc$5953$li033": {
- "hide_name": 1,
- "bits": [ 965 ],
- "attributes": {
- }
- },
- "$abc$5953$li034": {
- "hide_name": 1,
- "bits": [ 967 ],
- "attributes": {
- }
- },
- "$abc$5953$li035": {
- "hide_name": 1,
- "bits": [ 969 ],
- "attributes": {
- }
- },
- "$abc$5953$li036": {
- "hide_name": 1,
- "bits": [ 971 ],
- "attributes": {
- }
- },
- "$abc$5953$li037": {
- "hide_name": 1,
- "bits": [ 973 ],
- "attributes": {
- }
- },
- "$abc$5953$li039": {
- "hide_name": 1,
- "bits": [ 976 ],
- "attributes": {
- }
- },
- "$abc$5953$li048": {
- "hide_name": 1,
- "bits": [ 984 ],
- "attributes": {
- }
- },
- "$abc$5953$li049": {
- "hide_name": 1,
- "bits": [ 986 ],
- "attributes": {
- }
- },
- "$abc$5953$li050": {
- "hide_name": 1,
- "bits": [ 988 ],
- "attributes": {
- }
- },
- "$abc$5953$li051": {
- "hide_name": 1,
- "bits": [ 990 ],
- "attributes": {
- }
- },
- "$abc$5953$li052": {
- "hide_name": 1,
- "bits": [ 992 ],
- "attributes": {
- }
- },
- "$abc$5953$li053": {
- "hide_name": 1,
- "bits": [ 994 ],
- "attributes": {
- }
- },
- "$abc$5953$li055": {
- "hide_name": 1,
- "bits": [ 997 ],
- "attributes": {
- }
- },
- "$abc$5953$li132": {
- "hide_name": 1,
- "bits": [ 1006 ],
- "attributes": {
- }
- },
- "$abc$5953$li133": {
- "hide_name": 1,
- "bits": [ 1008 ],
- "attributes": {
- }
- },
- "$abc$5953$li135": {
- "hide_name": 1,
- "bits": [ 1011 ],
- "attributes": {
- }
- },
- "$abc$5953$li167": {
- "hide_name": 1,
- "bits": [ 1015 ],
- "attributes": {
- }
- },
- "$abc$5953$li168": {
- "hide_name": 1,
- "bits": [ 1017 ],
- "attributes": {
- }
- },
- "$abc$5953$li169": {
- "hide_name": 1,
- "bits": [ 1019 ],
- "attributes": {
- }
- },
- "$abc$5953$li170": {
- "hide_name": 1,
- "bits": [ 1021 ],
- "attributes": {
- }
- },
- "$abc$5953$li171": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$5953$li172": {
- "hide_name": 1,
- "bits": [ 1025 ],
- "attributes": {
- }
- },
- "$abc$5953$li173": {
- "hide_name": 1,
- "bits": [ 1027 ],
- "attributes": {
- }
- },
- "$abc$5953$li174": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$5953$li175": {
- "hide_name": 1,
- "bits": [ 1031 ],
- "attributes": {
- }
- },
- "$abc$5953$li176": {
- "hide_name": 1,
- "bits": [ 1033 ],
- "attributes": {
- }
- },
- "$abc$5953$li177": {
- "hide_name": 1,
- "bits": [ 1035 ],
- "attributes": {
- }
- },
- "$abc$5953$li178": {
- "hide_name": 1,
- "bits": [ 1037 ],
- "attributes": {
- }
- },
- "$abc$5953$li179": {
- "hide_name": 1,
- "bits": [ 1039 ],
- "attributes": {
- }
- },
- "$abc$5953$li180": {
- "hide_name": 1,
- "bits": [ 1041 ],
- "attributes": {
- }
- },
- "$abc$5953$li181": {
- "hide_name": 1,
- "bits": [ 1043 ],
- "attributes": {
- }
- },
- "$abc$5953$li182": {
- "hide_name": 1,
- "bits": [ 1045 ],
- "attributes": {
- }
- },
- "$abc$5953$li183": {
- "hide_name": 1,
- "bits": [ 1047 ],
- "attributes": {
- }
- },
- "$abc$5953$li184": {
- "hide_name": 1,
- "bits": [ 1049 ],
- "attributes": {
- }
- },
- "$abc$5953$li185": {
- "hide_name": 1,
- "bits": [ 1051 ],
- "attributes": {
- }
- },
- "$abc$5953$li186": {
- "hide_name": 1,
- "bits": [ 1053 ],
- "attributes": {
- }
- },
- "$abc$5953$li187": {
- "hide_name": 1,
- "bits": [ 1055 ],
- "attributes": {
- }
- },
- "$abc$5953$li188": {
- "hide_name": 1,
- "bits": [ 1057 ],
- "attributes": {
- }
- },
- "$abc$5953$li189": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$5953$li190": {
- "hide_name": 1,
- "bits": [ 1061 ],
- "attributes": {
- }
- },
- "$abc$5953$li206": {
- "hide_name": 1,
- "bits": [ 1063 ],
- "attributes": {
- }
- },
- "$abc$5953$li207": {
- "hide_name": 1,
- "bits": [ 1065 ],
- "attributes": {
- }
- },
- "$abc$5953$li208": {
- "hide_name": 1,
- "bits": [ 1067 ],
- "attributes": {
- }
- },
- "$abc$5953$li209": {
- "hide_name": 1,
- "bits": [ 1069 ],
- "attributes": {
- }
- },
- "$abc$5953$li210": {
- "hide_name": 1,
- "bits": [ 1071 ],
- "attributes": {
- }
- },
- "$abc$5953$li211": {
- "hide_name": 1,
- "bits": [ 1073 ],
- "attributes": {
- }
- },
- "$abc$5953$li212": {
- "hide_name": 1,
- "bits": [ 1075 ],
- "attributes": {
- }
- },
- "$abc$5953$li213": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$5953$li214": {
- "hide_name": 1,
- "bits": [ 1079 ],
- "attributes": {
- }
- },
- "$abc$5953$li215": {
- "hide_name": 1,
- "bits": [ 1081 ],
- "attributes": {
- }
- },
- "$abc$5953$li216": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$5953$li217": {
- "hide_name": 1,
- "bits": [ 1085 ],
- "attributes": {
- }
- },
- "$abc$5953$li218": {
- "hide_name": 1,
- "bits": [ 1087 ],
- "attributes": {
- }
- },
- "$abc$5953$li219": {
- "hide_name": 1,
- "bits": [ 1089 ],
- "attributes": {
- }
- },
- "$abc$5953$li220": {
- "hide_name": 1,
- "bits": [ 1091 ],
- "attributes": {
- }
- },
- "$abc$5953$li221": {
- "hide_name": 1,
- "bits": [ 1093 ],
- "attributes": {
- }
- },
- "$abc$5953$li222": {
- "hide_name": 1,
- "bits": [ 1095 ],
- "attributes": {
- }
- },
- "$abc$5953$li223": {
- "hide_name": 1,
- "bits": [ 1097 ],
- "attributes": {
- }
- },
- "$abc$5953$li224": {
- "hide_name": 1,
- "bits": [ 1099 ],
- "attributes": {
- }
- },
- "$abc$5953$li225": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$5953$li226": {
- "hide_name": 1,
- "bits": [ 1103 ],
- "attributes": {
- }
- },
- "$abc$5953$li227": {
- "hide_name": 1,
- "bits": [ 1105 ],
- "attributes": {
- }
- },
- "$abc$5953$li228": {
- "hide_name": 1,
- "bits": [ 1107 ],
- "attributes": {
- }
- },
- "$abc$5953$li229": {
- "hide_name": 1,
- "bits": [ 1109 ],
- "attributes": {
- }
- },
- "$abc$5953$li230": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$5953$li231": {
- "hide_name": 1,
- "bits": [ 1113 ],
- "attributes": {
- }
- },
- "$abc$5953$li232": {
- "hide_name": 1,
- "bits": [ 1115 ],
- "attributes": {
- }
- },
- "$abc$5953$li233": {
- "hide_name": 1,
- "bits": [ 1117 ],
- "attributes": {
- }
- },
- "$abc$5953$li234": {
- "hide_name": 1,
- "bits": [ 1119 ],
- "attributes": {
- }
- },
- "$abc$5953$li235": {
- "hide_name": 1,
- "bits": [ 1121 ],
- "attributes": {
- }
- },
- "$abc$5953$li236": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$5953$li237": {
- "hide_name": 1,
- "bits": [ 1125 ],
- "attributes": {
- }
- },
- "$abc$5953$li238": {
- "hide_name": 1,
- "bits": [ 1127 ],
- "attributes": {
- }
- },
- "$abc$5953$li239": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$5953$li240": {
- "hide_name": 1,
- "bits": [ 1131 ],
- "attributes": {
- }
- },
- "$abc$5953$li241": {
- "hide_name": 1,
- "bits": [ 1133 ],
- "attributes": {
- }
- },
- "$abc$5953$li242": {
- "hide_name": 1,
- "bits": [ 1135 ],
- "attributes": {
- }
- },
- "$abc$5953$li243": {
- "hide_name": 1,
- "bits": [ 1137 ],
- "attributes": {
- }
- },
- "$abc$5953$li244": {
- "hide_name": 1,
- "bits": [ 1139 ],
- "attributes": {
- }
- },
- "$abc$5953$li245": {
- "hide_name": 1,
- "bits": [ 1141 ],
- "attributes": {
- }
- },
- "$abc$5953$li246": {
- "hide_name": 1,
- "bits": [ 1143 ],
- "attributes": {
- }
- },
- "$abc$5953$li247": {
- "hide_name": 1,
- "bits": [ 1145 ],
- "attributes": {
- }
- },
- "$abc$5953$li248": {
- "hide_name": 1,
- "bits": [ 1147 ],
- "attributes": {
- }
- },
- "$abc$5953$li249": {
- "hide_name": 1,
- "bits": [ 1149 ],
- "attributes": {
- }
- },
- "$abc$5953$li250": {
- "hide_name": 1,
- "bits": [ 1151 ],
- "attributes": {
- }
- },
- "$abc$5953$li251": {
- "hide_name": 1,
- "bits": [ 1153 ],
- "attributes": {
- }
- },
- "$abc$5953$li252": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$5953$li253": {
- "hide_name": 1,
- "bits": [ 1157 ],
- "attributes": {
- }
- },
- "$abc$5953$li254": {
- "hide_name": 1,
- "bits": [ 1159 ],
- "attributes": {
- }
- },
- "$abc$5953$li255": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$5953$li256": {
- "hide_name": 1,
- "bits": [ 1163 ],
- "attributes": {
- }
- },
- "$abc$5953$li257": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$5953$li258": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$5953$li259": {
- "hide_name": 1,
- "bits": [ 1169 ],
- "attributes": {
- }
- },
- "$abc$5953$li260": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$5953$li261": {
- "hide_name": 1,
- "bits": [ 1173 ],
- "attributes": {
- }
- },
- "$abc$5953$li262": {
- "hide_name": 1,
- "bits": [ 1175 ],
- "attributes": {
- }
- },
- "$abc$5953$li263": {
- "hide_name": 1,
- "bits": [ 1177 ],
- "attributes": {
- }
- },
- "$abc$5953$li264": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$5953$li265": {
- "hide_name": 1,
- "bits": [ 1181 ],
- "attributes": {
- }
- },
- "$abc$5953$li266": {
- "hide_name": 1,
- "bits": [ 1183 ],
- "attributes": {
- }
- },
- "$abc$5953$li267": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$5953$li268": {
- "hide_name": 1,
- "bits": [ 1187 ],
- "attributes": {
- }
- },
- "$abc$5953$li269": {
- "hide_name": 1,
- "bits": [ 1189 ],
- "attributes": {
- }
- },
- "$abc$5953$li270": {
- "hide_name": 1,
- "bits": [ 1191 ],
- "attributes": {
- }
- },
- "$abc$5953$li271": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$5953$li272": {
- "hide_name": 1,
- "bits": [ 1195 ],
- "attributes": {
- }
- },
- "$abc$5953$li273": {
- "hide_name": 1,
- "bits": [ 1197 ],
- "attributes": {
- }
- },
- "$abc$5953$li274": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$5953$li275": {
- "hide_name": 1,
- "bits": [ 1201 ],
- "attributes": {
- }
- },
- "$abc$5953$li276": {
- "hide_name": 1,
- "bits": [ 1203 ],
- "attributes": {
- }
- },
- "$abc$5953$li277": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$5953$li278": {
- "hide_name": 1,
- "bits": [ 1207 ],
- "attributes": {
- }
- },
- "$abc$5953$li279": {
- "hide_name": 1,
- "bits": [ 1209 ],
- "attributes": {
- }
- },
- "$abc$5953$li280": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$5953$li281": {
- "hide_name": 1,
- "bits": [ 1213 ],
- "attributes": {
- }
- },
- "$abc$5953$li282": {
- "hide_name": 1,
- "bits": [ 1215 ],
- "attributes": {
- }
- },
- "$abc$5953$li283": {
- "hide_name": 1,
- "bits": [ 1217 ],
- "attributes": {
- }
- },
- "$abc$5953$li284": {
- "hide_name": 1,
- "bits": [ 1219 ],
- "attributes": {
- }
- },
- "$abc$5953$li285": {
- "hide_name": 1,
- "bits": [ 1221 ],
- "attributes": {
- }
- },
- "$abc$5953$li286": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$5953$li287": {
- "hide_name": 1,
- "bits": [ 1225 ],
- "attributes": {
- }
- },
- "$abc$5953$li288": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$5953$li289": {
- "hide_name": 1,
- "bits": [ 1229 ],
- "attributes": {
- }
- },
- "$abc$5953$li290": {
- "hide_name": 1,
- "bits": [ 1231 ],
- "attributes": {
- }
- },
- "$abc$5953$li291": {
- "hide_name": 1,
- "bits": [ 1233 ],
- "attributes": {
- }
- },
- "$abc$5953$li292": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$5953$li293": {
- "hide_name": 1,
- "bits": [ 1237 ],
- "attributes": {
- }
- },
- "$abc$5953$li294": {
- "hide_name": 1,
- "bits": [ 1239 ],
- "attributes": {
- }
- },
- "$abc$5953$li295": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$5953$li296": {
- "hide_name": 1,
- "bits": [ 1243 ],
- "attributes": {
- }
- },
- "$abc$5953$li297": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$5953$li298": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$5953$li299": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$5953$li300": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$5953$li301": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$5953$li302": {
- "hide_name": 1,
- "bits": [ 1255 ],
- "attributes": {
- }
- },
- "$abc$5953$li303": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$5953$li304": {
- "hide_name": 1,
- "bits": [ 1259 ],
- "attributes": {
- }
- },
- "$abc$5953$li305": {
- "hide_name": 1,
- "bits": [ 1261 ],
- "attributes": {
- }
- },
- "$abc$5953$li306": {
- "hide_name": 1,
- "bits": [ 1263 ],
- "attributes": {
- }
- },
- "$abc$5953$li307": {
- "hide_name": 1,
- "bits": [ 1265 ],
- "attributes": {
- }
- },
- "$abc$5953$li308": {
- "hide_name": 1,
- "bits": [ 1267 ],
- "attributes": {
- }
- },
- "$abc$5953$li309": {
- "hide_name": 1,
- "bits": [ 1269 ],
- "attributes": {
- }
- },
- "$abc$5953$li310": {
- "hide_name": 1,
- "bits": [ 1271 ],
- "attributes": {
- }
- },
- "$abc$5953$li311": {
- "hide_name": 1,
- "bits": [ 1273 ],
- "attributes": {
- }
- },
- "$abc$5953$li312": {
- "hide_name": 1,
- "bits": [ 1275 ],
- "attributes": {
- }
- },
- "$abc$5953$li313": {
- "hide_name": 1,
- "bits": [ 1277 ],
- "attributes": {
- }
- },
- "$abc$5953$li314": {
- "hide_name": 1,
- "bits": [ 1279 ],
- "attributes": {
- }
- },
- "$abc$5953$li315": {
- "hide_name": 1,
- "bits": [ 1281 ],
- "attributes": {
- }
- },
- "$abc$5953$li316": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$5953$li317": {
- "hide_name": 1,
- "bits": [ 1285 ],
- "attributes": {
- }
- },
- "$abc$5953$li318": {
- "hide_name": 1,
- "bits": [ 1287 ],
- "attributes": {
- }
- },
- "$abc$5953$li319": {
- "hide_name": 1,
- "bits": [ 1289 ],
- "attributes": {
- }
- },
- "$abc$5953$li320": {
- "hide_name": 1,
- "bits": [ 1291 ],
- "attributes": {
- }
- },
- "$abc$5953$li321": {
- "hide_name": 1,
- "bits": [ 1293 ],
- "attributes": {
- }
- },
- "$abc$5953$li322": {
- "hide_name": 1,
- "bits": [ 1295 ],
- "attributes": {
- }
- },
- "$abc$5953$li323": {
- "hide_name": 1,
- "bits": [ 1297 ],
- "attributes": {
- }
- },
- "$abc$5953$li324": {
- "hide_name": 1,
- "bits": [ 1299 ],
- "attributes": {
- }
- },
- "$abc$5953$li325": {
- "hide_name": 1,
- "bits": [ 1301 ],
- "attributes": {
- }
- },
- "$abc$5953$li326": {
- "hide_name": 1,
- "bits": [ 1303 ],
- "attributes": {
- }
- },
- "$abc$5953$li327": {
- "hide_name": 1,
- "bits": [ 1305 ],
- "attributes": {
- }
- },
- "$abc$5953$li328": {
- "hide_name": 1,
- "bits": [ 1307 ],
- "attributes": {
- }
- },
- "$abc$5953$li329": {
- "hide_name": 1,
- "bits": [ 1309 ],
- "attributes": {
- }
- },
- "$abc$5953$li330": {
- "hide_name": 1,
- "bits": [ 1311 ],
- "attributes": {
- }
- },
- "$abc$5953$li331": {
- "hide_name": 1,
- "bits": [ 1313 ],
- "attributes": {
- }
- },
- "$abc$5953$li332": {
- "hide_name": 1,
- "bits": [ 1315 ],
- "attributes": {
- }
- },
- "$abc$5953$li333": {
- "hide_name": 1,
- "bits": [ 1317 ],
- "attributes": {
- }
- },
- "$abc$5953$li334": {
- "hide_name": 1,
- "bits": [ 1319 ],
- "attributes": {
- }
- },
- "$abc$5953$li335": {
- "hide_name": 1,
- "bits": [ 1321 ],
- "attributes": {
- }
- },
- "$abc$5953$li336": {
- "hide_name": 1,
- "bits": [ 1323 ],
- "attributes": {
- }
- },
- "$abc$5953$li337": {
- "hide_name": 1,
- "bits": [ 1325 ],
- "attributes": {
- }
- },
- "$abc$5953$li338": {
- "hide_name": 1,
- "bits": [ 1327 ],
- "attributes": {
- }
- },
- "$abc$5953$li339": {
- "hide_name": 1,
- "bits": [ 1329 ],
- "attributes": {
- }
- },
- "$abc$5953$li340": {
- "hide_name": 1,
- "bits": [ 1331 ],
- "attributes": {
- }
- },
- "$abc$5953$li341": {
- "hide_name": 1,
- "bits": [ 1333 ],
- "attributes": {
- }
- },
- "$abc$5953$li342": {
- "hide_name": 1,
- "bits": [ 1335 ],
- "attributes": {
- }
- },
- "$abc$5953$li343": {
- "hide_name": 1,
- "bits": [ 1337 ],
- "attributes": {
- }
- },
- "$abc$5953$li344": {
- "hide_name": 1,
- "bits": [ 1339 ],
- "attributes": {
- }
- },
- "$abc$5953$li345": {
- "hide_name": 1,
- "bits": [ 1341 ],
- "attributes": {
- }
- },
- "$abc$5953$li346": {
- "hide_name": 1,
- "bits": [ 1343 ],
- "attributes": {
- }
- },
- "$abc$5953$li347": {
- "hide_name": 1,
- "bits": [ 1345 ],
- "attributes": {
- }
- },
- "$abc$5953$li348": {
- "hide_name": 1,
- "bits": [ 1347 ],
- "attributes": {
- }
- },
- "$abc$5953$li349": {
- "hide_name": 1,
- "bits": [ 1349 ],
- "attributes": {
- }
- },
- "$abc$5953$li350": {
- "hide_name": 1,
- "bits": [ 1351 ],
- "attributes": {
- }
- },
- "$abc$5953$li351": {
- "hide_name": 1,
- "bits": [ 1353 ],
- "attributes": {
- }
- },
- "$abc$5953$li352": {
- "hide_name": 1,
- "bits": [ 1355 ],
- "attributes": {
- }
- },
- "$abc$5953$li353": {
- "hide_name": 1,
- "bits": [ 1357 ],
- "attributes": {
- }
- },
- "$abc$5953$li354": {
- "hide_name": 1,
- "bits": [ 1359 ],
- "attributes": {
- }
- },
- "$abc$5953$li355": {
- "hide_name": 1,
- "bits": [ 1361 ],
- "attributes": {
- }
- },
- "$abc$5953$li356": {
- "hide_name": 1,
- "bits": [ 1363 ],
- "attributes": {
- }
- },
- "$abc$5953$li357": {
- "hide_name": 1,
- "bits": [ 1365 ],
- "attributes": {
- }
- },
- "$abc$5953$li358": {
- "hide_name": 1,
- "bits": [ 1367 ],
- "attributes": {
- }
- },
- "$abc$5953$li359": {
- "hide_name": 1,
- "bits": [ 1369 ],
- "attributes": {
- }
- },
- "$abc$5953$li360": {
- "hide_name": 1,
- "bits": [ 1371 ],
- "attributes": {
- }
- },
- "$abc$5953$li361": {
- "hide_name": 1,
- "bits": [ 1373 ],
- "attributes": {
- }
- },
- "$abc$5953$li362": {
- "hide_name": 1,
- "bits": [ 1375 ],
- "attributes": {
- }
- },
- "$abc$5953$li363": {
- "hide_name": 1,
- "bits": [ 1377 ],
- "attributes": {
- }
- },
- "$abc$5953$li364": {
- "hide_name": 1,
- "bits": [ 1379 ],
- "attributes": {
- }
- },
- "$abc$5953$li365": {
- "hide_name": 1,
- "bits": [ 1381 ],
- "attributes": {
- }
- },
- "$abc$5953$li366": {
- "hide_name": 1,
- "bits": [ 1383 ],
- "attributes": {
- }
- },
- "$abc$5953$li367": {
- "hide_name": 1,
- "bits": [ 1385 ],
- "attributes": {
- }
- },
- "$abc$5953$li368": {
- "hide_name": 1,
- "bits": [ 1387 ],
- "attributes": {
- }
- },
- "$abc$5953$li369": {
- "hide_name": 1,
- "bits": [ 1389 ],
- "attributes": {
- }
- },
- "$abc$5953$li370": {
- "hide_name": 1,
- "bits": [ 1391 ],
- "attributes": {
- }
- },
- "$abc$5953$li371": {
- "hide_name": 1,
- "bits": [ 1393 ],
- "attributes": {
- }
- },
- "$abc$5953$li372": {
- "hide_name": 1,
- "bits": [ 1395 ],
- "attributes": {
- }
- },
- "$abc$5953$li373": {
- "hide_name": 1,
- "bits": [ 1397 ],
- "attributes": {
- }
- },
- "$abc$5953$li374": {
- "hide_name": 1,
- "bits": [ 1399 ],
- "attributes": {
- }
- },
- "$abc$5953$li375": {
- "hide_name": 1,
- "bits": [ 1401 ],
- "attributes": {
- }
- },
- "$abc$5953$li376": {
- "hide_name": 1,
- "bits": [ 1403 ],
- "attributes": {
- }
- },
- "$abc$5953$li377": {
- "hide_name": 1,
- "bits": [ 1405 ],
- "attributes": {
- }
- },
- "$abc$5953$li378": {
- "hide_name": 1,
- "bits": [ 1407 ],
- "attributes": {
- }
- },
- "$abc$5953$li379": {
- "hide_name": 1,
- "bits": [ 1409 ],
- "attributes": {
- }
- },
- "$abc$5953$li380": {
- "hide_name": 1,
- "bits": [ 1411 ],
- "attributes": {
- }
- },
- "$abc$5953$li381": {
- "hide_name": 1,
- "bits": [ 1413 ],
- "attributes": {
- }
- },
- "$abc$5953$li382": {
- "hide_name": 1,
- "bits": [ 1415 ],
- "attributes": {
- }
- },
- "$abc$5953$li383": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$5953$li776": {
- "hide_name": 1,
- "bits": [ 1452 ],
- "attributes": {
- }
- },
- "$abc$5953$li778": {
- "hide_name": 1,
- "bits": [ 1455 ],
- "attributes": {
- }
- },
- "$abc$5953$li779": {
- "hide_name": 1,
- "bits": [ 1457 ],
- "attributes": {
- }
- },
- "$abc$5953$li780": {
- "hide_name": 1,
- "bits": [ 1459 ],
- "attributes": {
- }
- },
- "$abc$5953$li782": {
- "hide_name": 1,
- "bits": [ 1462 ],
- "attributes": {
- }
- },
- "$abc$5953$li783": {
- "hide_name": 1,
- "bits": [ 1464 ],
- "attributes": {
- }
- },
- "$abc$5953$li784": {
- "hide_name": 1,
- "bits": [ 1466 ],
- "attributes": {
- }
- },
- "$abc$5953$li786": {
- "hide_name": 1,
- "bits": [ 1469 ],
- "attributes": {
- }
- },
- "$abc$5953$li787": {
- "hide_name": 1,
- "bits": [ 1471 ],
- "attributes": {
- }
- },
- "$abc$5953$li788": {
- "hide_name": 1,
- "bits": [ 1473 ],
- "attributes": {
- }
- },
- "$abc$5953$li791": {
- "hide_name": 1,
- "bits": [ 1476 ],
- "attributes": {
- }
- },
- "$abc$5953$lo222": {
- "hide_name": 1,
- "bits": [ 1096 ],
- "attributes": {
- }
- },
- "$abc$5953$lo223": {
- "hide_name": 1,
- "bits": [ 1098 ],
- "attributes": {
- }
- },
- "$abc$5953$lo224": {
- "hide_name": 1,
- "bits": [ 1100 ],
- "attributes": {
- }
- },
- "$abc$5953$lo225": {
- "hide_name": 1,
- "bits": [ 1102 ],
- "attributes": {
- }
- },
- "$abc$5953$lo226": {
- "hide_name": 1,
- "bits": [ 1104 ],
- "attributes": {
- }
- },
- "$abc$5953$lo227": {
- "hide_name": 1,
- "bits": [ 1106 ],
- "attributes": {
- }
- },
- "$abc$5953$lo228": {
- "hide_name": 1,
- "bits": [ 1108 ],
- "attributes": {
- }
- },
- "$abc$5953$lo229": {
- "hide_name": 1,
- "bits": [ 1110 ],
- "attributes": {
- }
- },
- "$abc$5953$lo284": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$5953$lo285": {
- "hide_name": 1,
- "bits": [ 1222 ],
- "attributes": {
- }
- },
- "$abc$5953$lo286": {
- "hide_name": 1,
- "bits": [ 1224 ],
- "attributes": {
- }
- },
- "$abc$5953$lo287": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$5953$lo288": {
- "hide_name": 1,
- "bits": [ 1228 ],
- "attributes": {
- }
- },
- "$abc$5953$lo289": {
- "hide_name": 1,
- "bits": [ 1230 ],
- "attributes": {
- }
- },
- "$abc$5953$lo290": {
- "hide_name": 1,
- "bits": [ 1232 ],
- "attributes": {
- }
- },
- "$abc$5953$lo291": {
- "hide_name": 1,
- "bits": [ 1234 ],
- "attributes": {
- }
- },
- "$abc$5953$lo376": {
- "hide_name": 1,
- "bits": [ 1404 ],
- "attributes": {
- }
- },
- "$abc$5953$lo377": {
- "hide_name": 1,
- "bits": [ 1406 ],
- "attributes": {
- }
- },
- "$abc$5953$lo378": {
- "hide_name": 1,
- "bits": [ 1408 ],
- "attributes": {
- }
- },
- "$abc$5953$lo379": {
- "hide_name": 1,
- "bits": [ 1410 ],
- "attributes": {
- }
- },
- "$abc$5953$lo380": {
- "hide_name": 1,
- "bits": [ 1412 ],
- "attributes": {
- }
- },
- "$abc$5953$lo381": {
- "hide_name": 1,
- "bits": [ 1414 ],
- "attributes": {
- }
- },
- "$abc$5953$lo382": {
- "hide_name": 1,
- "bits": [ 1416 ],
- "attributes": {
- }
- },
- "$abc$5953$lo383": {
- "hide_name": 1,
- "bits": [ 1418 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$268.C": {
- "hide_name": 1,
- "bits": [ 1821, 1479, 1491, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1492, 1493, 1494, 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1837 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$271.C": {
- "hide_name": 1,
- "bits": [ 1838, 1502, 1514, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1503, 1504, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1515, 1516, 1517, 1839, 1840, 1841, 1842, 1843, 1844, 1845, 1846, 1847, 1848, 1849, 1850, 1851, 1852, 1853, 1854 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$274.C": {
- "hide_name": 1,
- "bits": [ 1855, 1856, 1525, 1526, 1527, 1528, 1529, 1530, 1531 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$277.C": {
- "hide_name": 1,
- "bits": [ 1857, 1532, 1544, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1533, 1534, 1535, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1545, 1546, 1547 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$280.C": {
- "hide_name": 1,
- "bits": [ 1858, 1555, 1567, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566, 1568, 1569, 1570 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$283.C": {
- "hide_name": 1,
- "bits": [ 1859, 1860, 1578, 1579, 1580, 1581, 1582, 1583, 1584 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$286.C": {
- "hide_name": 1,
- "bits": [ 1861, 1585, 1597, 1608, 1610, 1611, 1612, 1613, 1614, 1615, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593, 1594, 1595, 1596, 1598, 1599, 1600, 1601, 1602, 1603, 1604, 1605, 1606, 1607, 1609 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$289.C": {
- "hide_name": 1,
- "bits": [ 1862, 1616, 1628, 1639, 1641, 1642, 1643, 1644, 1645, 1646, 1617, 1618, 1619, 1620, 1621, 1622, 1623, 1624, 1625, 1626, 1627, 1629, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1637, 1638, 1640 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$292.C": {
- "hide_name": 1,
- "bits": [ 1863, 1864, 1647, 1648, 1649, 1650, 1651, 1652, 1653 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$295.C": {
- "hide_name": 1,
- "bits": [ 1865, 1654, 1666, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1655, 1656, 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1667, 1668, 1669 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:19|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$298.C": {
- "hide_name": 1,
- "bits": [ 1866, 1677, 1689, 1693, 1694, 1695, 1696, 1697, 1698, 1699, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1690, 1691, 1692 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:21|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$301.C": {
- "hide_name": 1,
- "bits": [ 1867, 1868, 1700, 1701, 1702, 1703, 1704, 1705, 1706 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$304.C": {
- "hide_name": 1,
- "bits": [ 1869, 1707, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1721, 1708, 1709, 1710, 1711, 1712, 1713, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:12|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$307.C": {
- "hide_name": 1,
- "bits": [ 1886, 1887, 1722, 1723, 1724 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:15|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$techmap376\\right.stage_one.delayline.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1888, 1750, 1889, 1751, 1890, 1752, 1891, 1753, 1892, 1754, 1893, 1755, 1894, 1756, 1895, 1757 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap377\\right.stage_four.delayline.mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1896, 1742, 1897, 1743, 1898, 1744, 1899, 1745, 1900, 1746, 1901, 1747, 1902, 1748, 1903, 1749 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap379\\right.stage_three.delayline.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1904, 1766, 1905, 1767, 1906, 1768, 1907, 1769, 1908, 1770, 1909, 1771, 1910, 1772, 1911, 1773 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap380\\right.stage_one.delayline.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1912, 1758, 1913, 1759, 1914, 1760, 1915, 1761, 1916, 1762, 1917, 1763, 1918, 1764, 1919, 1765 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap386\\right.stage_four.delayline.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1920, 1734, 1921, 1735, 1922, 1736, 1923, 1737, 1924, 1738, 1925, 1739, 1926, 1740, 1927, 1741 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap387\\right.stage_four.delayline.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1928, 1726, 1929, 1727, 1930, 1728, 1931, 1729, 1932, 1730, 1933, 1731, 1934, 1732, 1935, 1733 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap394\\right.stage_two.delayline.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1936, 1790, 1937, 1791, 1938, 1792, 1939, 1793, 1940, 1794, 1941, 1795, 1942, 1796, 1943, 1797 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap398\\right.stage_two.delayline.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1944, 1798, 1945, 1799, 1946, 1800, 1947, 1801, 1948, 1802, 1949, 1803, 1950, 1804, 1951, 1805 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap400\\right.stage_three.delayline.mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1952, 1782, 1953, 1783, 1954, 1784, 1955, 1785, 1956, 1786, 1957, 1787, 1958, 1788, 1959, 1789 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap401\\right.stage_three.delayline.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1960, 1774, 1961, 1775, 1962, 1776, 1963, 1777, 1964, 1778, 1965, 1779, 1966, 1780, 1967, 1781 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "BCLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "CSn": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "CSp": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "DOn": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "DOp": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "LRCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "SCLn": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "SCLp": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "SDIN": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "hx8kbb.v:5"
- }
- },
- "clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:7"
- }
- },
- "fclk": {
- "hide_name": 0,
- "bits": [ 650 ],
- "attributes": {
- "src": "hx8kbb.v:7"
- }
- },
- "finishedl": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:41"
- }
- },
- "finishedr": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:51"
- }
- },
- "left.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:4"
- }
- },
- "left.din": {
- "hide_name": 0,
- "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:5"
- }
- },
- "left.done": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:7"
- }
- },
- "left.dout": {
- "hide_name": 0,
- "bits": [ 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:6",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- },
- "left.load": {
- "hide_name": 0,
- "bits": [ 411 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:4"
- }
- },
- "left.stage_four.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:48|mav.v:11"
- }
- },
- "left.stage_four.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:48|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_four.delayline.push": {
- "hide_name": 0,
- "bits": [ 431 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:48|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_four.push": {
- "hide_name": 0,
- "bits": [ 431 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:48|mav.v:11"
- }
- },
- "left.stage_one.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:30|mav.v:11"
- }
- },
- "left.stage_one.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:30|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_one.delayline.din": {
- "hide_name": 0,
- "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:30|mav.v:15|fifo.v:5"
- }
- },
- "left.stage_one.delayline.push": {
- "hide_name": 0,
- "bits": [ 413 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:30|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_one.din": {
- "hide_name": 0,
- "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:30|mav.v:9"
- }
- },
- "left.stage_one.push": {
- "hide_name": 0,
- "bits": [ 413 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:30|mav.v:11"
- }
- },
- "left.stage_three.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:42|mav.v:11"
- }
- },
- "left.stage_three.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:42|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_three.delayline.push": {
- "hide_name": 0,
- "bits": [ 425 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:42|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_three.push": {
- "hide_name": 0,
- "bits": [ 425 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:42|mav.v:11"
- }
- },
- "left.stage_two.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:36|mav.v:11"
- }
- },
- "left.stage_two.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:36|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_two.delayline.push": {
- "hide_name": 0,
- "bits": [ 419 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:36|mav.v:15|fifo.v:6"
- }
- },
- "left.stage_two.push": {
- "hide_name": 0,
- "bits": [ 419 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:36|mav.v:11"
- }
- },
- "left.timer": {
- "hide_name": 0,
- "bits": [ 648, "x", 431, "x", "x", 425, "x", "x", 419, "x", "x", 413 ],
- "attributes": {
- "src": "hx8kbb.v:43|s4filt.v:9"
- }
- },
- "leftd.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:62|differ.v:4"
- }
- },
- "leftd.ddt": {
- "hide_name": 0,
- "bits": [ 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031 ],
- "attributes": {
- "src": "hx8kbb.v:62|differ.v:6",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- },
- "leftd.load": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:62|differ.v:4"
- }
- },
- "leftd.older.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:62|differ.v:10|fifo.v:6"
- }
- },
- "leftd.older.push": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:62|differ.v:10|fifo.v:6"
- }
- },
- "leftd2.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:71|differ.v:4"
- }
- },
- "leftd2.ddt": {
- "hide_name": 0,
- "bits": [ 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063 ],
- "attributes": {
- "src": "hx8kbb.v:71|differ.v:6",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- },
- "leftd2.older.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:71|differ.v:10|fifo.v:6"
- }
- },
- "leftd2.older.reada": {
- "hide_name": 0,
- "bits": [ 1005, "0", "0", "0", "0" ],
- "attributes": {
- "src": "hx8kbb.v:71|differ.v:10|fifo.v:10"
- }
- },
- "lrclk_": {
- "hide_name": 0,
- "bits": [ 403 ],
- "attributes": {
- "src": "hx8kbb.v:14"
- }
- },
- "newdata": {
- "hide_name": 0,
- "bits": [ 411 ],
- "attributes": {
- "src": "hx8kbb.v:32"
- }
- },
- "ord2dt2": {
- "hide_name": 0,
- "bits": [ 651, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ],
- "attributes": {
- "src": "hx8kbb.v:6"
- }
- },
- "orddt": {
- "hide_name": 0,
- "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ],
- "attributes": {
- "src": "hx8kbb.v:6"
- }
- },
- "outr": {
- "hide_name": 0,
- "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ],
- "attributes": {
- "src": "hx8kbb.v:6"
- }
- },
- "pll8020.clock_in": {
- "hide_name": 0,
- "bits": [ 650 ],
- "attributes": {
- "src": "hx8kbb.v:30|pll.v:14"
- }
- },
- "pll8020.clock_out": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:30|pll.v:15"
- }
- },
- "pll8020.locked": {
- "hide_name": 0,
- "bits": [ 1725 ],
- "attributes": {
- "src": "hx8kbb.v:30|pll.v:16",
- "unused_bits": "0"
- }
- },
- "right.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:4"
- }
- },
- "right.din": {
- "hide_name": 0,
- "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:5"
- }
- },
- "right.done": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:7"
- }
- },
- "right.dout": {
- "hide_name": 0,
- "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:6"
- }
- },
- "right.load": {
- "hide_name": 0,
- "bits": [ 411 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:4"
- }
- },
- "right.stage_four.acc": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:12"
- }
- },
- "right.stage_four.acc_": {
- "hide_name": 0,
- "bits": [ 1062, 1060, 1058, 1056, 1054, 1052, 1050, 1048, 1046, 1044, 1042, 1040, 1038, 1036, 1034, 1032, 1030, 1028, 1026, 1024, 1022, 1020, 1018, 1016, 2127, 2128, 2129, 2130, 2131, 2132, 2133, 2134, 2135, 2136, 2137, 2138, 2139, 2140, 2141, 2142 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:12"
- }
- },
- "right.stage_four.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:11"
- }
- },
- "right.stage_four.delayed": {
- "hide_name": 0,
- "bits": [ 640, 586, 644, 642, 646, 582, 584, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 614, 616, 618, 620 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:14"
- }
- },
- "right.stage_four.delayline.addr": {
- "hide_name": 0,
- "bits": [ 436, 435, 941, 940, 939, 938, 937, 936, 1454 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_four.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_four.delayline.din": {
- "hide_name": 0,
- "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:5"
- }
- },
- "right.stage_four.delayline.dout": {
- "hide_name": 0,
- "bits": [ 640, 586, 644, 642, 646, 582, 584, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 614, 616, 618, 620 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:7"
- }
- },
- "right.stage_four.delayline.push": {
- "hide_name": 0,
- "bits": [ 431 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_four.delayline.reada": {
- "hide_name": 0,
- "bits": [ 935, 933, 932, 930, 928, 926, 924, 922, 1453 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_four.din": {
- "hide_name": 0,
- "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:9"
- }
- },
- "right.stage_four.dout": {
- "hide_name": 0,
- "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:10"
- }
- },
- "right.stage_four.push": {
- "hide_name": 0,
- "bits": [ 431 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:48|mav.v:11"
- }
- },
- "right.stage_one.acc": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:12"
- }
- },
- "right.stage_one.acc_": {
- "hide_name": 0,
- "bits": [ 1372, 1370, 1368, 1366, 1364, 1362, 1360, 1358, 1356, 1354, 1352, 1350, 1348, 1346, 1344, 1342, 1340, 1338, 1336, 1334, 1332, 1330, 1328, 1458 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:12"
- }
- },
- "right.stage_one.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:11"
- }
- },
- "right.stage_one.delayed": {
- "hide_name": 0,
- "bits": [ 570, 568, 574, 572, 544, 542, 540, 566, 564, 562, 560, 558, 556, 580, 578, 576 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:14"
- }
- },
- "right.stage_one.delayline.addr": {
- "hide_name": 0,
- "bits": [ 439, 438, 1004, 1003, 1002, 1001, 1000, 999, 1461 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_one.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_one.delayline.din": {
- "hide_name": 0,
- "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:5"
- }
- },
- "right.stage_one.delayline.dout": {
- "hide_name": 0,
- "bits": [ 570, 568, 574, 572, 544, 542, 540, 566, 564, 562, 560, 558, 556, 580, 578, 576 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:7"
- }
- },
- "right.stage_one.delayline.push": {
- "hide_name": 0,
- "bits": [ 413 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_one.delayline.reada": {
- "hide_name": 0,
- "bits": [ 998, 996, 995, 993, 991, 989, 987, 985, 1460 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_one.din": {
- "hide_name": 0,
- "bits": [ 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:9"
- }
- },
- "right.stage_one.dout": {
- "hide_name": 0,
- "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:10"
- }
- },
- "right.stage_one.push": {
- "hide_name": 0,
- "bits": [ 413 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:30|mav.v:11"
- }
- },
- "right.stage_one_out": {
- "hide_name": 0,
- "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:29"
- }
- },
- "right.stage_three.acc": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:12"
- }
- },
- "right.stage_three.acc_": {
- "hide_name": 0,
- "bits": [ 1172, 1170, 1168, 1166, 1164, 1162, 1160, 1158, 1156, 1154, 1152, 1150, 1148, 1146, 1144, 1142, 1140, 1138, 1136, 1134, 1132, 1130, 1128, 1126, 1124, 1122, 1120, 1118, 1116, 1114, 1112, 1465 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:12"
- }
- },
- "right.stage_three.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:11"
- }
- },
- "right.stage_three.delayed": {
- "hide_name": 0,
- "bits": [ 508, 502, 546, 504, 506, 548, 520, 518, 516, 514, 512, 510, 532, 530, 528, 538, 536, 534, 526, 524, 522, 554, 552, 550 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:14"
- }
- },
- "right.stage_three.delayline.addr": {
- "hide_name": 0,
- "bits": [ 442, 441, 962, 961, 960, 959, 958, 957, 1468 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_three.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_three.delayline.din": {
- "hide_name": 0,
- "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:5"
- }
- },
- "right.stage_three.delayline.dout": {
- "hide_name": 0,
- "bits": [ 508, 502, 546, 504, 506, 548, 520, 518, 516, 514, 512, 510, 532, 530, 528, 538, 536, 534, 526, 524, 522, 554, 552, 550 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:7"
- }
- },
- "right.stage_three.delayline.push": {
- "hide_name": 0,
- "bits": [ 425 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_three.delayline.reada": {
- "hide_name": 0,
- "bits": [ 956, 954, 953, 951, 949, 947, 945, 943, 1467 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_three.din": {
- "hide_name": 0,
- "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:9"
- }
- },
- "right.stage_three.dout": {
- "hide_name": 0,
- "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:10"
- }
- },
- "right.stage_three.push": {
- "hide_name": 0,
- "bits": [ 425 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:42|mav.v:11"
- }
- },
- "right.stage_three_out": {
- "hide_name": 0,
- "bits": [ 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1200, 1198, 1196, 1194, 1192, 1190, 1188, 1186, 1184, 1182, 1180, 1178, 1176, 1174, 1463 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:41"
- }
- },
- "right.stage_two.acc": {
- "hide_name": 0,
- "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:12"
- }
- },
- "right.stage_two.acc_": {
- "hide_name": 0,
- "bits": [ 1280, 1278, 1276, 1274, 1272, 1270, 1268, 1266, 1264, 1262, 1260, 1258, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1242, 1240, 1238, 1236, 1472 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:12"
- }
- },
- "right.stage_two.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:11"
- }
- },
- "right.stage_two.delayed": {
- "hide_name": 0,
- "bits": [ 636, 630, 638, 628, 626, 632, 634, 462, 464, 466, 468, 492, 494, 496, 498, 500 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:14"
- }
- },
- "right.stage_two.delayline.addr": {
- "hide_name": 0,
- "bits": [ 445, 444, 983, 982, 981, 980, 979, 978, 1475 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_two.delayline.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_two.delayline.din": {
- "hide_name": 0,
- "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:5"
- }
- },
- "right.stage_two.delayline.dout": {
- "hide_name": 0,
- "bits": [ 636, 630, 638, 628, 626, 632, 634, 462, 464, 466, 468, 492, 494, 496, 498, 500 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:7"
- }
- },
- "right.stage_two.delayline.push": {
- "hide_name": 0,
- "bits": [ 419 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:6"
- }
- },
- "right.stage_two.delayline.reada": {
- "hide_name": 0,
- "bits": [ 977, 975, 974, 972, 970, 968, 966, 964, 1474 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:15|fifo.v:10"
- }
- },
- "right.stage_two.din": {
- "hide_name": 0,
- "bits": [ 1402, 1400, 1398, 1396, 1394, 1392, 1390, 1388, 1386, 1384, 1382, 1380, 1378, 1376, 1374, 1456 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:9"
- }
- },
- "right.stage_two.dout": {
- "hide_name": 0,
- "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:10"
- }
- },
- "right.stage_two.push": {
- "hide_name": 0,
- "bits": [ 419 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:36|mav.v:11"
- }
- },
- "right.stage_two_out": {
- "hide_name": 0,
- "bits": [ 1326, 1324, 1322, 1320, 1318, 1316, 1314, 1312, 1310, 1308, 1306, 1304, 1302, 1300, 1298, 1296, 1294, 1292, 1290, 1288, 1286, 1284, 1282, 1470 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:35"
- }
- },
- "right.timer": {
- "hide_name": 0,
- "bits": [ 648, "x", 431, "x", "x", 425, "x", "x", 419, "x", "x", 413 ],
- "attributes": {
- "src": "hx8kbb.v:53|s4filt.v:9"
- }
- },
- "rightd.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:4"
- }
- },
- "rightd.ddt": {
- "hide_name": 0,
- "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:6"
- }
- },
- "rightd.ddt_": {
- "hide_name": 0,
- "bits": [ 1450, 1448, 1446, 1444, 1442, 1440, 1438, 1436, 1434, 1432, 1430, 1428, 1426, 1424, 1422, 1420, 2143, 2144, 2145, 2146, 2147, 2148, 2149, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:9"
- }
- },
- "rightd.din": {
- "hide_name": 0,
- "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:5"
- }
- },
- "rightd.load": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:4"
- }
- },
- "rightd.old": {
- "hide_name": 0,
- "bits": [ 624, 470, 490, 480, 482, 472, 474, 622, 484, 476, 486, 460, 454, 458, 456, 478, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:9"
- }
- },
- "rightd.older.addr": {
- "hide_name": 0,
- "bits": [ 448, 447, 1014, 1013, 1478 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:10"
- }
- },
- "rightd.older.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:6"
- }
- },
- "rightd.older.din": {
- "hide_name": 0,
- "bits": [ 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:5"
- }
- },
- "rightd.older.dout": {
- "hide_name": 0,
- "bits": [ 624, 470, 490, 480, 482, 472, 474, 622, 484, 476, 486, 460, 454, 458, 456, 478, 2159, 2160, 2161, 2162, 2163, 2164, 2165, 2166, 2167, 2168, 2169, 2170, 2171, 2172, 2173, 2174 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:7"
- }
- },
- "rightd.older.push": {
- "hide_name": 0,
- "bits": [ 648 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:6"
- }
- },
- "rightd.older.reada": {
- "hide_name": 0,
- "bits": [ 1012, 1010, 1009, 1007, 1477 ],
- "attributes": {
- "src": "hx8kbb.v:81|differ.v:10|fifo.v:10"
- }
- },
- "rightd2.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:4"
- }
- },
- "rightd2.ddt": {
- "hide_name": 0,
- "bits": [ 651, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:6"
- }
- },
- "rightd2.ddt_": {
- "hide_name": 0,
- "bits": [ 1419, 2175, 2176, 2177, 2178, 2179, 2180, 2181, 2182, 2183, 2184, 2185, 2186, 2187, 2188, 2189, 2190, 2191, 2192, 2193, 2194, 2195, 2196, 2197, 2198, 2199, 2200, 2201, 2202, 2203, 2204, 2205 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:9"
- }
- },
- "rightd2.din": {
- "hide_name": 0,
- "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:5"
- }
- },
- "rightd2.old": {
- "hide_name": 0,
- "bits": [ 488, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:9"
- }
- },
- "rightd2.older.clk": {
- "hide_name": 0,
- "bits": [ 920 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:10|fifo.v:6"
- }
- },
- "rightd2.older.din": {
- "hide_name": 0,
- "bits": [ 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:10|fifo.v:5"
- }
- },
- "rightd2.older.dout": {
- "hide_name": 0,
- "bits": [ 488, 1806, 1807, 1808, 1809, 1810, 1811, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1819, 1820, 2206, 2207, 2208, 2209, 2210, 2211, 2212, 2213, 2214, 2215, 2216, 2217, 2218, 2219, 2220, 2221 ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:10|fifo.v:7"
- }
- },
- "rightd2.older.reada": {
- "hide_name": 0,
- "bits": [ 1005, "0", "0", "0", "0" ],
- "attributes": {
- "src": "hx8kbb.v:90|differ.v:10|fifo.v:10"
- }
- },
- "rxd.BCLK": {
- "hide_name": 0,
- "bits": [ 650 ],
- "attributes": {
- "src": "hx8kbb.v:33|lrreceiver.v:2"
- }
- },
- "rxd.DATA": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:33|lrreceiver.v:4"
- }
- },
- "rxd.LRCLK": {
- "hide_name": 0,
- "bits": [ 403 ],
- "attributes": {
- "src": "hx8kbb.v:33|lrreceiver.v:2"
- }
- },
- "rxd.SDIN": {
- "hide_name": 0,
- "bits": [ 726 ],
- "attributes": {
- "src": "hx8kbb.v:33|lrreceiver.v:2"
- }
- },
- "rxd.STROBE": {
- "hide_name": 0,
- "bits": [ 411 ],
- "attributes": {
- "src": "hx8kbb.v:33|lrreceiver.v:5"
- }
- },
- "sample": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 681, 679, 677, 675, 673, 671, 669, 667, 665, 663, 661, 659, 657, 655, 653, 728 ],
- "attributes": {
- "src": "hx8kbb.v:6"
- }
- },
- "sdin_": {
- "hide_name": 0,
- "bits": [ 726 ],
- "attributes": {
- "src": "hx8kbb.v:22"
- }
- },
- "txer.clk": {
- "hide_name": 0,
- "bits": [ 650 ],
- "attributes": {
- "src": "hx8kbb.v:99|spimastertx.v:2"
- }
- },
- "txer.tx": {
- "hide_name": 0,
- "bits": [ 651, 2064, 2065, 2066, 2067, 2068, 2069, 2070, 2071, 2072, 2073, 2074, 2075, 2076, 2077, 2078, 2079, 2080, 2081, 2082, 2083, 2084, 2085, 2086, 2087, 2088, 2089, 2090, 2091, 2092, 2093, 2094, 1451, 1449, 1447, 1445, 1443, 1441, 1439, 1437, 1435, 1433, 1431, 1429, 1427, 1425, 1423, 1421, 2095, 2096, 2097, 2098, 2099, 2100, 2101, 2102, 2103, 2104, 2105, 2106, 2107, 2108, 2109, 2110, 1094, 1092, 1090, 1088, 1086, 1084, 1082, 1080, 1078, 1076, 1074, 1072, 1070, 1068, 1066, 1064, 2111, 2112, 2113, 2114, 2115, 2116, 2117, 2118, 2119, 2120, 2121, 2122, 2123, 2124, 2125, 2126, 2032, 2033, 2034, 2035, 2036, 2037, 2038, 2039, 2040, 2041, 2042, 2043, 2044, 2045, 2046, 2047, 2048, 2049, 2050, 2051, 2052, 2053, 2054, 2055, 2056, 2057, 2058, 2059, 2060, 2061, 2062, 2063, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012, 2013, 2014, 2015, 2016, 2017, 2018, 2019, 2020, 2021, 2022, 2023, 2024, 2025, 2026, 2027, 2028, 2029, 2030, 2031, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, 1983, 1984, 1985, 1986, 1987, 1988, 1989, 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999 ],
- "attributes": {
- "src": "hx8kbb.v:99|spimastertx.v:4"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0170/hx8kbb.json.gz b/ice40/regressions/issue0170/hx8kbb.json.gz
new file mode 100644
index 0000000..1dd87d2
--- /dev/null
+++ b/ice40/regressions/issue0170/hx8kbb.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test1.json b/ice40/regressions/issue0176/test1.json
deleted file mode 100644
index 9c57961..0000000
--- a/ice40/regressions/issue0176/test1.json
+++ /dev/null
@@ -1,5365 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ "x" ]
- }
- },
- "cells": {
- "$abc$70$auto$blifparse.cc:492:parse_blif$71": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$55": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test1.json.gz b/ice40/regressions/issue0176/test1.json.gz
new file mode 100644
index 0000000..131f086
--- /dev/null
+++ b/ice40/regressions/issue0176/test1.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test2.json b/ice40/regressions/issue0176/test2.json
deleted file mode 100644
index e06a4fb..0000000
--- a/ice40/regressions/issue0176/test2.json
+++ /dev/null
@@ -1,5365 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "inout",
- "bits": [ "1" ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ "1" ]
- }
- },
- "cells": {
- "$abc$72$auto$blifparse.cc:492:parse_blif$73": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$57": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test2.json.gz b/ice40/regressions/issue0176/test2.json.gz
new file mode 100644
index 0000000..619df80
--- /dev/null
+++ b/ice40/regressions/issue0176/test2.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test3.json b/ice40/regressions/issue0176/test3.json
deleted file mode 100644
index a86d2b6..0000000
--- a/ice40/regressions/issue0176/test3.json
+++ /dev/null
@@ -1,5365 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "inout",
- "bits": [ "1" ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ "1" ]
- }
- },
- "cells": {
- "$abc$70$auto$blifparse.cc:492:parse_blif$71": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$55": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test3.json.gz b/ice40/regressions/issue0176/test3.json.gz
new file mode 100644
index 0000000..dccab6d
--- /dev/null
+++ b/ice40/regressions/issue0176/test3.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test4.json b/ice40/regressions/issue0176/test4.json
deleted file mode 100644
index b075dba..0000000
--- a/ice40/regressions/issue0176/test4.json
+++ /dev/null
@@ -1,5415 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ "x" ]
- }
- },
- "cells": {
- "$abc$106$auto$blifparse.cc:492:parse_blif$107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$abc$106$auto$blifparse.cc:492:parse_blif$108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 6 ]
- }
- },
- "$auto$simplemap.cc:293:simplemap_tribuf$59": {
- "hide_name": 1,
- "type": "$_TBUF_",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:25"
- },
- "port_directions": {
- "A": "input",
- "E": "input",
- "Y": "output"
- },
- "connections": {
- "A": [ "0" ],
- "E": [ 5 ],
- "Y": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$91": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 6 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "$abc$106$n1": {
- "hide_name": 1,
- "bits": [ 5 ],
- "attributes": {
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test4.json.gz b/ice40/regressions/issue0176/test4.json.gz
new file mode 100644
index 0000000..6288de3
--- /dev/null
+++ b/ice40/regressions/issue0176/test4.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test5.json b/ice40/regressions/issue0176/test5.json
deleted file mode 100644
index 897d6b6..0000000
--- a/ice40/regressions/issue0176/test5.json
+++ /dev/null
@@ -1,5435 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "inout",
- "bits": [ 4 ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ 5 ]
- }
- },
- "cells": {
- "$abc$110$auto$blifparse.cc:492:parse_blif$111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 6 ]
- }
- },
- "$abc$110$auto$blifparse.cc:492:parse_blif$112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 7 ]
- }
- },
- "$auto$simplemap.cc:293:simplemap_tribuf$61": {
- "hide_name": 1,
- "type": "$_TBUF_",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:27"
- },
- "port_directions": {
- "A": "input",
- "E": "input",
- "Y": "output"
- },
- "connections": {
- "A": [ "0" ],
- "E": [ 6 ],
- "Y": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$93": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 4 ],
- "Q": [ 5 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$94": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 7 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 7 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "$abc$110$n1": {
- "hide_name": 1,
- "bits": [ 6 ],
- "attributes": {
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test5.json.gz b/ice40/regressions/issue0176/test5.json.gz
new file mode 100644
index 0000000..8d48579
--- /dev/null
+++ b/ice40/regressions/issue0176/test5.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test6.json b/ice40/regressions/issue0176/test6.json
deleted file mode 100644
index b0e9f0f..0000000
--- a/ice40/regressions/issue0176/test6.json
+++ /dev/null
@@ -1,5415 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "inout",
- "bits": [ 4 ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- "$abc$106$auto$blifparse.cc:492:parse_blif$107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$abc$106$auto$blifparse.cc:492:parse_blif$108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 6 ]
- }
- },
- "$auto$simplemap.cc:293:simplemap_tribuf$59": {
- "hide_name": 1,
- "type": "$_TBUF_",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:32"
- },
- "port_directions": {
- "A": "input",
- "E": "input",
- "Y": "output"
- },
- "connections": {
- "A": [ "0" ],
- "E": [ 5 ],
- "Y": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$91": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 6 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "$abc$106$n1": {
- "hide_name": 1,
- "bits": [ 5 ],
- "attributes": {
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test6.json.gz b/ice40/regressions/issue0176/test6.json.gz
new file mode 100644
index 0000000..ae49ceb
--- /dev/null
+++ b/ice40/regressions/issue0176/test6.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test7.json b/ice40/regressions/issue0176/test7.json
deleted file mode 100644
index 1d58255..0000000
--- a/ice40/regressions/issue0176/test7.json
+++ /dev/null
@@ -1,5415 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "inout",
- "bits": [ 4 ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ "x" ]
- }
- },
- "cells": {
- "$abc$106$auto$blifparse.cc:492:parse_blif$107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$abc$106$auto$blifparse.cc:492:parse_blif$108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 6 ]
- }
- },
- "$auto$simplemap.cc:293:simplemap_tribuf$59": {
- "hide_name": 1,
- "type": "$_TBUF_",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:36"
- },
- "port_directions": {
- "A": "input",
- "E": "input",
- "Y": "output"
- },
- "connections": {
- "A": [ "0" ],
- "E": [ 5 ],
- "Y": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$91": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 6 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "$abc$106$n1": {
- "hide_name": 1,
- "bits": [ 5 ],
- "attributes": {
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test7.json.gz b/ice40/regressions/issue0176/test7.json.gz
new file mode 100644
index 0000000..f12e5d1
--- /dev/null
+++ b/ice40/regressions/issue0176/test7.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0176/test8.json b/ice40/regressions/issue0176/test8.json
deleted file mode 100644
index b7ff93f..0000000
--- a/ice40/regressions/issue0176/test8.json
+++ /dev/null
@@ -1,5415 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:1"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "IGNORE_ME": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "INOUT": {
- "direction": "inout",
- "bits": [ 4 ]
- },
- "PROBE": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- "$abc$106$auto$blifparse.cc:492:parse_blif$107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$abc$106$auto$blifparse.cc:492:parse_blif$108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 6 ]
- }
- },
- "$auto$simplemap.cc:293:simplemap_tribuf$59": {
- "hide_name": 1,
- "type": "$_TBUF_",
- "parameters": {
- },
- "attributes": {
- "src": "top.v:38"
- },
- "port_directions": {
- "A": "input",
- "E": "input",
- "Y": "output"
- },
- "connections": {
- "A": [ "0" ],
- "E": [ 5 ],
- "Y": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$91": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:9|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 6 ],
- "Q": [ 3 ]
- }
- }
- },
- "netnames": {
- "$0\\IGNORE_ME[0:0]": {
- "hide_name": 1,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "$abc$106$n1": {
- "hide_name": 1,
- "bits": [ 5 ],
- "attributes": {
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:2"
- }
- },
- "IGNORE_ME": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "INOUT": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "PROBE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0176/test8.json.gz b/ice40/regressions/issue0176/test8.json.gz
new file mode 100644
index 0000000..d96b5b8
--- /dev/null
+++ b/ice40/regressions/issue0176/test8.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0183/TopEntity.json b/ice40/regressions/issue0183/TopEntity.json
deleted file mode 100644
index a778e6a..0000000
--- a/ice40/regressions/issue0183/TopEntity.json
+++ /dev/null
@@ -1,140987 +0,0 @@
-{
- "creator": "Yosys 0.8+2 (git sha1 debc0d35, gcc 8.2.0-9 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "SuperTopEntity": {
- "attributes": {
- "top": 1,
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:1"
- },
- "ports": {
- "CLOCK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RESET": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RX": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SDO": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "BUTRST": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "MANRST": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "TX": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "LED": {
- "direction": "output",
- "bits": [ "1", "0", "0", "1", "0", "0", "0" ]
- },
- "CLK_OUT": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "C1": {
- "direction": "output",
- "bits": [ 9, 10, 11 ]
- },
- "C2": {
- "direction": "output",
- "bits": [ 12, 13, 14 ]
- },
- "DATA": {
- "direction": "output",
- "bits": [ 15, 16, 17, 18 ]
- },
- "LAT": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "OE": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "CS_AG": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "CS_M": {
- "direction": "output",
- "bits": [ 22 ]
- },
- "CS_ALT": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "SDI": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SCK": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "INT": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "DRDY_M": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "PM1_0": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "PM1_2": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "PM1_5": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "PM1_7": {
- "direction": "output",
- "bits": [ "0" ]
- }
- },
- "cells": {
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 26 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 27 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ 29 ],
- "I2": [ 30 ],
- "I3": [ 31 ],
- "O": [ 25 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 29 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 32 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 33 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 34 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 35 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 36 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 37 ],
- "I1": [ 38 ],
- "I2": [ 39 ],
- "I3": [ "0" ],
- "O": [ 40 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 41 ],
- "I1": [ 42 ],
- "I2": [ 43 ],
- "I3": [ 44 ],
- "O": [ 39 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 46 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 47 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 45 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 52 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 48 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 53 ],
- "I1": [ 54 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 51 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ 58 ],
- "I3": [ "0" ],
- "O": [ 55 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 61 ],
- "I3": [ 62 ],
- "O": [ 58 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ 64 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 54 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ 64 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 52 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ 65 ],
- "I3": [ "0" ],
- "O": [ 49 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 53 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 65 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 62 ],
- "I2": [ 61 ],
- "I3": [ 59 ],
- "O": [ 50 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61696
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 48 ],
- "I3": [ 69 ],
- "O": [ 46 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 53 ],
- "I1": [ 70 ],
- "I2": [ 71 ],
- "I3": [ 52 ],
- "O": [ 69 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 53 ],
- "I1": [ 66 ],
- "I2": [ 72 ],
- "I3": [ "0" ],
- "O": [ 71 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 73 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 72 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 73 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ 58 ],
- "I3": [ 54 ],
- "O": [ 70 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 49 ],
- "I1": [ 58 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 67 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 74 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 68 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 53 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 74 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 75 ],
- "I2": [ 76 ],
- "I3": [ 77 ],
- "O": [ 41 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 79 ],
- "I2": [ 80 ],
- "I3": [ "0" ],
- "O": [ 77 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 66 ],
- "I2": [ 81 ],
- "I3": [ 57 ],
- "O": [ 79 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 81 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 58 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 80 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 78 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 80 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 76 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 74 ],
- "I2": [ 83 ],
- "I3": [ "0" ],
- "O": [ 82 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 73 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 84 ],
- "I2": [ 73 ],
- "I3": [ 53 ],
- "O": [ 75 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 62 ],
- "I3": [ 61 ],
- "O": [ 84 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 85 ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 86 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ 87 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 88 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 89 ],
- "I2": [ 90 ],
- "I3": [ 91 ],
- "O": [ 87 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 85 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 89 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 78 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 85 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 73 ],
- "I2": [ 80 ],
- "I3": [ 53 ],
- "O": [ 90 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 53 ],
- "I1": [ 66 ],
- "I2": [ 78 ],
- "I3": [ "0" ],
- "O": [ 92 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 84 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 93 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 94 ],
- "I2": [ 54 ],
- "I3": [ 74 ],
- "O": [ 43 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 94 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 98 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 44 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 98 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 37 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65294
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 101 ],
- "I3": [ 102 ],
- "O": [ 103 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 43 ],
- "I1": [ 42 ],
- "I2": [ 44 ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36744
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 47 ],
- "I3": [ 106 ],
- "O": [ 99 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 108 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 110 ],
- "I1": [ 111 ],
- "I2": [ 112 ],
- "I3": [ "0" ],
- "O": [ 107 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 113 ],
- "I1": [ 114 ],
- "I2": [ 115 ],
- "I3": [ 116 ],
- "O": [ 110 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 118 ],
- "I2": [ 119 ],
- "I3": [ 120 ],
- "O": [ 111 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 121 ],
- "I1": [ 122 ],
- "I2": [ 123 ],
- "I3": [ 124 ],
- "O": [ 112 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 126 ],
- "I2": [ 127 ],
- "I3": [ 128 ],
- "O": [ 108 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 129 ],
- "I1": [ 130 ],
- "I2": [ 131 ],
- "I3": [ 132 ],
- "O": [ 109 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 44 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 106 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 96 ],
- "I2": [ 44 ],
- "I3": [ "0" ],
- "O": [ 105 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 95 ],
- "I2": [ 45 ],
- "I3": [ 134 ],
- "O": [ 101 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 135 ],
- "I2": [ 75 ],
- "I3": [ "0" ],
- "O": [ 133 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 82 ],
- "I2": [ 81 ],
- "I3": [ "0" ],
- "O": [ 135 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 159
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 53 ],
- "I2": [ 78 ],
- "I3": [ "0" ],
- "O": [ 136 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 49 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 134 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 37 ],
- "I1": [ 137 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 102 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 37 ],
- "I2": [ 139 ],
- "I3": [ 140 ],
- "O": [ 141 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 101 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 44 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 140 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 37 ],
- "I2": [ 100 ],
- "I3": [ 143 ],
- "O": [ 144 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 46 ],
- "I2": [ 99 ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 37 ],
- "I2": [ 143 ],
- "I3": [ 146 ],
- "O": [ 147 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 44 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65281
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 149 ],
- "I2": [ 80 ],
- "I3": [ 82 ],
- "O": [ 148 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 84 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 149 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 150 ],
- "I1": [ 37 ],
- "I2": [ 151 ],
- "I3": [ 152 ],
- "O": [ 153 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 44 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 151 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65281
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 80 ],
- "I2": [ 149 ],
- "I3": [ 136 ],
- "O": [ 154 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 51 ],
- "I2": [ 94 ],
- "I3": [ 99 ],
- "O": [ 152 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 155 ],
- "I1": [ 37 ],
- "I2": [ 156 ],
- "I3": [ 157 ],
- "O": [ 158 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 44 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 156 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36608
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 66 ],
- "I2": [ 94 ],
- "I3": [ 99 ],
- "O": [ 157 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 161 ],
- "I2": [ 162 ],
- "I3": [ 163 ],
- "O": [ 164 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 166 ],
- "I2": [ 167 ],
- "I3": [ "0" ],
- "O": [ 162 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 167 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 160 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 168 ],
- "I2": [ 162 ],
- "I3": [ 169 ],
- "O": [ 170 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 171 ],
- "I2": [ 162 ],
- "I3": [ 172 ],
- "O": [ 173 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 174 ],
- "I2": [ 162 ],
- "I3": [ 175 ],
- "O": [ 176 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 178 ],
- "I2": [ 179 ],
- "I3": [ "0" ],
- "O": [ 180 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 178 ],
- "I2": [ 182 ],
- "I3": [ 183 ],
- "O": [ 179 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 165 ],
- "I2": [ 167 ],
- "I3": [ "0" ],
- "O": [ 183 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 184 ],
- "I1": [ 182 ],
- "I2": [ 185 ],
- "I3": [ "0" ],
- "O": [ 177 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 167 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 184 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 235
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 185 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65408
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 183 ],
- "I2": [ 182 ],
- "I3": [ 187 ],
- "O": [ 188 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 189 ],
- "I2": [ 190 ],
- "I3": [ "0" ],
- "O": [ 187 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 182 ],
- "I2": [ 165 ],
- "I3": [ "0" ],
- "O": [ 190 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 191 ],
- "I1": [ 192 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 193 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46080
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 182 ],
- "I2": [ 195 ],
- "I3": [ 183 ],
- "O": [ 191 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 195 ],
- "I2": [ 185 ],
- "I3": [ "0" ],
- "O": [ 192 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 194 ],
- "I2": [ 196 ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 194 ],
- "I2": [ 182 ],
- "I3": [ 183 ],
- "O": [ 196 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 200 ],
- "I2": [ 201 ],
- "I3": [ "0" ],
- "O": [ 202 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 204 ],
- "I2": [ 205 ],
- "I3": [ 206 ],
- "O": [ 199 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 235
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ 209 ],
- "I3": [ 210 ],
- "O": [ 206 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 203 ],
- "I2": [ 211 ],
- "I3": [ "0" ],
- "O": [ 210 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 207 ],
- "I3": [ "0" ],
- "O": [ 211 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 213 ],
- "I2": [ 214 ],
- "I3": [ 215 ],
- "O": [ 203 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 217 ],
- "I2": [ 218 ],
- "I3": [ 219 ],
- "O": [ 201 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3071
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 211 ],
- "I2": [ 205 ],
- "I3": [ 204 ],
- "O": [ 218 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 207 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 216 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 219 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 222 ],
- "I2": [ 218 ],
- "I3": [ 223 ],
- "O": [ 220 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 223 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 226 ],
- "I2": [ 218 ],
- "I3": [ 227 ],
- "O": [ 224 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 227 ],
- "I2": [ 228 ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 230 ],
- "I2": [ 218 ],
- "I3": [ 231 ],
- "O": [ 228 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 231 ],
- "I2": [ 232 ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 234 ],
- "I2": [ 218 ],
- "I3": [ 235 ],
- "O": [ 232 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 235 ],
- "I2": [ 236 ],
- "I3": [ "0" ],
- "O": [ 237 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 238 ],
- "I2": [ 218 ],
- "I3": [ 239 ],
- "O": [ 236 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 239 ],
- "I2": [ 240 ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 242 ],
- "I2": [ 218 ],
- "I3": [ 243 ],
- "O": [ 240 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 243 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 211 ],
- "I2": [ 204 ],
- "I3": [ 5 ],
- "O": [ 244 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 246 ],
- "I1": [ 247 ],
- "I2": [ 248 ],
- "I3": [ "0" ],
- "O": [ 249 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 250 ],
- "I1": [ 246 ],
- "I2": [ 204 ],
- "I3": [ 215 ],
- "O": [ 248 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 211 ],
- "I1": [ 205 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 246 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 209 ],
- "I2": [ 208 ],
- "I3": [ "0" ],
- "O": [ 250 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 205 ],
- "I1": [ 203 ],
- "I2": [ 251 ],
- "I3": [ 204 ],
- "O": [ 247 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65456
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 204 ],
- "I2": [ 250 ],
- "I3": [ 252 ],
- "O": [ 253 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 214 ],
- "I2": [ 246 ],
- "I3": [ 204 ],
- "O": [ 252 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24332
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 255 ],
- "I2": [ 256 ],
- "I3": [ 250 ],
- "O": [ 257 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 246 ],
- "I2": [ 204 ],
- "I3": [ 213 ],
- "O": [ 256 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 213 ],
- "I2": [ 204 ],
- "I3": [ 246 ],
- "O": [ 255 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65456
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 204 ],
- "I2": [ 250 ],
- "I3": [ 258 ],
- "O": [ 259 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 212 ],
- "I2": [ 246 ],
- "I3": [ 204 ],
- "O": [ 258 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ 30 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 262 ],
- "I1": [ 263 ],
- "I2": [ 264 ],
- "I3": [ 265 ],
- "O": [ 266 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 267 ],
- "I1": [ 268 ],
- "I2": [ 269 ],
- "I3": [ 270 ],
- "O": [ 263 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 271 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 272 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 273 ],
- "I1": [ 274 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 277 ],
- "I2": [ 278 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 280 ],
- "I2": [ 281 ],
- "I3": [ 282 ],
- "O": [ 276 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 271 ],
- "I2": [ 284 ],
- "I3": [ 285 ],
- "O": [ 277 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 287 ],
- "I2": [ 288 ],
- "I3": [ 289 ],
- "O": [ 278 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 291 ],
- "I2": [ 292 ],
- "I3": [ 293 ],
- "O": [ 294 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 296 ],
- "I2": [ 297 ],
- "I3": [ 298 ],
- "O": [ 291 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 299 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 300 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 301 ],
- "I1": [ 129 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 302 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 303 ],
- "I1": [ 304 ],
- "I2": [ 305 ],
- "I3": [ 306 ],
- "O": [ 307 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 308 ],
- "I1": [ 309 ],
- "I2": [ 310 ],
- "I3": [ "0" ],
- "O": [ 305 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 311 ],
- "I1": [ 312 ],
- "I2": [ 313 ],
- "I3": [ "0" ],
- "O": [ 309 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 314 ],
- "I1": [ 315 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 312 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 316 ],
- "I1": [ 317 ],
- "I2": [ 318 ],
- "I3": [ "0" ],
- "O": [ 314 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 319 ],
- "I1": [ 320 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 316 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 322 ],
- "I1": [ 323 ],
- "I2": [ 324 ],
- "I3": [ 325 ],
- "O": [ 321 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 326 ],
- "I1": [ 327 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 323 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 329 ],
- "I2": [ 330 ],
- "I3": [ 331 ],
- "O": [ 326 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 319 ],
- "I1": [ 332 ],
- "I2": [ 333 ],
- "I3": [ 334 ],
- "O": [ 327 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 335 ],
- "I1": [ 336 ],
- "I2": [ 337 ],
- "I3": [ "0" ],
- "O": [ 325 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 339 ],
- "I2": [ 340 ],
- "I3": [ 341 ],
- "O": [ 324 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 342 ],
- "I1": [ 343 ],
- "I2": [ 344 ],
- "I3": [ 345 ],
- "O": [ 322 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 347 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 317 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 349 ],
- "I1": [ 350 ],
- "I2": [ 351 ],
- "I3": [ 352 ],
- "O": [ 348 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 353 ],
- "I1": [ 354 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 350 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 355 ],
- "I1": [ 356 ],
- "I2": [ 357 ],
- "I3": [ 358 ],
- "O": [ 353 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 359 ],
- "I2": [ 360 ],
- "I3": [ 361 ],
- "O": [ 354 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 362 ],
- "I1": [ 363 ],
- "I2": [ 364 ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 365 ],
- "I1": [ 366 ],
- "I2": [ 367 ],
- "I3": [ 368 ],
- "O": [ 351 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 369 ],
- "I1": [ 370 ],
- "I2": [ 371 ],
- "I3": [ 372 ],
- "O": [ 349 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 373 ],
- "I1": [ 374 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 318 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5185
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 377 ],
- "I2": [ 378 ],
- "I3": [ 379 ],
- "O": [ 375 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 380 ],
- "I1": [ 381 ],
- "I2": [ 382 ],
- "I3": [ 383 ],
- "O": [ 376 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 385 ],
- "I2": [ 386 ],
- "I3": [ 387 ],
- "O": [ 380 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 373 ],
- "I1": [ 388 ],
- "I2": [ 389 ],
- "I3": [ 390 ],
- "O": [ 381 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ 392 ],
- "I2": [ 393 ],
- "I3": [ 394 ],
- "O": [ 382 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ 396 ],
- "I2": [ 397 ],
- "I3": [ 398 ],
- "O": [ 383 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 399 ],
- "I1": [ 400 ],
- "I2": [ 401 ],
- "I3": [ "0" ],
- "O": [ 315 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 135
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 375 ],
- "I1": [ 373 ],
- "I2": [ 388 ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 325 ],
- "I1": [ 319 ],
- "I2": [ 332 ],
- "I3": [ "0" ],
- "O": [ 400 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 352 ],
- "I1": [ 346 ],
- "I2": [ 359 ],
- "I3": [ "0" ],
- "O": [ 401 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 403 ],
- "I2": [ 404 ],
- "I3": [ "0" ],
- "O": [ 311 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 405 ],
- "I1": [ 406 ],
- "I2": [ 407 ],
- "I3": [ 408 ],
- "O": [ 404 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 410 ],
- "I2": [ 411 ],
- "I3": [ 412 ],
- "O": [ 408 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ 414 ],
- "I2": [ 415 ],
- "I3": [ 416 ],
- "O": [ 403 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 418 ],
- "I2": [ 419 ],
- "I3": [ 420 ],
- "O": [ 416 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 421 ],
- "I1": [ 422 ],
- "I2": [ 423 ],
- "I3": [ "0" ],
- "O": [ 313 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 424 ],
- "I1": [ 425 ],
- "I2": [ 426 ],
- "I3": [ 427 ],
- "O": [ 308 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 429 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 424 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 431 ],
- "I2": [ 432 ],
- "I3": [ 433 ],
- "O": [ 428 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ 435 ],
- "I2": [ 436 ],
- "I3": [ 437 ],
- "O": [ 429 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 439 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 425 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ 442 ],
- "I2": [ 443 ],
- "I3": [ 444 ],
- "O": [ 440 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 26880
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 446 ],
- "I2": [ 447 ],
- "I3": [ 448 ],
- "O": [ 310 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 450 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 304 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 451 ],
- "I1": [ 452 ],
- "I2": [ 453 ],
- "I3": [ "0" ],
- "O": [ 450 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 304 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 454 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 449 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 458 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 455 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 460 ],
- "I2": [ 461 ],
- "I3": [ 462 ],
- "O": [ 458 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 464 ],
- "I2": [ 465 ],
- "I3": [ 466 ],
- "O": [ 461 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 467 ],
- "I1": [ 468 ],
- "I2": [ 469 ],
- "I3": [ 470 ],
- "O": [ 462 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 471 ],
- "I2": [ 449 ],
- "I3": [ "0" ],
- "O": [ 472 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 309 ],
- "I2": [ 474 ],
- "I3": [ 475 ],
- "O": [ 476 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ 477 ],
- "I2": [ 478 ],
- "I3": [ "0" ],
- "O": [ 474 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 479 ],
- "I1": [ 480 ],
- "I2": [ 481 ],
- "I3": [ 482 ],
- "O": [ 473 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 483 ],
- "I1": [ 484 ],
- "I2": [ 485 ],
- "I3": [ 486 ],
- "O": [ 487 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 489 ],
- "I2": [ 490 ],
- "I3": [ 491 ],
- "O": [ 483 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 492 ],
- "I1": [ 493 ],
- "I2": [ 494 ],
- "I3": [ 495 ],
- "O": [ 488 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 496 ],
- "I1": [ 497 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 499 ],
- "I1": [ 500 ],
- "I2": [ 501 ],
- "I3": [ 502 ],
- "O": [ 498 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 504 ],
- "I2": [ 505 ],
- "I3": [ "0" ],
- "O": [ 499 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 506 ],
- "I1": [ 507 ],
- "I2": [ 508 ],
- "I3": [ 509 ],
- "O": [ 503 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 510 ],
- "I1": [ 511 ],
- "I2": [ 512 ],
- "I3": [ 513 ],
- "O": [ 504 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ 515 ],
- "I2": [ 516 ],
- "I3": [ 517 ],
- "O": [ 505 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ 519 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 500 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 520 ],
- "I1": [ 521 ],
- "I2": [ 522 ],
- "I3": [ 523 ],
- "O": [ 518 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 525 ],
- "I2": [ 526 ],
- "I3": [ 527 ],
- "O": [ 519 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 528 ],
- "I1": [ 529 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 501 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 531 ],
- "I2": [ 532 ],
- "I3": [ 496 ],
- "O": [ 528 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ 534 ],
- "I2": [ 535 ],
- "I3": [ 536 ],
- "O": [ 529 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 537 ],
- "I1": [ 538 ],
- "I2": [ 539 ],
- "I3": [ "0" ],
- "O": [ 502 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 540 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 493 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 541 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 494 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ 542 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 495 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ 543 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 489 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 534 ],
- "I1": [ 544 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 490 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 545 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 491 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 547 ],
- "I2": [ 548 ],
- "I3": [ 549 ],
- "O": [ 484 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 550 ],
- "I1": [ 551 ],
- "I2": [ 552 ],
- "I3": [ 553 ],
- "O": [ 546 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 554 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 553 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 555 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 551 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 556 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 506 ],
- "I1": [ 557 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 558 ],
- "I1": [ 559 ],
- "I2": [ 560 ],
- "I3": [ 561 ],
- "O": [ 547 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 531 ],
- "I1": [ 562 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 558 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 517 ],
- "I1": [ 563 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 559 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 536 ],
- "I1": [ 564 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 560 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 565 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 567 ],
- "I2": [ 568 ],
- "I3": [ 569 ],
- "O": [ 548 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ 570 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 566 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 513 ],
- "I1": [ 571 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 532 ],
- "I1": [ 572 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 568 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 573 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 569 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 502 ],
- "I1": [ 514 ],
- "I2": [ 515 ],
- "I3": [ "0" ],
- "O": [ 549 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 574 ],
- "I1": [ 575 ],
- "I2": [ 576 ],
- "I3": [ 577 ],
- "O": [ 485 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 578 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 574 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 520 ],
- "I1": [ 579 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 575 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ 580 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 576 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 526 ],
- "I1": [ 581 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 582 ],
- "I1": [ 583 ],
- "I2": [ 584 ],
- "I3": [ 585 ],
- "O": [ 486 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 586 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 582 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 535 ],
- "I1": [ 587 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 583 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 588 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 584 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 510 ],
- "I1": [ 589 ],
- "I2": [ 498 ],
- "I3": [ "0" ],
- "O": [ 585 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4945
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 591 ],
- "I2": [ 448 ],
- "I3": [ 592 ],
- "O": [ 593 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5185
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 594 ],
- "I1": [ 595 ],
- "I2": [ 596 ],
- "I3": [ 597 ],
- "O": [ 590 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 499 ],
- "I1": [ 500 ],
- "I2": [ 528 ],
- "I3": [ 598 ],
- "O": [ 594 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ 534 ],
- "I2": [ 535 ],
- "I3": [ 536 ],
- "O": [ 598 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 599 ],
- "I1": [ 600 ],
- "I2": [ 601 ],
- "I3": [ "0" ],
- "O": [ 591 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ 603 ],
- "I2": [ 604 ],
- "I3": [ "0" ],
- "O": [ 605 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 606 ],
- "I1": [ 607 ],
- "I2": [ 608 ],
- "I3": [ 609 ],
- "O": [ 602 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 610 ],
- "I1": [ 611 ],
- "I2": [ 612 ],
- "I3": [ 613 ],
- "O": [ 606 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 615 ],
- "I2": [ 616 ],
- "I3": [ 617 ],
- "O": [ 607 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 618 ],
- "I1": [ 619 ],
- "I2": [ 620 ],
- "I3": [ 621 ],
- "O": [ 608 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 622 ],
- "I1": [ 623 ],
- "I2": [ 624 ],
- "I3": [ 625 ],
- "O": [ 609 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 627 ],
- "I2": [ 628 ],
- "I3": [ 629 ],
- "O": [ 603 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ 631 ],
- "I2": [ 632 ],
- "I3": [ 633 ],
- "O": [ 604 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 634 ],
- "I1": [ 635 ],
- "I2": [ 636 ],
- "I3": [ 637 ],
- "O": [ 638 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 641 ],
- "I3": [ 642 ],
- "O": [ 637 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 644 ],
- "I2": [ 645 ],
- "I3": [ 646 ],
- "O": [ 641 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 648 ],
- "I2": [ 649 ],
- "I3": [ 650 ],
- "O": [ 642 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 652 ],
- "I2": [ 653 ],
- "I3": [ "0" ],
- "O": [ 654 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 655 ],
- "I1": [ 656 ],
- "I2": [ 657 ],
- "I3": [ 658 ],
- "O": [ 653 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 659 ],
- "I1": [ 660 ],
- "I2": [ 661 ],
- "I3": [ 662 ],
- "O": [ 657 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 665 ],
- "I3": [ 666 ],
- "O": [ 658 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 448 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 652 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 667 ],
- "I1": [ 668 ],
- "I2": [ 669 ],
- "I3": [ "0" ],
- "O": [ 651 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 655 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 671 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 655 ],
- "I1": [ 656 ],
- "I2": [ 672 ],
- "I3": [ 673 ],
- "O": [ 670 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 659 ],
- "I1": [ 660 ],
- "I2": [ 661 ],
- "I3": [ 662 ],
- "O": [ 672 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 665 ],
- "I3": [ 666 ],
- "O": [ 673 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 675 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 676 ],
- "I2": [ 677 ],
- "I3": [ "0" ],
- "O": [ 674 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 678 ],
- "I2": [ 674 ],
- "I3": [ 306 ],
- "O": [ 679 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 680 ],
- "I2": [ 681 ],
- "I3": [ 682 ],
- "O": [ 678 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 684 ],
- "I2": [ 685 ],
- "I3": [ "0" ],
- "O": [ 680 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 687 ],
- "I2": [ 688 ],
- "I3": [ 689 ],
- "O": [ 681 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 471 ],
- "I1": [ 690 ],
- "I2": [ 691 ],
- "I3": [ 692 ],
- "O": [ 682 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 693 ],
- "I2": [ 674 ],
- "I3": [ 306 ],
- "O": [ 694 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 678 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 693 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 697 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 698 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 696 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 676 ],
- "I1": [ 677 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 698 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 699 ],
- "I2": [ 696 ],
- "I3": [ 306 ],
- "O": [ 700 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 678 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 699 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 702 ],
- "I2": [ 696 ],
- "I3": [ 306 ],
- "O": [ 703 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 699 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 702 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 706 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 707 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 705 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 676 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 707 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 699 ],
- "I2": [ 705 ],
- "I3": [ 306 ],
- "O": [ 708 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 709 ],
- "I2": [ 705 ],
- "I3": [ 306 ],
- "O": [ 710 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 711 ],
- "I1": [ 699 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 709 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 713 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 676 ],
- "I1": [ 677 ],
- "I2": [ 450 ],
- "I3": [ "0" ],
- "O": [ 712 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 714 ],
- "I2": [ 712 ],
- "I3": [ 306 ],
- "O": [ 715 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 716 ],
- "I1": [ 699 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 714 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 717 ],
- "I2": [ 712 ],
- "I3": [ 306 ],
- "O": [ 718 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 714 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 717 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 720 ],
- "I1": [ 721 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 722 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 723 ],
- "I1": [ 724 ],
- "I2": [ 725 ],
- "I3": [ 726 ],
- "O": [ 720 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 727 ],
- "I1": [ 728 ],
- "I2": [ 729 ],
- "I3": [ 730 ],
- "O": [ 721 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 722 ],
- "I1": [ 731 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 732 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 733 ],
- "I1": [ 734 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 735 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 736 ],
- "I1": [ 737 ],
- "I2": [ 738 ],
- "I3": [ 739 ],
- "O": [ 733 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 740 ],
- "I1": [ 741 ],
- "I2": [ 742 ],
- "I3": [ 743 ],
- "O": [ 734 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 735 ],
- "I1": [ 744 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 745 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 746 ],
- "I1": [ 747 ],
- "I2": [ 246 ],
- "I3": [ 748 ],
- "O": [ 744 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1804
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 208 ],
- "I2": [ 207 ],
- "I3": [ 209 ],
- "O": [ 746 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 203 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 749 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 205 ],
- "I1": [ 749 ],
- "I2": [ 750 ],
- "I3": [ "0" ],
- "O": [ 747 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 751 ],
- "I2": [ 204 ],
- "I3": [ 250 ],
- "O": [ 750 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 748 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 735 ],
- "I1": [ 751 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 752 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 753 ],
- "I1": [ 754 ],
- "I2": [ 755 ],
- "I3": [ 756 ],
- "O": [ 757 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 159 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 755 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 86 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 754 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 758 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 753 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 81 ],
- "I2": [ 89 ],
- "I3": [ "0" ],
- "O": [ 758 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 81 ],
- "I2": [ 57 ],
- "I3": [ 91 ],
- "O": [ 759 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 78 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 91 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 54 ],
- "I2": [ 759 ],
- "I3": [ "0" ],
- "O": [ 756 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 760 ],
- "I1": [ 755 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 761 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 79 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 760 ],
- "I1": [ 762 ],
- "I2": [ 755 ],
- "I3": [ "0" ],
- "O": [ 763 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 762 ],
- "I1": [ 755 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 764 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 756 ],
- "I1": [ 753 ],
- "I2": [ 754 ],
- "I3": [ "0" ],
- "O": [ 762 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 235
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 765 ],
- "I1": [ 766 ],
- "I2": [ 767 ],
- "I3": [ 768 ],
- "O": [ 769 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 771 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 768 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 772 ],
- "I1": [ 773 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 774 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 775 ],
- "I1": [ 776 ],
- "I2": [ 777 ],
- "I3": [ "0" ],
- "O": [ 772 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 778 ],
- "I1": [ 779 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 773 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 780 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 781 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 782 ],
- "I2": [ 775 ],
- "I3": [ "0" ],
- "O": [ 780 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 783 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 784 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 786 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 787 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 788 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 789 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 790 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65504
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 43 ],
- "I1": [ 42 ],
- "I2": [ 44 ],
- "I3": [ 791 ],
- "O": [ 792 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 793 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 791 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ 208 ],
- "I2": [ 207 ],
- "I3": [ 735 ],
- "O": [ 793 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 591 ],
- "I2": [ 482 ],
- "I3": [ 794 ],
- "O": [ 795 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 591 ],
- "I2": [ 590 ],
- "I3": [ 448 ],
- "O": [ 794 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 796 ],
- "I1": [ 797 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 798 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 160 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 797 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 799 ],
- "I1": [ 800 ],
- "I2": [ 801 ],
- "I3": [ "0" ],
- "O": [ 796 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 802 ],
- "I1": [ 803 ],
- "I2": [ 804 ],
- "I3": [ 805 ],
- "O": [ 799 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 806 ],
- "I1": [ 807 ],
- "I2": [ 808 ],
- "I3": [ 809 ],
- "O": [ 800 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 810 ],
- "I1": [ 811 ],
- "I2": [ 812 ],
- "I3": [ 813 ],
- "O": [ 801 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 799 ],
- "I1": [ 184 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 814 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 183 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 816 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 195 ],
- "I2": [ 189 ],
- "I3": [ 178 ],
- "O": [ 815 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 816 ],
- "I1": [ 817 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 818 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60623
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 182 ],
- "I1": [ 166 ],
- "I2": [ 167 ],
- "I3": [ 165 ],
- "O": [ 817 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 778 ],
- "I1": [ 779 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 819 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 820 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 821 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 822 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 823 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 824 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 825 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 826 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 827 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 828 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 829 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 830 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 831 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 832 ],
- "I1": [ 782 ],
- "I2": [ 773 ],
- "I3": [ 775 ],
- "O": [ 833 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 834 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 835 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 836 ],
- "I1": [ 797 ],
- "I2": [ 837 ],
- "I3": [ 838 ],
- "O": [ 839 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 840 ],
- "I1": [ 841 ],
- "I2": [ 842 ],
- "I3": [ 843 ],
- "O": [ 837 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 844 ],
- "I1": [ 182 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 841 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 805 ],
- "I1": [ 803 ],
- "I2": [ 804 ],
- "I3": [ 802 ],
- "O": [ 844 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 799 ],
- "I1": [ 184 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 843 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 802 ],
- "I1": [ 182 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 842 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 162 ],
- "I2": [ 846 ],
- "I3": [ 847 ],
- "O": [ 838 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 815 ],
- "I2": [ 182 ],
- "I3": [ "0" ],
- "O": [ 847 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ 802 ],
- "O": [ 846 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 591 ],
- "I1": [ 482 ],
- "I2": [ 448 ],
- "I3": [ 590 ],
- "O": [ 848 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 591 ],
- "I1": [ 592 ],
- "I2": [ 590 ],
- "I3": [ 482 ],
- "O": [ 849 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 850 ],
- "I1": [ 851 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 852 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 853 ],
- "I1": [ 854 ],
- "I2": [ 850 ],
- "I3": [ "0" ],
- "O": [ 855 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 771 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 856 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 768 ],
- "I1": [ 857 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 858 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 768 ],
- "I1": [ 859 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 860 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 768 ],
- "I1": [ 861 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 862 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 768 ],
- "I1": [ 863 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 864 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 766 ],
- "I1": [ 865 ],
- "I2": [ 765 ],
- "I3": [ "0" ],
- "O": [ 863 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 866 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 867 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 868 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 869 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 870 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 871 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 872 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 873 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 874 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 875 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 876 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 877 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 879 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 880 ],
- "I1": [ 865 ],
- "I2": [ 768 ],
- "I3": [ 765 ],
- "O": [ 881 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 768 ],
- "I1": [ 882 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 883 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 98 ],
- "I2": [ 884 ],
- "I3": [ "0" ],
- "O": [ 885 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 886 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 884 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 37 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 886 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 722 ],
- "I1": [ 797 ],
- "I2": [ 796 ],
- "I3": [ "0" ],
- "O": [ 887 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 798 ],
- "I1": [ 847 ],
- "I2": [ 184 ],
- "I3": [ "0" ],
- "O": [ 888 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 748 ],
- "I1": [ 747 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 889 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 205 ],
- "I2": [ 211 ],
- "I3": [ "0" ],
- "O": [ 890 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 891 ],
- "I1": [ 892 ],
- "I2": [ 893 ],
- "I3": [ "0" ],
- "O": [ 8 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 894 ],
- "I1": [ 895 ],
- "I2": [ 896 ],
- "I3": [ "0" ],
- "O": [ 891 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 897 ],
- "I1": [ 898 ],
- "I2": [ 899 ],
- "I3": [ "0" ],
- "O": [ 895 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 900 ],
- "I2": [ 449 ],
- "I3": [ 901 ],
- "O": [ 902 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 903 ],
- "I2": [ 904 ],
- "I3": [ 592 ],
- "O": [ 900 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 905 ],
- "I1": [ 906 ],
- "I2": [ 907 ],
- "I3": [ "0" ],
- "O": [ 908 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 909 ],
- "I1": [ 893 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 905 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 910 ],
- "I1": [ 892 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 909 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 896 ],
- "I1": [ 894 ],
- "I2": [ 895 ],
- "I3": [ "0" ],
- "O": [ 910 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 274 ],
- "I2": [ 902 ],
- "I3": [ 909 ],
- "O": [ 907 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 911 ],
- "I2": [ 449 ],
- "I3": [ 912 ],
- "O": [ 913 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 914 ],
- "I2": [ 915 ],
- "I3": [ 592 ],
- "O": [ 911 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 913 ],
- "I1": [ 916 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 917 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 918 ],
- "I2": [ 449 ],
- "I3": [ 919 ],
- "O": [ 920 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 921 ],
- "I2": [ 922 ],
- "I3": [ 592 ],
- "O": [ 918 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 920 ],
- "I1": [ 923 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 924 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 925 ],
- "I2": [ 449 ],
- "I3": [ 926 ],
- "O": [ 927 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 928 ],
- "I2": [ 929 ],
- "I3": [ 592 ],
- "O": [ 925 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 927 ],
- "I1": [ 930 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 932 ],
- "I2": [ 449 ],
- "I3": [ 933 ],
- "O": [ 934 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 935 ],
- "I2": [ 936 ],
- "I3": [ 592 ],
- "O": [ 932 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 934 ],
- "I1": [ 893 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 937 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 243 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 939 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ 208 ],
- "I2": [ 207 ],
- "I3": [ 97 ],
- "O": [ 938 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 239 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 940 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 235 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 941 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 231 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 942 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 227 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 943 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 223 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 944 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 219 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 946 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 155 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 947 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 150 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 948 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 145 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 949 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 950 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 138 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 951 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 137 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 952 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 38 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 953 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 37 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 954 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 793 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 955 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 818 ],
- "I2": [ 956 ],
- "I3": [ 957 ],
- "O": [ 958 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 803 ],
- "I2": [ 841 ],
- "I3": [ 843 ],
- "O": [ 956 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 960 ],
- "I2": [ 961 ],
- "I3": [ 162 ],
- "O": [ 957 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 963 ],
- "I2": [ 964 ],
- "I3": [ "0" ],
- "O": [ 965 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57600
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 803 ],
- "I2": [ 804 ],
- "I3": [ 843 ],
- "O": [ 962 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 804 ],
- "I2": [ 797 ],
- "I3": [ "0" ],
- "O": [ 963 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 966 ],
- "I1": [ 162 ],
- "I2": [ 967 ],
- "I3": [ 847 ],
- "O": [ 964 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ 804 ],
- "O": [ 967 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 797 ],
- "I2": [ 969 ],
- "I3": [ 970 ],
- "O": [ 971 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 972 ],
- "I1": [ 162 ],
- "I2": [ 973 ],
- "I3": [ 847 ],
- "O": [ 970 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ 805 ],
- "O": [ 973 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 805 ],
- "I1": [ 974 ],
- "I2": [ 841 ],
- "I3": [ 843 ],
- "O": [ 969 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 975 ],
- "I1": [ 806 ],
- "I2": [ 976 ],
- "I3": [ "0" ],
- "O": [ 977 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 844 ],
- "I1": [ 182 ],
- "I2": [ 843 ],
- "I3": [ 817 ],
- "O": [ 975 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 847 ],
- "I1": [ 174 ],
- "I2": [ 797 ],
- "I3": [ 978 ],
- "O": [ 976 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 975 ],
- "I1": [ 807 ],
- "I2": [ 979 ],
- "I3": [ "0" ],
- "O": [ 980 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 981 ],
- "I1": [ 797 ],
- "I2": [ 982 ],
- "I3": [ 983 ],
- "O": [ 979 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 182 ],
- "I2": [ 806 ],
- "I3": [ "0" ],
- "O": [ 982 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 844 ],
- "I1": [ 843 ],
- "I2": [ 816 ],
- "I3": [ "0" ],
- "O": [ 984 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 985 ],
- "I2": [ 847 ],
- "I3": [ 171 ],
- "O": [ 983 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 975 ],
- "I1": [ 810 ],
- "I2": [ 986 ],
- "I3": [ "0" ],
- "O": [ 987 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 988 ],
- "I1": [ 797 ],
- "I2": [ 989 ],
- "I3": [ 990 ],
- "O": [ 986 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 182 ],
- "I2": [ 807 ],
- "I3": [ "0" ],
- "O": [ 989 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 991 ],
- "I2": [ 847 ],
- "I3": [ 168 ],
- "O": [ 990 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 992 ],
- "I1": [ 797 ],
- "I2": [ 993 ],
- "I3": [ 994 ],
- "O": [ 995 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 808 ],
- "I1": [ 975 ],
- "I2": [ 996 ],
- "I3": [ "0" ],
- "O": [ 994 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 997 ],
- "I2": [ 847 ],
- "I3": [ 161 ],
- "O": [ 996 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 810 ],
- "I2": [ 182 ],
- "I3": [ "0" ],
- "O": [ 993 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62207
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 811 ],
- "I1": [ 975 ],
- "I2": [ 998 ],
- "I3": [ 999 ],
- "O": [ 1000 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 182 ],
- "I2": [ 808 ],
- "I3": [ "0" ],
- "O": [ 998 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 797 ],
- "I2": [ 1002 ],
- "I3": [ "0" ],
- "O": [ 999 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 1003 ],
- "I2": [ 847 ],
- "I3": [ 803 ],
- "O": [ 1002 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 797 ],
- "I2": [ 1005 ],
- "I3": [ 1006 ],
- "O": [ 1007 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 812 ],
- "I1": [ 975 ],
- "I2": [ 1008 ],
- "I3": [ "0" ],
- "O": [ 1006 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 1009 ],
- "I2": [ 847 ],
- "I3": [ 804 ],
- "O": [ 1008 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 811 ],
- "I2": [ 182 ],
- "I3": [ "0" ],
- "O": [ 1005 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62207
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 975 ],
- "I2": [ 1010 ],
- "I3": [ 1011 ],
- "O": [ 1012 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1013 ],
- "I1": [ 797 ],
- "I2": [ 1014 ],
- "I3": [ "0" ],
- "O": [ 1011 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 1015 ],
- "I2": [ 847 ],
- "I3": [ 805 ],
- "O": [ 1014 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 812 ],
- "I2": [ 182 ],
- "I3": [ "0" ],
- "O": [ 1010 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 797 ],
- "I2": [ 1017 ],
- "I3": [ 1018 ],
- "O": [ 1019 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 1020 ],
- "I2": [ 847 ],
- "I3": [ 802 ],
- "O": [ 1018 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 165 ],
- "I2": [ 167 ],
- "I3": [ 813 ],
- "O": [ 1017 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44032
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 813 ],
- "I1": [ 1021 ],
- "I2": [ 844 ],
- "I3": [ 184 ],
- "O": [ 1022 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 813 ],
- "I2": [ 799 ],
- "I3": [ 182 ],
- "O": [ 1021 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 182 ],
- "I2": [ 792 ],
- "I3": [ 204 ],
- "O": [ 24 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 1023 ],
- "I2": [ 792 ],
- "I3": [ 1024 ],
- "O": [ 23 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 1025 ],
- "I2": [ 813 ],
- "I3": [ "0" ],
- "O": [ 1023 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 844 ],
- "I1": [ 843 ],
- "I2": [ 183 ],
- "I3": [ "0" ],
- "O": [ 1025 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 1026 ],
- "I2": [ 746 ],
- "I3": [ "0" ],
- "O": [ 1024 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64975
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 207 ],
- "I2": [ 209 ],
- "I3": [ 208 ],
- "O": [ 1026 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1027 ],
- "I1": [ 744 ],
- "I2": [ 792 ],
- "I3": [ 54 ],
- "O": [ 22 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 886 ],
- "I1": [ 99 ],
- "I2": [ 1028 ],
- "I3": [ "0" ],
- "O": [ 1027 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 731 ],
- "I1": [ 162 ],
- "I2": [ 797 ],
- "I3": [ 814 ],
- "O": [ 1028 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1027 ],
- "I1": [ 744 ],
- "I2": [ 792 ],
- "I3": [ 52 ],
- "O": [ 21 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48895
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1029 ],
- "I1": [ 722 ],
- "I2": [ 182 ],
- "I3": [ 1028 ],
- "O": [ 1030 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 958 ],
- "I2": [ 965 ],
- "I3": [ 1031 ],
- "O": [ 1029 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 888 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1031 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1032 ],
- "I1": [ 652 ],
- "I2": [ 1033 ],
- "I3": [ 1034 ],
- "O": [ 1035 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 1037 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1033 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1039 ],
- "I1": [ 1040 ],
- "I2": [ 1041 ],
- "I3": [ "0" ],
- "O": [ 1038 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 1042 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1034 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 652 ],
- "I1": [ 1038 ],
- "I2": [ 1043 ],
- "I3": [ 1044 ],
- "O": [ 1045 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 215
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 652 ],
- "I1": [ 1046 ],
- "I2": [ 1047 ],
- "I3": [ 1048 ],
- "O": [ 1044 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1049 ],
- "I1": [ 592 ],
- "I2": [ 1050 ],
- "I3": [ 1038 ],
- "O": [ 1048 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1051 ],
- "I1": [ 1052 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1049 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 332 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1051 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 319 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1052 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1051 ],
- "I1": [ 1053 ],
- "I2": [ 448 ],
- "I3": [ "0" ],
- "O": [ 1050 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 319 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1053 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 373 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1046 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 388 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1047 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1054 ],
- "I1": [ 652 ],
- "I2": [ 1055 ],
- "I3": [ 1056 ],
- "O": [ 1057 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 1059 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1055 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 1060 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1056 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1061 ],
- "I1": [ 652 ],
- "I2": [ 1062 ],
- "I3": [ 1063 ],
- "O": [ 1064 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1065 ],
- "I1": [ 1066 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1062 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1065 ],
- "I1": [ 1067 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1063 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1068 ],
- "I1": [ 652 ],
- "I2": [ 1069 ],
- "I3": [ 1070 ],
- "O": [ 1071 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1072 ],
- "I1": [ 1073 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1069 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1072 ],
- "I1": [ 1074 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1070 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1075 ],
- "I1": [ 652 ],
- "I2": [ 1076 ],
- "I3": [ 1077 ],
- "O": [ 1078 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1080 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1076 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1081 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1077 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 652 ],
- "I2": [ 1083 ],
- "I3": [ 1084 ],
- "O": [ 1085 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 1087 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1083 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 1088 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1084 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1089 ],
- "I1": [ 652 ],
- "I2": [ 1090 ],
- "I3": [ 1091 ],
- "O": [ 1092 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 1094 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1090 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 1095 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1091 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1096 ],
- "I1": [ 652 ],
- "I2": [ 1097 ],
- "I3": [ 1098 ],
- "O": [ 1099 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 1101 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1097 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 1102 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1098 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 652 ],
- "I2": [ 1104 ],
- "I3": [ 1105 ],
- "O": [ 1106 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 1108 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1104 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 1109 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1105 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1110 ],
- "I1": [ 652 ],
- "I2": [ 1111 ],
- "I3": [ 1112 ],
- "O": [ 1113 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1114 ],
- "I1": [ 1115 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1111 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1114 ],
- "I1": [ 1116 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1112 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1117 ],
- "I1": [ 652 ],
- "I2": [ 1118 ],
- "I3": [ 1119 ],
- "O": [ 1120 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 1122 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1118 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 1123 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1119 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1124 ],
- "I1": [ 652 ],
- "I2": [ 1125 ],
- "I3": [ 1126 ],
- "O": [ 1127 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 1129 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1125 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 1130 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1126 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1131 ],
- "I1": [ 652 ],
- "I2": [ 1132 ],
- "I3": [ 1133 ],
- "O": [ 1134 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1135 ],
- "I1": [ 1136 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1132 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1135 ],
- "I1": [ 1137 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1133 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1138 ],
- "I1": [ 652 ],
- "I2": [ 1139 ],
- "I3": [ 1140 ],
- "O": [ 1141 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 1143 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1139 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 1144 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1140 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1145 ],
- "I1": [ 652 ],
- "I2": [ 1146 ],
- "I3": [ 1147 ],
- "O": [ 1148 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1149 ],
- "I1": [ 1150 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1146 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1149 ],
- "I1": [ 1151 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1147 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1152 ],
- "I1": [ 652 ],
- "I2": [ 1153 ],
- "I3": [ 1154 ],
- "O": [ 1155 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 1157 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1153 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 1158 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1154 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1159 ],
- "I1": [ 652 ],
- "I2": [ 1160 ],
- "I3": [ 1161 ],
- "O": [ 1162 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1163 ],
- "I1": [ 1164 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1160 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1163 ],
- "I1": [ 1165 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1161 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1166 ],
- "I1": [ 652 ],
- "I2": [ 1167 ],
- "I3": [ 1168 ],
- "O": [ 1169 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 1171 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1167 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 1172 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1168 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1173 ],
- "I1": [ 652 ],
- "I2": [ 1174 ],
- "I3": [ 1175 ],
- "O": [ 1176 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 1178 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1174 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 1179 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1175 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1180 ],
- "I1": [ 652 ],
- "I2": [ 1181 ],
- "I3": [ 1182 ],
- "O": [ 1183 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 1185 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1181 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 1186 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1182 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1187 ],
- "I1": [ 652 ],
- "I2": [ 1188 ],
- "I3": [ 1189 ],
- "O": [ 1190 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1191 ],
- "I1": [ 1192 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1188 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1191 ],
- "I1": [ 1193 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1189 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1194 ],
- "I1": [ 652 ],
- "I2": [ 1195 ],
- "I3": [ 1196 ],
- "O": [ 1197 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 1199 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1195 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 1200 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1196 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1201 ],
- "I1": [ 652 ],
- "I2": [ 1202 ],
- "I3": [ 1203 ],
- "O": [ 1204 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ 1206 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1202 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$32999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ 1207 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1203 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1208 ],
- "I1": [ 652 ],
- "I2": [ 1209 ],
- "I3": [ 1210 ],
- "O": [ 1211 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 1213 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1209 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 1214 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1210 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1215 ],
- "I1": [ 652 ],
- "I2": [ 1216 ],
- "I3": [ 1217 ],
- "O": [ 1218 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1220 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1216 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1221 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1217 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1222 ],
- "I1": [ 652 ],
- "I2": [ 1223 ],
- "I3": [ 1224 ],
- "O": [ 1225 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 1227 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1223 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 1228 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1224 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1229 ],
- "I1": [ 652 ],
- "I2": [ 1230 ],
- "I3": [ 1231 ],
- "O": [ 1232 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1233 ],
- "I1": [ 1234 ],
- "I2": [ 1038 ],
- "I3": [ 592 ],
- "O": [ 1230 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1233 ],
- "I1": [ 1235 ],
- "I2": [ 1038 ],
- "I3": [ 448 ],
- "O": [ 1231 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1237 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1238 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1239 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1240 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1237 ],
- "I2": [ 1240 ],
- "I3": [ "0" ],
- "O": [ 1242 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1239 ],
- "I2": [ 1243 ],
- "I3": [ "0" ],
- "O": [ 1244 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1245 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1243 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 1244 ],
- "I2": [ 1237 ],
- "I3": [ "0" ],
- "O": [ 1247 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1248 ],
- "I1": [ 1249 ],
- "I2": [ 1239 ],
- "I3": [ "0" ],
- "O": [ 1250 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1245 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1249 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1252 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1251 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1253 ],
- "I1": [ 1250 ],
- "I2": [ 1237 ],
- "I3": [ "0" ],
- "O": [ 1254 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1255 ],
- "I1": [ 1256 ],
- "I2": [ 1239 ],
- "I3": [ "0" ],
- "O": [ 1257 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1258 ],
- "I1": [ 1259 ],
- "I2": [ 1245 ],
- "I3": [ "0" ],
- "O": [ 1256 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1236 ],
- "I2": [ 1252 ],
- "I3": [ 1260 ],
- "O": [ 1259 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1261 ],
- "I1": [ 1257 ],
- "I2": [ 1237 ],
- "I3": [ "0" ],
- "O": [ 1262 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1263 ],
- "I1": [ 1264 ],
- "I2": [ 1239 ],
- "I3": [ "0" ],
- "O": [ 1265 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1266 ],
- "I1": [ 1267 ],
- "I2": [ 1245 ],
- "I3": [ "0" ],
- "O": [ 1264 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1268 ],
- "I1": [ 1269 ],
- "I2": [ 1252 ],
- "I3": [ "0" ],
- "O": [ 1267 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1236 ],
- "I2": [ 1260 ],
- "I3": [ 1270 ],
- "O": [ 1269 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1271 ],
- "I1": [ 1265 ],
- "I2": [ 1237 ],
- "I3": [ "0" ],
- "O": [ 1272 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1273 ],
- "I1": [ 1274 ],
- "I2": [ 1239 ],
- "I3": [ "0" ],
- "O": [ 1275 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1276 ],
- "I1": [ 1277 ],
- "I2": [ 1245 ],
- "I3": [ "0" ],
- "O": [ 1274 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1278 ],
- "I1": [ 1279 ],
- "I2": [ 1252 ],
- "I3": [ "0" ],
- "O": [ 1277 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1280 ],
- "I1": [ 1281 ],
- "I2": [ 1260 ],
- "I3": [ "0" ],
- "O": [ 1279 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1236 ],
- "I2": [ 1270 ],
- "I3": [ 1282 ],
- "O": [ 1281 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1283 ],
- "I1": [ 1275 ],
- "I2": [ 1237 ],
- "I3": [ "0" ],
- "O": [ 1284 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1260 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1285 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1270 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1286 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1282 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1287 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1289 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1290 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1291 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1292 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1293 ],
- "I1": [ 1289 ],
- "I2": [ 1292 ],
- "I3": [ "0" ],
- "O": [ 1294 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1293 ],
- "I1": [ 1291 ],
- "I2": [ 1295 ],
- "I3": [ "0" ],
- "O": [ 1296 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1295 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1298 ],
- "I1": [ 1296 ],
- "I2": [ 1289 ],
- "I3": [ "0" ],
- "O": [ 1299 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1300 ],
- "I1": [ 1301 ],
- "I2": [ 1291 ],
- "I3": [ "0" ],
- "O": [ 1302 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1293 ],
- "I2": [ 1303 ],
- "I3": [ 1297 ],
- "O": [ 1301 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1304 ],
- "I1": [ 1302 ],
- "I2": [ 1289 ],
- "I3": [ "0" ],
- "O": [ 1305 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1306 ],
- "I1": [ 1307 ],
- "I2": [ 1291 ],
- "I3": [ "0" ],
- "O": [ 1308 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1309 ],
- "I1": [ 1310 ],
- "I2": [ 1297 ],
- "I3": [ "0" ],
- "O": [ 1307 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1293 ],
- "I2": [ 1311 ],
- "I3": [ 1303 ],
- "O": [ 1310 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1312 ],
- "I1": [ 1308 ],
- "I2": [ 1289 ],
- "I3": [ "0" ],
- "O": [ 1313 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1314 ],
- "I1": [ 1315 ],
- "I2": [ 1291 ],
- "I3": [ "0" ],
- "O": [ 1316 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1317 ],
- "I1": [ 1318 ],
- "I2": [ 1297 ],
- "I3": [ "0" ],
- "O": [ 1315 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1319 ],
- "I1": [ 1320 ],
- "I2": [ 1303 ],
- "I3": [ "0" ],
- "O": [ 1318 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1293 ],
- "I2": [ 1321 ],
- "I3": [ 1311 ],
- "O": [ 1320 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1322 ],
- "I1": [ 1316 ],
- "I2": [ 1289 ],
- "I3": [ "0" ],
- "O": [ 1323 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1324 ],
- "I1": [ 1325 ],
- "I2": [ 1291 ],
- "I3": [ "0" ],
- "O": [ 1326 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1327 ],
- "I1": [ 1328 ],
- "I2": [ 1297 ],
- "I3": [ "0" ],
- "O": [ 1325 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1330 ],
- "I2": [ 1303 ],
- "I3": [ "0" ],
- "O": [ 1328 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1331 ],
- "I1": [ 1332 ],
- "I2": [ 1311 ],
- "I3": [ "0" ],
- "O": [ 1330 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1293 ],
- "I2": [ 1333 ],
- "I3": [ 1321 ],
- "O": [ 1332 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1334 ],
- "I1": [ 1326 ],
- "I2": [ 1289 ],
- "I3": [ "0" ],
- "O": [ 1335 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1303 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1336 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1311 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1337 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1321 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1338 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1333 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1339 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61713
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1340 ],
- "I1": [ 449 ],
- "I2": [ 1341 ],
- "I3": [ 1342 ],
- "O": [ 1343 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 850 ],
- "I1": [ 1344 ],
- "I2": [ 1345 ],
- "I3": [ "0" ],
- "O": [ 1341 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36608
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1346 ],
- "I1": [ 1347 ],
- "I2": [ 1348 ],
- "I3": [ 1349 ],
- "O": [ 1345 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1350 ],
- "I1": [ 1351 ],
- "I2": [ 1352 ],
- "I3": [ 852 ],
- "O": [ 1347 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1353 ],
- "I1": [ 1354 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1350 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1355 ],
- "I1": [ 1356 ],
- "I2": [ 850 ],
- "I3": [ "0" ],
- "O": [ 1353 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1357 ],
- "I1": [ 1358 ],
- "I2": [ 850 ],
- "I3": [ "0" ],
- "O": [ 1351 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1359 ],
- "I1": [ 1360 ],
- "I2": [ 1361 ],
- "I3": [ 1362 ],
- "O": [ 1346 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1363 ],
- "I1": [ 1364 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1359 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1365 ],
- "I1": [ 1366 ],
- "I2": [ 850 ],
- "I3": [ "0" ],
- "O": [ 1363 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 1367 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1360 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1368 ],
- "I1": [ 1369 ],
- "I2": [ 1370 ],
- "I3": [ 1371 ],
- "O": [ 1362 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1372 ],
- "I1": [ 1373 ],
- "I2": [ 850 ],
- "I3": [ "0" ],
- "O": [ 1368 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1374 ],
- "I1": [ 719 ],
- "I2": [ 850 ],
- "I3": [ "0" ],
- "O": [ 1369 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1375 ],
- "I1": [ 1376 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1361 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1377 ],
- "I1": [ 1378 ],
- "I2": [ 850 ],
- "I3": [ "0" ],
- "O": [ 1375 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1363 ],
- "I1": [ 1379 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1380 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1368 ],
- "I1": [ 1375 ],
- "I2": [ 1381 ],
- "I3": [ 1382 ],
- "O": [ 1383 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1384 ],
- "I2": [ 1385 ],
- "I3": [ 592 ],
- "O": [ 1340 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1386 ],
- "I1": [ 1387 ],
- "I2": [ 1388 ],
- "I3": [ "0" ],
- "O": [ 1385 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 904 ],
- "I1": [ 915 ],
- "I2": [ 922 ],
- "I3": [ 929 ],
- "O": [ 1389 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1390 ],
- "I1": [ 1391 ],
- "I2": [ 1392 ],
- "I3": [ 1393 ],
- "O": [ 1394 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1395 ],
- "I1": [ 1389 ],
- "I2": [ 1394 ],
- "I3": [ "0" ],
- "O": [ 1388 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1396 ],
- "I1": [ 936 ],
- "I2": [ 1397 ],
- "I3": [ "0" ],
- "O": [ 1395 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1398 ],
- "I1": [ 1399 ],
- "I2": [ 1400 ],
- "I3": [ "0" ],
- "O": [ 1397 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1401 ],
- "I1": [ 1402 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1342 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32000
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1403 ],
- "I1": [ 912 ],
- "I2": [ 1404 ],
- "I3": [ 1405 ],
- "O": [ 1402 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 449 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1401 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7953
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1406 ],
- "I1": [ 449 ],
- "I2": [ 1341 ],
- "I3": [ 1342 ],
- "O": [ 1407 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1408 ],
- "I2": [ 1409 ],
- "I3": [ 592 ],
- "O": [ 1406 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1410 ],
- "I1": [ 1387 ],
- "I2": [ 1388 ],
- "I3": [ "0" ],
- "O": [ 1409 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 850 ],
- "I1": [ 1345 ],
- "I2": [ 1344 ],
- "I3": [ 1402 ],
- "O": [ 1411 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1412 ],
- "I2": [ 1413 ],
- "I3": [ 592 ],
- "O": [ 1414 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1415 ],
- "I1": [ 1387 ],
- "I2": [ 1388 ],
- "I3": [ "0" ],
- "O": [ 1413 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 933 ],
- "I1": [ 1416 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1417 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 912 ],
- "I1": [ 1418 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1419 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ 1420 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1421 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1422 ],
- "I2": [ 449 ],
- "I3": [ 1423 ],
- "O": [ 1424 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1425 ],
- "I2": [ 1390 ],
- "I3": [ 592 ],
- "O": [ 1422 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1426 ],
- "I2": [ 449 ],
- "I3": [ 1418 ],
- "O": [ 1427 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1428 ],
- "I2": [ 1391 ],
- "I3": [ 592 ],
- "O": [ 1426 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1429 ],
- "I2": [ 449 ],
- "I3": [ 1430 ],
- "O": [ 1431 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1432 ],
- "I2": [ 1392 ],
- "I3": [ 592 ],
- "O": [ 1429 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1433 ],
- "I2": [ 449 ],
- "I3": [ 1420 ],
- "O": [ 1434 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1435 ],
- "I2": [ 1393 ],
- "I3": [ 592 ],
- "O": [ 1433 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64259
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1436 ],
- "I2": [ 449 ],
- "I3": [ 1416 ],
- "O": [ 1437 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 1438 ],
- "I2": [ 1396 ],
- "I3": [ 592 ],
- "O": [ 1436 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 892 ],
- "I1": [ 1439 ],
- "I2": [ 910 ],
- "I3": [ 893 ],
- "O": [ 19 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1440 ],
- "I1": [ 299 ],
- "I2": [ 1441 ],
- "I3": [ "0" ],
- "O": [ 1439 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1442 ],
- "I1": [ 1443 ],
- "I2": [ 1444 ],
- "I3": [ "0" ],
- "O": [ 1440 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 909 ],
- "I2": [ 1445 ],
- "I3": [ 1439 ],
- "O": [ 20 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1446 ],
- "I1": [ 1447 ],
- "I2": [ 1448 ],
- "I3": [ "0" ],
- "O": [ 1449 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 892 ],
- "I1": [ 893 ],
- "I2": [ 891 ],
- "I3": [ "0" ],
- "O": [ 1448 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1441 ],
- "I1": [ 1427 ],
- "I2": [ 1448 ],
- "I3": [ "0" ],
- "O": [ 1450 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1442 ],
- "I1": [ 1431 ],
- "I2": [ 1448 ],
- "I3": [ "0" ],
- "O": [ 1451 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1443 ],
- "I1": [ 1434 ],
- "I2": [ 1448 ],
- "I3": [ "0" ],
- "O": [ 1452 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1444 ],
- "I1": [ 1437 ],
- "I2": [ 1448 ],
- "I3": [ "0" ],
- "O": [ 1453 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1454 ],
- "I1": [ 306 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1455 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1456 ],
- "I3": [ "0" ],
- "O": [ 1457 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 1458 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 1459 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1460 ],
- "I3": [ "0" ],
- "O": [ 1461 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1462 ],
- "I3": [ "0" ],
- "O": [ 1463 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1464 ],
- "I3": [ "0" ],
- "O": [ 1465 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1466 ],
- "I3": [ "0" ],
- "O": [ 1467 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1468 ],
- "I3": [ "0" ],
- "O": [ 1469 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1470 ],
- "I3": [ "0" ],
- "O": [ 1471 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1472 ],
- "I3": [ "0" ],
- "O": [ 1473 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 306 ],
- "I2": [ 1474 ],
- "I3": [ "0" ],
- "O": [ 1475 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 1476 ],
- "I2": [ 306 ],
- "I3": [ "0" ],
- "O": [ 1477 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1478 ],
- "I1": [ 1479 ],
- "I2": [ 449 ],
- "I3": [ "0" ],
- "O": [ 1476 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1480 ],
- "I1": [ 1481 ],
- "I2": [ 1482 ],
- "I3": [ 1483 ],
- "O": [ 1479 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1484 ],
- "I1": [ 1485 ],
- "I2": [ 1288 ],
- "I3": [ 1293 ],
- "O": [ 1478 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1487 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1488 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ 457 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1486 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1489 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1490 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1491 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1492 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1493 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1494 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1495 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1496 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1497 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1498 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1499 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1500 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1501 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1502 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1503 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1504 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1505 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1506 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1507 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1508 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1509 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1510 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 691 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1511 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1512 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1513 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1514 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1515 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1516 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1517 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1518 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1519 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1520 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1521 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1522 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1523 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1524 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1525 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1526 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1527 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1528 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1529 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1530 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1531 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 1532 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1533 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 1534 ],
- "I2": [ 309 ],
- "I3": [ 473 ],
- "O": [ 1535 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 309 ],
- "I2": [ 474 ],
- "I3": [ 477 ],
- "O": [ 1536 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 309 ],
- "I2": [ 474 ],
- "I3": [ 1537 ],
- "O": [ 1538 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1385 ],
- "I1": [ 1539 ],
- "I2": [ 1397 ],
- "I3": [ 1540 ],
- "O": [ 1541 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 867 ],
- "I1": [ 869 ],
- "I2": [ 1392 ],
- "I3": [ 1393 ],
- "O": [ 1542 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 790 ],
- "I2": [ 904 ],
- "I3": [ 915 ],
- "O": [ 1543 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1544 ],
- "I1": [ 1545 ],
- "I2": [ 1546 ],
- "I3": [ "0" ],
- "O": [ 1547 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 881 ],
- "I1": [ 1548 ],
- "I2": [ 1549 ],
- "I3": [ "0" ],
- "O": [ 1545 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 765 ],
- "I1": [ 766 ],
- "I2": [ 767 ],
- "I3": [ 883 ],
- "O": [ 1548 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65281
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 874 ],
- "I1": [ 878 ],
- "I2": [ 876 ],
- "I3": [ 765 ],
- "O": [ 1549 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 873 ],
- "I2": [ 1550 ],
- "I3": [ 1551 ],
- "O": [ 1544 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 870 ],
- "I1": [ 868 ],
- "I2": [ 866 ],
- "I3": [ "0" ],
- "O": [ 1550 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 861 ],
- "I1": [ 1552 ],
- "I2": [ 860 ],
- "I3": [ "0" ],
- "O": [ 1551 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 771 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1552 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1553 ],
- "I1": [ 1554 ],
- "I2": [ 1555 ],
- "I3": [ "0" ],
- "O": [ 1546 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1556 ],
- "I1": [ 1557 ],
- "I2": [ 1558 ],
- "I3": [ "0" ],
- "O": [ 1559 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 873 ],
- "I2": [ 1551 ],
- "I3": [ "0" ],
- "O": [ 1560 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1561 ],
- "I1": [ 1562 ],
- "I2": [ 1563 ],
- "I3": [ "0" ],
- "O": [ 1564 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 789 ],
- "I1": [ 787 ],
- "I2": [ 783 ],
- "I3": [ "0" ],
- "O": [ 1565 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1566 ],
- "I1": [ 1567 ],
- "I2": [ 1568 ],
- "I3": [ "0" ],
- "O": [ 1569 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 772 ],
- "I1": [ 831 ],
- "I2": [ 1570 ],
- "I3": [ 1571 ],
- "O": [ 1572 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65281
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 826 ],
- "I1": [ 832 ],
- "I2": [ 828 ],
- "I3": [ 775 ],
- "O": [ 1570 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 834 ],
- "I1": [ 1573 ],
- "I2": [ 823 ],
- "I3": [ "0" ],
- "O": [ 1571 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 824 ],
- "I1": [ 820 ],
- "I2": [ 779 ],
- "I3": [ "0" ],
- "O": [ 1573 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1409 ],
- "I1": [ 1574 ],
- "I2": [ 1397 ],
- "I3": [ 1540 ],
- "O": [ 1575 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1413 ],
- "I1": [ 1576 ],
- "I2": [ 1397 ],
- "I3": [ 1540 ],
- "O": [ 1577 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1578 ],
- "I1": [ 1236 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1581 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1582 ],
- "I1": [ 1583 ],
- "I2": [ 1584 ],
- "I3": [ "0" ],
- "O": [ 1580 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1585 ],
- "I1": [ 1586 ],
- "I2": [ 1587 ],
- "I3": [ 1588 ],
- "O": [ 1584 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1589 ],
- "I1": [ 1590 ],
- "I2": [ 1591 ],
- "I3": [ 1592 ],
- "O": [ 1588 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1593 ],
- "I1": [ 1594 ],
- "I2": [ 1587 ],
- "I3": [ 1595 ],
- "O": [ 1591 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1596 ],
- "I1": [ 1597 ],
- "I2": [ 1241 ],
- "I3": [ 1236 ],
- "O": [ 1594 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1598 ],
- "I1": [ 1599 ],
- "I2": [ 1600 ],
- "I3": [ 1601 ],
- "O": [ 1593 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1602 ],
- "I1": [ 1603 ],
- "I2": [ 1604 ],
- "I3": [ "0" ],
- "O": [ 1587 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1605 ],
- "I1": [ 1606 ],
- "I2": [ 1607 ],
- "I3": [ 1608 ],
- "O": [ 1586 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1598 ],
- "I1": [ 1236 ],
- "I2": [ 1609 ],
- "I3": [ 1610 ],
- "O": [ 1605 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1601 ],
- "I1": [ 1241 ],
- "I2": [ 1611 ],
- "I3": [ 1612 ],
- "O": [ 1606 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1600 ],
- "I1": [ 1596 ],
- "I2": [ 1613 ],
- "I3": [ 1614 ],
- "O": [ 1607 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1597 ],
- "I2": [ 1615 ],
- "I3": [ 1616 ],
- "O": [ 1608 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1609 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1578 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 903 ],
- "I1": [ 914 ],
- "I2": [ 1617 ],
- "I3": [ 1618 ],
- "O": [ 1579 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 921 ],
- "I1": [ 928 ],
- "I2": [ 935 ],
- "I3": [ 1438 ],
- "O": [ 1617 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1425 ],
- "I1": [ 1428 ],
- "I2": [ 1432 ],
- "I3": [ 1435 ],
- "O": [ 1618 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1619 ],
- "I1": [ 1241 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1620 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1611 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1619 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1621 ],
- "I1": [ 1597 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1622 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1615 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1621 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1623 ],
- "I1": [ 1596 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1624 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1613 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1623 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1625 ],
- "I1": [ 1601 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1626 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1612 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1625 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1627 ],
- "I1": [ 1600 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1628 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1614 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1627 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1629 ],
- "I1": [ 1599 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1630 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1629 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1631 ],
- "I1": [ 1598 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1632 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1610 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1631 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64762
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1633 ],
- "I1": [ 1634 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1635 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1384 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1633 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1602 ],
- "I1": [ 1603 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1634 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1636 ],
- "I1": [ 1587 ],
- "I2": [ 1579 ],
- "I3": [ "0" ],
- "O": [ 1637 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1408 ],
- "I1": [ 1634 ],
- "I2": [ 1580 ],
- "I3": [ "0" ],
- "O": [ 1636 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62458
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1638 ],
- "I1": [ 1603 ],
- "I2": [ 1579 ],
- "I3": [ 1580 ],
- "O": [ 1639 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1412 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1638 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1640 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1641 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1642 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1643 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1644 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1645 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1646 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1647 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1648 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1649 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1650 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1651 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1652 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1653 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1654 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1655 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1656 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1657 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1658 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1659 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1660 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1661 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1662 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1663 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1664 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1665 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1666 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1667 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1668 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1669 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1670 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1671 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1672 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1673 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1674 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1675 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1676 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1677 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1678 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1679 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1680 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1681 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1682 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1683 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1684 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1685 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1686 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1687 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1689 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1690 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 95 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1688 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1691 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1692 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1693 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1694 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1695 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1696 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1697 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1698 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1699 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1700 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1701 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1702 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1688 ],
- "I1": [ 1703 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1704 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1705 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1706 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1707 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1708 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1709 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1710 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1711 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1712 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1713 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1714 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1715 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1716 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1717 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1718 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1719 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1720 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1689 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1721 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1691 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1722 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1693 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1723 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1695 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1724 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1697 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1725 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1699 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1726 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1701 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1727 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 1703 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1728 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1729 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1730 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1731 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1732 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1733 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1734 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1735 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1736 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1737 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1738 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1739 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1740 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1741 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1742 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1743 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1744 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1745 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1746 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 1747 ],
- "I3": [ 306 ],
- "O": [ 1748 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61439
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 306 ],
- "I3": [ 1749 ],
- "O": [ 1750 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 1751 ],
- "I3": [ 306 ],
- "O": [ 1752 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 1753 ],
- "I3": [ 306 ],
- "O": [ 1754 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 1755 ],
- "I3": [ 306 ],
- "O": [ 1756 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 1757 ],
- "I3": [ 306 ],
- "O": [ 1758 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 1759 ],
- "I3": [ 306 ],
- "O": [ 1760 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 674 ],
- "I2": [ 1761 ],
- "I3": [ 306 ],
- "O": [ 1762 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 1763 ],
- "I3": [ 306 ],
- "O": [ 1764 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61439
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 306 ],
- "I3": [ 1370 ],
- "O": [ 1765 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 1766 ],
- "I3": [ 306 ],
- "O": [ 1767 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 1768 ],
- "I3": [ 306 ],
- "O": [ 1769 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 1770 ],
- "I3": [ 306 ],
- "O": [ 1771 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 1772 ],
- "I3": [ 306 ],
- "O": [ 1773 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 1774 ],
- "I3": [ 306 ],
- "O": [ 1775 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 696 ],
- "I2": [ 1776 ],
- "I3": [ 306 ],
- "O": [ 1777 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 1778 ],
- "I3": [ 306 ],
- "O": [ 1779 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61439
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 306 ],
- "I3": [ 1374 ],
- "O": [ 1780 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 1781 ],
- "I3": [ 306 ],
- "O": [ 1782 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 1783 ],
- "I3": [ 306 ],
- "O": [ 1784 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 1785 ],
- "I3": [ 306 ],
- "O": [ 1786 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 1787 ],
- "I3": [ 306 ],
- "O": [ 1788 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 1789 ],
- "I3": [ 306 ],
- "O": [ 1790 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 705 ],
- "I2": [ 1791 ],
- "I3": [ 306 ],
- "O": [ 1792 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 1793 ],
- "I3": [ 306 ],
- "O": [ 1794 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61439
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 306 ],
- "I3": [ 1378 ],
- "O": [ 1795 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 1796 ],
- "I3": [ 306 ],
- "O": [ 1797 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 1798 ],
- "I3": [ 306 ],
- "O": [ 1799 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 1800 ],
- "I3": [ 306 ],
- "O": [ 1801 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 1802 ],
- "I3": [ 306 ],
- "O": [ 1803 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 1804 ],
- "I3": [ 306 ],
- "O": [ 1805 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ 712 ],
- "I2": [ 1806 ],
- "I3": [ 306 ],
- "O": [ 1807 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1809 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1810 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1811 ],
- "I1": [ 1812 ],
- "I2": [ 1813 ],
- "I3": [ "0" ],
- "O": [ 1808 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1814 ],
- "I1": [ 1815 ],
- "I2": [ 1816 ],
- "I3": [ 1809 ],
- "O": [ 1812 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1817 ],
- "I1": [ 1818 ],
- "I2": [ 1819 ],
- "I3": [ 1820 ],
- "O": [ 1811 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1816 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1821 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1815 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1822 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1814 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1823 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1820 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1824 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1819 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1825 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1808 ],
- "I1": [ 1818 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1826 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1817 ],
- "I1": [ 1813 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1827 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 1828 ],
- "I2": [ 775 ],
- "I3": [ "0" ],
- "O": [ 1829 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1830 ],
- "I1": [ 1831 ],
- "I2": [ 1832 ],
- "I3": [ "0" ],
- "O": [ 1828 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1833 ],
- "I1": [ 1834 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1832 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1835 ],
- "I1": [ 1836 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1833 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1837 ],
- "I1": [ 1838 ],
- "I2": [ 1839 ],
- "I3": [ "0" ],
- "O": [ 1835 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1841 ],
- "I2": [ 1842 ],
- "I3": [ "0" ],
- "O": [ 1837 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1844 ],
- "I2": [ 1845 ],
- "I3": [ 1846 ],
- "O": [ 1842 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1845 ],
- "I2": [ 1846 ],
- "I3": [ 1841 ],
- "O": [ 1838 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 1848 ],
- "I2": [ 1849 ],
- "I3": [ 1850 ],
- "O": [ 1839 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30720
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1848 ],
- "I2": [ 1851 ],
- "I3": [ 1852 ],
- "O": [ 1836 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 1850 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1851 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1846 ],
- "I2": [ 1845 ],
- "I3": [ 1841 ],
- "O": [ 1852 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1853 ],
- "I1": [ 1854 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1834 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1856 ],
- "I2": [ 1843 ],
- "I3": [ 1841 ],
- "O": [ 1853 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1848 ],
- "I2": [ 1851 ],
- "I3": [ 1852 ],
- "O": [ 1855 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 1848 ],
- "I2": [ 1840 ],
- "I3": [ 1850 ],
- "O": [ 1856 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1851 ],
- "I1": [ 1840 ],
- "I2": [ 1848 ],
- "I3": [ "0" ],
- "O": [ 1854 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1857 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1830 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 1840 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 1857 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 777 ],
- "I1": [ 782 ],
- "I2": [ 775 ],
- "I3": [ "0" ],
- "O": [ 1858 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1859 ],
- "I1": [ 782 ],
- "I2": [ 775 ],
- "I3": [ "0" ],
- "O": [ 1860 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1861 ],
- "I1": [ 782 ],
- "I2": [ 775 ],
- "I3": [ "0" ],
- "O": [ 1862 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1863 ],
- "I1": [ 782 ],
- "I2": [ 775 ],
- "I3": [ "0" ],
- "O": [ 1864 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 865 ],
- "I1": [ 1865 ],
- "I2": [ 765 ],
- "I3": [ "0" ],
- "O": [ 1866 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1867 ],
- "I1": [ 1868 ],
- "I2": [ 1869 ],
- "I3": [ "0" ],
- "O": [ 1865 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1870 ],
- "I1": [ 1871 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1869 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1872 ],
- "I1": [ 1873 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1870 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1874 ],
- "I1": [ 1875 ],
- "I2": [ 1876 ],
- "I3": [ "0" ],
- "O": [ 1872 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 1841 ],
- "I2": [ 1878 ],
- "I3": [ "0" ],
- "O": [ 1874 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 1844 ],
- "I2": [ 1880 ],
- "I3": [ 1846 ],
- "O": [ 1878 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 1880 ],
- "I2": [ 1846 ],
- "I3": [ 1841 ],
- "O": [ 1875 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1848 ],
- "I2": [ 1882 ],
- "I3": [ 1850 ],
- "O": [ 1876 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30720
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 1848 ],
- "I2": [ 1883 ],
- "I3": [ 1884 ],
- "O": [ 1873 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1850 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1883 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 1846 ],
- "I2": [ 1880 ],
- "I3": [ 1841 ],
- "O": [ 1884 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1885 ],
- "I1": [ 1886 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1871 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1887 ],
- "I1": [ 1888 ],
- "I2": [ 1879 ],
- "I3": [ 1841 ],
- "O": [ 1885 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 1848 ],
- "I2": [ 1883 ],
- "I3": [ 1884 ],
- "O": [ 1887 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 1848 ],
- "I2": [ 1877 ],
- "I3": [ 1850 ],
- "O": [ 1888 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1883 ],
- "I1": [ 1877 ],
- "I2": [ 1848 ],
- "I3": [ "0" ],
- "O": [ 1886 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1887 ],
- "I1": [ 1889 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1867 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 1877 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 1889 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 865 ],
- "I2": [ 765 ],
- "I3": [ "0" ],
- "O": [ 1890 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1891 ],
- "I1": [ 865 ],
- "I2": [ 765 ],
- "I3": [ "0" ],
- "O": [ 1892 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1893 ],
- "I1": [ 865 ],
- "I2": [ 765 ],
- "I3": [ "0" ],
- "O": [ 1894 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1895 ],
- "I1": [ 865 ],
- "I2": [ 765 ],
- "I3": [ "0" ],
- "O": [ 1896 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1897 ],
- "I1": [ 732 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1898 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 744 ],
- "I1": [ 204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1899 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1900 ],
- "I1": [ 752 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1901 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1902 ],
- "I3": [ "0" ],
- "O": [ 1903 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 1904 ],
- "I2": [ 1905 ],
- "I3": [ 593 ],
- "O": [ 1906 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 514 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1904 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 359 ],
- "I1": [ 515 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1905 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1907 ],
- "I3": [ "0" ],
- "O": [ 1908 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1909 ],
- "I3": [ "0" ],
- "O": [ 1910 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1911 ],
- "I3": [ "0" ],
- "O": [ 1912 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1913 ],
- "I3": [ "0" ],
- "O": [ 1914 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1915 ],
- "I3": [ "0" ],
- "O": [ 1916 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1917 ],
- "I3": [ "0" ],
- "O": [ 1918 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1919 ],
- "I3": [ "0" ],
- "O": [ 1920 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1921 ],
- "I3": [ "0" ],
- "O": [ 1922 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1923 ],
- "I3": [ "0" ],
- "O": [ 1924 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1925 ],
- "I3": [ "0" ],
- "O": [ 1926 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1927 ],
- "I3": [ "0" ],
- "O": [ 1928 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1929 ],
- "I3": [ "0" ],
- "O": [ 1930 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1931 ],
- "I3": [ "0" ],
- "O": [ 1932 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1933 ],
- "I3": [ "0" ],
- "O": [ 1934 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1935 ],
- "I3": [ "0" ],
- "O": [ 1936 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1937 ],
- "I3": [ "0" ],
- "O": [ 1938 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1939 ],
- "I3": [ "0" ],
- "O": [ 1940 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1941 ],
- "I3": [ "0" ],
- "O": [ 1942 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1943 ],
- "I3": [ "0" ],
- "O": [ 1944 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1945 ],
- "I3": [ "0" ],
- "O": [ 1946 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1947 ],
- "I3": [ "0" ],
- "O": [ 1948 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1949 ],
- "I3": [ "0" ],
- "O": [ 1950 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1951 ],
- "I3": [ "0" ],
- "O": [ 1952 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1953 ],
- "I3": [ "0" ],
- "O": [ 1954 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1955 ],
- "I3": [ "0" ],
- "O": [ 1956 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 593 ],
- "I2": [ 1957 ],
- "I3": [ "0" ],
- "O": [ 1958 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1398 ],
- "I1": [ 1399 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1959 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 92 ],
- "I1": [ 82 ],
- "I2": [ 149 ],
- "I3": [ 90 ],
- "O": [ 1960 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ 70 ],
- "I2": [ 69 ],
- "I3": [ "0" ],
- "O": [ 1961 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 1962 ],
- "I2": [ 1963 ],
- "I3": [ "0" ],
- "O": [ 1964 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1961 ],
- "I1": [ 94 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 1963 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 96 ],
- "I2": [ 63 ],
- "I3": [ 95 ],
- "O": [ 1962 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 274 ],
- "I1": [ 916 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 16 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 903 ],
- "I1": [ 914 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1965 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1966 ],
- "I1": [ 1967 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1968 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1289 ],
- "I1": [ 1969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1970 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1971 ],
- "I1": [ 1972 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1973 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1974 ],
- "I1": [ 1184 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1971 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1975 ],
- "I1": [ 1428 ],
- "I2": [ 1191 ],
- "I3": [ "0" ],
- "O": [ 1972 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1976 ],
- "I1": [ 1425 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1977 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1974 ],
- "I1": [ 1184 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1976 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1973 ],
- "I1": [ 1977 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1978 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1966 ],
- "I1": [ 1979 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1980 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1303 ],
- "I1": [ 1969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1981 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1260 ],
- "I1": [ 1982 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1983 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1311 ],
- "I1": [ 1969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1984 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1869 ],
- "I1": [ 1867 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1985 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1832 ],
- "I1": [ 1830 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1986 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1321 ],
- "I1": [ 1969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1987 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1282 ],
- "I1": [ 1982 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1988 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1989 ],
- "I1": [ 1990 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1991 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1333 ],
- "I1": [ 1969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1992 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ 1993 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 1995 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5185
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1996 ],
- "I1": [ 1997 ],
- "I2": [ 1998 ],
- "I3": [ 1999 ],
- "O": [ 1994 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 427 ],
- "I2": [ 424 ],
- "I3": [ 425 ],
- "O": [ 1996 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 135
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1994 ],
- "I1": [ 434 ],
- "I2": [ 435 ],
- "I3": [ "0" ],
- "O": [ 2000 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 436 ],
- "I1": [ 2001 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2002 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 437 ],
- "I1": [ 2003 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2004 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 2005 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2006 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 431 ],
- "I1": [ 2007 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2008 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 432 ],
- "I1": [ 2009 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2010 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 2011 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2012 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 2013 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2014 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 2015 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2016 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ 2017 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2018 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 442 ],
- "I1": [ 2019 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2020 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2021 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2022 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 443 ],
- "I1": [ 2023 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2024 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 2025 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2026 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 2027 ],
- "I2": [ 1994 ],
- "I3": [ "0" ],
- "O": [ 2028 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 2029 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2030 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ 2031 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2032 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 355 ],
- "I1": [ 2033 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2034 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 356 ],
- "I1": [ 2035 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2036 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 357 ],
- "I1": [ 2037 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2038 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 2039 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2040 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 369 ],
- "I1": [ 2041 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2042 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 2043 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2044 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 371 ],
- "I1": [ 2045 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2046 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 2047 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2048 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 365 ],
- "I1": [ 2049 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2050 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 2051 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2052 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 367 ],
- "I1": [ 2053 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2054 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 2055 ],
- "I2": [ 348 ],
- "I3": [ "0" ],
- "O": [ 2056 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 389 ],
- "I1": [ 2057 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2058 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 390 ],
- "I1": [ 2059 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2060 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 2061 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2062 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 2063 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2064 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 386 ],
- "I1": [ 2065 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2066 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 387 ],
- "I1": [ 2067 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2068 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ 2069 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2070 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 392 ],
- "I1": [ 2071 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2072 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 393 ],
- "I1": [ 2073 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2074 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2075 ],
- "I1": [ 394 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2076 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ 2077 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2078 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 396 ],
- "I1": [ 2079 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2080 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 397 ],
- "I1": [ 2081 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2082 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 398 ],
- "I1": [ 2083 ],
- "I2": [ 375 ],
- "I3": [ "0" ],
- "O": [ 2084 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 2085 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2086 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 334 ],
- "I1": [ 2087 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2088 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 2089 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2090 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 329 ],
- "I1": [ 2091 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2092 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 330 ],
- "I1": [ 2093 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2094 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 2095 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2096 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 342 ],
- "I1": [ 2097 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2098 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 343 ],
- "I1": [ 2099 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2100 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ 2101 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2102 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 2103 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2104 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 2105 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2106 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 339 ],
- "I1": [ 2107 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2108 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 340 ],
- "I1": [ 2109 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2110 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 2111 ],
- "I2": [ 321 ],
- "I3": [ "0" ],
- "O": [ 2112 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1254 ],
- "I1": [ 1247 ],
- "I2": [ 1596 ],
- "I3": [ 1601 ],
- "O": [ 2113 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1982 ],
- "I1": [ 2114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2115 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 1982 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2116 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1239 ],
- "I1": [ 1982 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2117 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1245 ],
- "I1": [ 1982 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2118 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1252 ],
- "I1": [ 1982 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2119 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1270 ],
- "I1": [ 1982 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2120 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 55040
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2121 ],
- "I1": [ 1335 ],
- "I2": [ 1485 ],
- "I3": [ 2122 ],
- "O": [ 2123 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1969 ],
- "I1": [ 2124 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2122 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1291 ],
- "I1": [ 1969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2125 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1297 ],
- "I1": [ 1969 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2126 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 2127 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 2128 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 71 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2127 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2129 ],
- "I1": [ 96 ],
- "I2": [ 99 ],
- "I3": [ "0" ],
- "O": [ 2130 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ "0" ],
- "O": [ 2129 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 2127 ],
- "I2": [ 96 ],
- "I3": [ 99 ],
- "O": [ 2131 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2132 ],
- "I1": [ 99 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2133 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 45 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 2132 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 52 ],
- "I2": [ 2127 ],
- "I3": [ 106 ],
- "O": [ 2134 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 67 ],
- "I2": [ 106 ],
- "I3": [ "0" ],
- "O": [ 2135 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 101 ],
- "I1": [ 99 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2136 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 101 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2137 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 37 ],
- "I1": [ 60 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2138 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 676 ],
- "I1": [ 677 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2139 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1072 ],
- "I1": [ 328 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2140 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 330 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2141 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 319 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2142 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1072 ],
- "I1": [ 328 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2143 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 330 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2144 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 332 ],
- "I1": [ 1043 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2145 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 1058 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2146 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 334 ],
- "I1": [ 1065 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2147 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 329 ],
- "I1": [ 1079 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2148 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 1093 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2149 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 342 ],
- "I1": [ 1100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2150 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 343 ],
- "I1": [ 1107 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2151 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ 1114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2152 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 1121 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2153 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 1128 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2154 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 339 ],
- "I1": [ 1135 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2155 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 340 ],
- "I1": [ 1142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2156 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1149 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2157 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1156 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2158 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1163 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2159 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1170 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2160 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1177 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2161 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1184 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2162 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1191 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2163 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2164 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1205 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2165 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2166 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1219 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2167 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 1226 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2168 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 333 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2169 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1065 ],
- "I1": [ 334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2170 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 329 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2171 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 331 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2172 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 342 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2173 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2174 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1114 ],
- "I1": [ 344 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2175 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 345 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2176 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 338 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2177 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1135 ],
- "I1": [ 339 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2178 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 340 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2179 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1149 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2180 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2181 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1163 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2182 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2183 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2184 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2185 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1191 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2186 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2187 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2188 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2189 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2190 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2191 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1233 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2192 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1072 ],
- "I1": [ 328 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2193 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 330 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2194 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 332 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2195 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 333 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2196 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1065 ],
- "I1": [ 334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2197 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 329 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2198 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 331 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2199 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 342 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2200 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2201 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1114 ],
- "I1": [ 344 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2202 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 345 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2203 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 338 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2204 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1135 ],
- "I1": [ 339 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2205 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 340 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2206 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1149 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2207 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2208 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1163 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2209 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2210 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2211 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2212 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1191 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2213 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2214 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2215 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2216 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2217 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2218 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 232
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2219 ],
- "I1": [ 2220 ],
- "I2": [ 2221 ],
- "I3": [ "0" ],
- "O": [ 2222 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2223 ],
- "I1": [ 2224 ],
- "I2": [ 2225 ],
- "I3": [ "0" ],
- "O": [ 2219 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 2226 ],
- "I2": [ 2227 ],
- "I3": [ "0" ],
- "O": [ 2223 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2228 ],
- "I1": [ 2229 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2227 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1837 ],
- "I1": [ 1838 ],
- "I2": [ 1839 ],
- "I3": [ "0" ],
- "O": [ 2228 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2230 ],
- "I1": [ 2231 ],
- "I2": [ 2232 ],
- "I3": [ "0" ],
- "O": [ 2229 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 1842 ],
- "I2": [ 1840 ],
- "I3": [ 2233 ],
- "O": [ 2230 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1845 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2233 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 1841 ],
- "I2": [ 2234 ],
- "I3": [ "0" ],
- "O": [ 2231 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 1844 ],
- "I2": [ 1840 ],
- "I3": [ 1846 ],
- "O": [ 2234 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 1848 ],
- "I2": [ 2235 ],
- "I3": [ 1850 ],
- "O": [ 2232 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1835 ],
- "I1": [ 1836 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2224 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1851 ],
- "I1": [ 1849 ],
- "I2": [ 1848 ],
- "I3": [ "0" ],
- "O": [ 2225 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1833 ],
- "I1": [ 1834 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2220 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1853 ],
- "I1": [ 1854 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2221 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2236 ],
- "I1": [ 2237 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2238 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2239 ],
- "I1": [ 2240 ],
- "I2": [ 2241 ],
- "I3": [ "0" ],
- "O": [ 2237 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2227 ],
- "I1": [ 1843 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 2239 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 2226 ],
- "I2": [ 2242 ],
- "I3": [ 2243 ],
- "O": [ 2240 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2244 ],
- "I1": [ 2245 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2242 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2230 ],
- "I1": [ 2231 ],
- "I2": [ 2232 ],
- "I3": [ "0" ],
- "O": [ 2244 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2246 ],
- "I1": [ 2247 ],
- "I2": [ 2248 ],
- "I3": [ "0" ],
- "O": [ 2245 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2234 ],
- "I2": [ 1847 ],
- "I3": [ 2249 ],
- "O": [ 2246 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 1840 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2249 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 1841 ],
- "I2": [ 2250 ],
- "I3": [ "0" ],
- "O": [ 2247 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1844 ],
- "I2": [ 1847 ],
- "I3": [ 1846 ],
- "O": [ 2250 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 1848 ],
- "I2": [ 2251 ],
- "I3": [ 1850 ],
- "O": [ 2248 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 2252 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2243 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2253 ],
- "I1": [ 2254 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2241 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2228 ],
- "I1": [ 2229 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2253 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2235 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2254 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2223 ],
- "I1": [ 2224 ],
- "I2": [ 2225 ],
- "I3": [ "0" ],
- "O": [ 2236 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2255 ],
- "I1": [ 2256 ],
- "I2": [ 2257 ],
- "I3": [ "0" ],
- "O": [ 2258 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2239 ],
- "I1": [ 2240 ],
- "I2": [ 2241 ],
- "I3": [ "0" ],
- "O": [ 2255 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2259 ],
- "I1": [ 2260 ],
- "I2": [ 2261 ],
- "I3": [ 2262 ],
- "O": [ 2256 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30720
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 2226 ],
- "I2": [ 2243 ],
- "I3": [ 2242 ],
- "O": [ 2259 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2263 ],
- "I1": [ 2264 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2260 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2265 ],
- "I1": [ 2266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2263 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2246 ],
- "I1": [ 2247 ],
- "I2": [ 2248 ],
- "I3": [ "0" ],
- "O": [ 2265 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2267 ],
- "I1": [ 2268 ],
- "I2": [ 2269 ],
- "I3": [ "0" ],
- "O": [ 2266 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2250 ],
- "I2": [ 1849 ],
- "I3": [ 2270 ],
- "O": [ 2267 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1847 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2270 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 1841 ],
- "I2": [ 2271 ],
- "I3": [ "0" ],
- "O": [ 2268 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 1844 ],
- "I2": [ 1849 ],
- "I3": [ 1846 ],
- "O": [ 2271 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 1848 ],
- "I2": [ 2272 ],
- "I3": [ 1850 ],
- "O": [ 2269 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 2226 ],
- "I2": [ 2273 ],
- "I3": [ 2274 ],
- "O": [ 2264 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2243 ],
- "I1": [ 1845 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 2273 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 2275 ],
- "I2": [ 1845 ],
- "I3": [ 2252 ],
- "O": [ 2274 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2244 ],
- "I1": [ 2245 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2261 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2251 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2262 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2253 ],
- "I1": [ 2254 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2257 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2276 ],
- "I1": [ 2277 ],
- "I2": [ 2278 ],
- "I3": [ "0" ],
- "O": [ 2279 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2259 ],
- "I1": [ 2260 ],
- "I2": [ 2261 ],
- "I3": [ 2262 ],
- "O": [ 2276 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2280 ],
- "I1": [ 2281 ],
- "I2": [ 2282 ],
- "I3": [ 2283 ],
- "O": [ 2277 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2263 ],
- "I1": [ 2264 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2280 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2284 ],
- "I1": [ 2285 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2281 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 2226 ],
- "I2": [ 2286 ],
- "I3": [ 2287 ],
- "O": [ 2284 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2274 ],
- "I2": [ 1840 ],
- "I3": [ 2288 ],
- "O": [ 2286 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2243 ],
- "I1": [ 1845 ],
- "I2": [ 2275 ],
- "I3": [ "0" ],
- "O": [ 2288 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 2275 ],
- "I2": [ 1840 ],
- "I3": [ 2252 ],
- "O": [ 2287 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 2290 ],
- "I2": [ 2291 ],
- "I3": [ "0" ],
- "O": [ 2285 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 2274 ],
- "I2": [ 2273 ],
- "I3": [ "0" ],
- "O": [ 2289 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2267 ],
- "I1": [ 2268 ],
- "I2": [ 2269 ],
- "I3": [ "0" ],
- "O": [ 2290 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2292 ],
- "I1": [ 2293 ],
- "I2": [ 2294 ],
- "I3": [ "0" ],
- "O": [ 2291 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2271 ],
- "I2": [ 2235 ],
- "I3": [ 2295 ],
- "O": [ 2292 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 1849 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2295 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 1841 ],
- "I2": [ 2296 ],
- "I3": [ "0" ],
- "O": [ 2293 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 1844 ],
- "I2": [ 2235 ],
- "I3": [ 1846 ],
- "O": [ 2296 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 1848 ],
- "I2": [ 2297 ],
- "I3": [ 1850 ],
- "O": [ 2294 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2265 ],
- "I1": [ 2266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2282 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2272 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2283 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2261 ],
- "I1": [ 2262 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2278 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2298 ],
- "I1": [ 2299 ],
- "I2": [ 2300 ],
- "I3": [ "0" ],
- "O": [ 2301 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2303 ],
- "I2": [ 2304 ],
- "I3": [ "0" ],
- "O": [ 2298 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2305 ],
- "I1": [ 2306 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2302 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2307 ],
- "I1": [ 2308 ],
- "I2": [ 2309 ],
- "I3": [ "0" ],
- "O": [ 2305 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 2226 ],
- "I2": [ 2286 ],
- "I3": [ 2287 ],
- "O": [ 2307 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2292 ],
- "I1": [ 2293 ],
- "I2": [ 2294 ],
- "I3": [ "0" ],
- "O": [ 2308 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2310 ],
- "I1": [ 2311 ],
- "I2": [ 2312 ],
- "I3": [ "0" ],
- "O": [ 2309 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2296 ],
- "I2": [ 2251 ],
- "I3": [ 2313 ],
- "O": [ 2310 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2235 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2313 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 1841 ],
- "I2": [ 2314 ],
- "I3": [ "0" ],
- "O": [ 2311 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 1844 ],
- "I2": [ 2251 ],
- "I3": [ 1846 ],
- "O": [ 2314 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 1848 ],
- "I2": [ 2315 ],
- "I3": [ 1850 ],
- "O": [ 2312 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2226 ],
- "I2": [ 2316 ],
- "I3": [ 2317 ],
- "O": [ 2306 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2287 ],
- "I2": [ 1847 ],
- "I3": [ 2318 ],
- "O": [ 2316 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 1840 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2318 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 2275 ],
- "I2": [ 1847 ],
- "I3": [ 2252 ],
- "O": [ 2317 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2284 ],
- "I1": [ 2285 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2303 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2319 ],
- "I1": [ 2320 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2304 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 2291 ],
- "I2": [ 2290 ],
- "I3": [ "0" ],
- "O": [ 2319 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2297 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2320 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2280 ],
- "I1": [ 2281 ],
- "I2": [ 2282 ],
- "I3": [ 2283 ],
- "O": [ 2299 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2282 ],
- "I1": [ 2283 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2300 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2321 ],
- "I1": [ 2322 ],
- "I2": [ 2323 ],
- "I3": [ "0" ],
- "O": [ 2324 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2303 ],
- "I2": [ 2304 ],
- "I3": [ "0" ],
- "O": [ 2321 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2325 ],
- "I1": [ 2326 ],
- "I2": [ 2327 ],
- "I3": [ "0" ],
- "O": [ 2322 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2305 ],
- "I1": [ 2306 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2325 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2328 ],
- "I1": [ 2329 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2326 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2330 ],
- "I1": [ 2331 ],
- "I2": [ 2332 ],
- "I3": [ "0" ],
- "O": [ 2328 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2226 ],
- "I2": [ 2316 ],
- "I3": [ 2317 ],
- "O": [ 2330 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2310 ],
- "I1": [ 2311 ],
- "I2": [ 2312 ],
- "I3": [ "0" ],
- "O": [ 2331 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2333 ],
- "I1": [ 2334 ],
- "I2": [ 2335 ],
- "I3": [ "0" ],
- "O": [ 2332 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2314 ],
- "I2": [ 2272 ],
- "I3": [ 2336 ],
- "O": [ 2333 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2251 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2336 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 1841 ],
- "I2": [ 2337 ],
- "I3": [ "0" ],
- "O": [ 2334 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 1844 ],
- "I2": [ 2272 ],
- "I3": [ 1846 ],
- "O": [ 2337 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 1848 ],
- "I2": [ 2338 ],
- "I3": [ 1850 ],
- "O": [ 2335 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2226 ],
- "I2": [ 2339 ],
- "I3": [ 2340 ],
- "O": [ 2329 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2317 ],
- "I2": [ 1849 ],
- "I3": [ 2341 ],
- "O": [ 2339 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1847 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2341 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 2275 ],
- "I2": [ 1849 ],
- "I3": [ 2252 ],
- "O": [ 2340 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2307 ],
- "I1": [ 2308 ],
- "I2": [ 2309 ],
- "I3": [ 2342 ],
- "O": [ 2327 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2315 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2342 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2319 ],
- "I1": [ 2320 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2323 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 2344 ],
- "I2": [ 2345 ],
- "I3": [ "0" ],
- "O": [ 2346 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2325 ],
- "I1": [ 2326 ],
- "I2": [ 2327 ],
- "I3": [ "0" ],
- "O": [ 2343 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2347 ],
- "I1": [ 2348 ],
- "I2": [ 2349 ],
- "I3": [ "0" ],
- "O": [ 2344 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2328 ],
- "I1": [ 2329 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2347 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2350 ],
- "I1": [ 2351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2348 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2352 ],
- "I1": [ 2353 ],
- "I2": [ 2354 ],
- "I3": [ "0" ],
- "O": [ 2350 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2226 ],
- "I2": [ 2339 ],
- "I3": [ 2340 ],
- "O": [ 2352 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2333 ],
- "I1": [ 2334 ],
- "I2": [ 2335 ],
- "I3": [ "0" ],
- "O": [ 2353 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2355 ],
- "I1": [ 2356 ],
- "I2": [ 2357 ],
- "I3": [ "0" ],
- "O": [ 2354 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2337 ],
- "I2": [ 2297 ],
- "I3": [ 2358 ],
- "O": [ 2355 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2272 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2358 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 1841 ],
- "I2": [ 2359 ],
- "I3": [ "0" ],
- "O": [ 2356 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 1844 ],
- "I2": [ 2297 ],
- "I3": [ 1846 ],
- "O": [ 2359 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 1848 ],
- "I2": [ 2360 ],
- "I3": [ 1850 ],
- "O": [ 2357 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2226 ],
- "I2": [ 2361 ],
- "I3": [ 2362 ],
- "O": [ 2351 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2340 ],
- "I2": [ 2235 ],
- "I3": [ 2363 ],
- "O": [ 2361 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 1849 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2363 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2275 ],
- "I2": [ 2235 ],
- "I3": [ 2252 ],
- "O": [ 2362 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2330 ],
- "I1": [ 2331 ],
- "I2": [ 2332 ],
- "I3": [ 2364 ],
- "O": [ 2349 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2338 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2364 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2307 ],
- "I1": [ 2308 ],
- "I2": [ 2309 ],
- "I3": [ 2342 ],
- "O": [ 2345 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2365 ],
- "I1": [ 2366 ],
- "I2": [ 2367 ],
- "I3": [ "0" ],
- "O": [ 2368 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2347 ],
- "I1": [ 2348 ],
- "I2": [ 2349 ],
- "I3": [ "0" ],
- "O": [ 2365 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2369 ],
- "I1": [ 2370 ],
- "I2": [ 2371 ],
- "I3": [ "0" ],
- "O": [ 2366 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2350 ],
- "I1": [ 2351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2369 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2372 ],
- "I1": [ 2373 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2370 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2374 ],
- "I1": [ 2375 ],
- "I2": [ 2376 ],
- "I3": [ "0" ],
- "O": [ 2372 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2226 ],
- "I2": [ 2361 ],
- "I3": [ 2362 ],
- "O": [ 2374 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2355 ],
- "I1": [ 2356 ],
- "I2": [ 2357 ],
- "I3": [ "0" ],
- "O": [ 2375 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2377 ],
- "I1": [ 2378 ],
- "I2": [ 2379 ],
- "I3": [ "0" ],
- "O": [ 2376 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2359 ],
- "I2": [ 2315 ],
- "I3": [ 2380 ],
- "O": [ 2377 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2297 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2380 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 1841 ],
- "I2": [ 2381 ],
- "I3": [ "0" ],
- "O": [ 2378 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 1844 ],
- "I2": [ 2315 ],
- "I3": [ 1846 ],
- "O": [ 2381 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 1848 ],
- "I2": [ 2382 ],
- "I3": [ 1850 ],
- "O": [ 2379 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2226 ],
- "I2": [ 2383 ],
- "I3": [ 2384 ],
- "O": [ 2373 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2362 ],
- "I2": [ 2251 ],
- "I3": [ 2385 ],
- "O": [ 2383 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2235 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2385 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2275 ],
- "I2": [ 2251 ],
- "I3": [ 2252 ],
- "O": [ 2384 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2352 ],
- "I1": [ 2353 ],
- "I2": [ 2354 ],
- "I3": [ 2386 ],
- "O": [ 2371 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2360 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2386 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2330 ],
- "I1": [ 2331 ],
- "I2": [ 2332 ],
- "I3": [ 2364 ],
- "O": [ 2367 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2387 ],
- "I1": [ 2388 ],
- "I2": [ 2389 ],
- "I3": [ "0" ],
- "O": [ 2390 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2369 ],
- "I1": [ 2370 ],
- "I2": [ 2371 ],
- "I3": [ "0" ],
- "O": [ 2387 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2391 ],
- "I1": [ 2392 ],
- "I2": [ 2393 ],
- "I3": [ 2394 ],
- "O": [ 2388 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2372 ],
- "I1": [ 2373 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2391 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2395 ],
- "I1": [ 2396 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2392 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 2394 ],
- "I2": [ 2397 ],
- "I3": [ "0" ],
- "O": [ 2395 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2226 ],
- "I2": [ 2398 ],
- "I3": [ 2399 ],
- "O": [ 2397 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2384 ],
- "I2": [ 2272 ],
- "I3": [ 2400 ],
- "O": [ 2398 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2251 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2400 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2275 ],
- "I2": [ 2272 ],
- "I3": [ 2252 ],
- "O": [ 2399 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2401 ],
- "I1": [ 2402 ],
- "I2": [ 2403 ],
- "I3": [ "0" ],
- "O": [ 2396 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2226 ],
- "I2": [ 2383 ],
- "I3": [ 2384 ],
- "O": [ 2401 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2377 ],
- "I1": [ 2378 ],
- "I2": [ 2379 ],
- "I3": [ "0" ],
- "O": [ 2402 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2404 ],
- "I1": [ 2405 ],
- "I2": [ 2406 ],
- "I3": [ "0" ],
- "O": [ 2403 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2381 ],
- "I2": [ 2338 ],
- "I3": [ 2407 ],
- "O": [ 2404 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2315 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2407 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 1841 ],
- "I2": [ 2408 ],
- "I3": [ "0" ],
- "O": [ 2405 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 1844 ],
- "I2": [ 2338 ],
- "I3": [ 1846 ],
- "O": [ 2408 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 1848 ],
- "I2": [ 2409 ],
- "I3": [ 1850 ],
- "O": [ 2406 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2410 ],
- "I1": [ 2411 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2393 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2374 ],
- "I1": [ 2376 ],
- "I2": [ 2375 ],
- "I3": [ "0" ],
- "O": [ 2410 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 2382 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2411 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2352 ],
- "I1": [ 2353 ],
- "I2": [ 2354 ],
- "I3": [ 2386 ],
- "O": [ 2389 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2412 ],
- "I1": [ 2413 ],
- "I2": [ 2414 ],
- "I3": [ "0" ],
- "O": [ 2415 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2391 ],
- "I1": [ 2392 ],
- "I2": [ 2393 ],
- "I3": [ 2394 ],
- "O": [ 2412 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2416 ],
- "I1": [ 2417 ],
- "I2": [ 2418 ],
- "I3": [ 2419 ],
- "O": [ 2414 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2395 ],
- "I1": [ 2396 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2416 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2420 ],
- "I1": [ 2421 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2417 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 2397 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2420 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 2394 ],
- "I2": [ 2422 ],
- "I3": [ "0" ],
- "O": [ 2421 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2226 ],
- "I2": [ 2423 ],
- "I3": [ 2424 ],
- "O": [ 2422 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2399 ],
- "I2": [ 2297 ],
- "I3": [ 2425 ],
- "O": [ 2423 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2272 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2425 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2275 ],
- "I2": [ 2297 ],
- "I3": [ 2252 ],
- "O": [ 2424 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2426 ],
- "I1": [ 2427 ],
- "I2": [ 2428 ],
- "I3": [ "0" ],
- "O": [ 2418 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2226 ],
- "I2": [ 2398 ],
- "I3": [ 2399 ],
- "O": [ 2426 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2404 ],
- "I1": [ 2405 ],
- "I2": [ 2406 ],
- "I3": [ "0" ],
- "O": [ 2427 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2429 ],
- "I1": [ 2430 ],
- "I2": [ 2431 ],
- "I3": [ "0" ],
- "O": [ 2428 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2408 ],
- "I2": [ 2360 ],
- "I3": [ 2432 ],
- "O": [ 2429 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2338 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2432 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 1841 ],
- "I2": [ 2433 ],
- "I3": [ "0" ],
- "O": [ 2430 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 1844 ],
- "I2": [ 2360 ],
- "I3": [ 1846 ],
- "O": [ 2433 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 1848 ],
- "I2": [ 2434 ],
- "I3": [ 1850 ],
- "O": [ 2431 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2401 ],
- "I1": [ 2402 ],
- "I2": [ 2403 ],
- "I3": [ 2435 ],
- "O": [ 2419 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 2409 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2435 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2410 ],
- "I1": [ 2411 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2413 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2436 ],
- "I1": [ 2437 ],
- "I2": [ 2438 ],
- "I3": [ "0" ],
- "O": [ 2439 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2416 ],
- "I1": [ 2419 ],
- "I2": [ 2418 ],
- "I3": [ 2417 ],
- "O": [ 2436 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2440 ],
- "I1": [ 2441 ],
- "I2": [ 2442 ],
- "I3": [ "0" ],
- "O": [ 2437 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2420 ],
- "I1": [ 2421 ],
- "I2": [ 2418 ],
- "I3": [ "0" ],
- "O": [ 2440 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2443 ],
- "I1": [ 2444 ],
- "I2": [ 2445 ],
- "I3": [ "0" ],
- "O": [ 2441 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 2422 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2443 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 2394 ],
- "I2": [ 2446 ],
- "I3": [ "0" ],
- "O": [ 2444 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2226 ],
- "I2": [ 2447 ],
- "I3": [ 2448 ],
- "O": [ 2446 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2424 ],
- "I2": [ 2315 ],
- "I3": [ 2449 ],
- "O": [ 2447 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2297 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2449 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2275 ],
- "I2": [ 2315 ],
- "I3": [ 2252 ],
- "O": [ 2448 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2450 ],
- "I1": [ 2451 ],
- "I2": [ 2452 ],
- "I3": [ "0" ],
- "O": [ 2445 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2226 ],
- "I2": [ 2423 ],
- "I3": [ 2424 ],
- "O": [ 2450 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2429 ],
- "I1": [ 2430 ],
- "I2": [ 2431 ],
- "I3": [ "0" ],
- "O": [ 2451 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2453 ],
- "I1": [ 2454 ],
- "I2": [ 2455 ],
- "I3": [ "0" ],
- "O": [ 2452 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2433 ],
- "I2": [ 2382 ],
- "I3": [ 2456 ],
- "O": [ 2453 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2360 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2456 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 1841 ],
- "I2": [ 2457 ],
- "I3": [ "0" ],
- "O": [ 2454 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 1844 ],
- "I2": [ 2382 ],
- "I3": [ 1846 ],
- "O": [ 2457 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1848 ],
- "I1": [ 1850 ],
- "I2": [ 2434 ],
- "I3": [ "0" ],
- "O": [ 2455 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2426 ],
- "I1": [ 2427 ],
- "I2": [ 2428 ],
- "I3": [ 2458 ],
- "O": [ 2442 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 2434 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2458 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2401 ],
- "I1": [ 2402 ],
- "I2": [ 2403 ],
- "I3": [ 2435 ],
- "O": [ 2438 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2459 ],
- "I1": [ 2460 ],
- "I2": [ 2461 ],
- "I3": [ "0" ],
- "O": [ 2462 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2440 ],
- "I1": [ 2441 ],
- "I2": [ 2442 ],
- "I3": [ "0" ],
- "O": [ 2459 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2463 ],
- "I1": [ 2464 ],
- "I2": [ 2465 ],
- "I3": [ 2466 ],
- "O": [ 2460 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2443 ],
- "I1": [ 2444 ],
- "I2": [ 2445 ],
- "I3": [ "0" ],
- "O": [ 2463 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2467 ],
- "I1": [ 2468 ],
- "I2": [ 2469 ],
- "I3": [ "0" ],
- "O": [ 2464 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 2446 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2467 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 2394 ],
- "I2": [ 2470 ],
- "I3": [ "0" ],
- "O": [ 2468 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 2226 ],
- "I2": [ 2471 ],
- "I3": [ 2472 ],
- "O": [ 2470 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2448 ],
- "I2": [ 2338 ],
- "I3": [ 2473 ],
- "O": [ 2471 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2315 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2473 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2275 ],
- "I2": [ 2338 ],
- "I3": [ 2252 ],
- "O": [ 2472 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2474 ],
- "I1": [ 2475 ],
- "I2": [ 2476 ],
- "I3": [ "0" ],
- "O": [ 2469 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2226 ],
- "I2": [ 2447 ],
- "I3": [ 2448 ],
- "O": [ 2474 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2453 ],
- "I1": [ 2454 ],
- "I2": [ 2455 ],
- "I3": [ "0" ],
- "O": [ 2475 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2477 ],
- "I1": [ 2478 ],
- "I2": [ 2455 ],
- "I3": [ 2479 ],
- "O": [ 2476 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2457 ],
- "I2": [ 2409 ],
- "I3": [ 2480 ],
- "O": [ 2477 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 2382 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2480 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 1844 ],
- "I2": [ 2481 ],
- "I3": [ "0" ],
- "O": [ 2478 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 1846 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2481 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 1841 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2479 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2450 ],
- "I1": [ 2452 ],
- "I2": [ 2451 ],
- "I3": [ "0" ],
- "O": [ 2465 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 1848 ],
- "I2": [ 1850 ],
- "I3": [ "0" ],
- "O": [ 2466 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2426 ],
- "I1": [ 2427 ],
- "I2": [ 2428 ],
- "I3": [ 2458 ],
- "O": [ 2461 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2482 ],
- "I1": [ 2483 ],
- "I2": [ 2484 ],
- "I3": [ "0" ],
- "O": [ 2485 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11186
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2463 ],
- "I1": [ 2464 ],
- "I2": [ 2465 ],
- "I3": [ 2466 ],
- "O": [ 2482 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2486 ],
- "I1": [ 2487 ],
- "I2": [ 2488 ],
- "I3": [ "0" ],
- "O": [ 2483 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2467 ],
- "I1": [ 2468 ],
- "I2": [ 2469 ],
- "I3": [ "0" ],
- "O": [ 2486 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2489 ],
- "I1": [ 2490 ],
- "I2": [ 2491 ],
- "I3": [ 2492 ],
- "O": [ 2487 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1847 ],
- "I1": [ 2470 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2489 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2394 ],
- "I2": [ 2493 ],
- "I3": [ "0" ],
- "O": [ 2490 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 2226 ],
- "I2": [ 2494 ],
- "I3": [ 2495 ],
- "O": [ 2493 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2472 ],
- "I2": [ 2360 ],
- "I3": [ 2496 ],
- "O": [ 2494 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2338 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2496 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2275 ],
- "I2": [ 2360 ],
- "I3": [ 2252 ],
- "O": [ 2495 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2497 ],
- "I1": [ 2498 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2491 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 2226 ],
- "I2": [ 2471 ],
- "I3": [ 2472 ],
- "O": [ 2497 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2500 ],
- "I2": [ 2455 ],
- "I3": [ 2479 ],
- "O": [ 2498 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6007
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2479 ],
- "I1": [ 2481 ],
- "I2": [ 2382 ],
- "I3": [ 1844 ],
- "O": [ 2499 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 1846 ],
- "I2": [ 2501 ],
- "I3": [ "0" ],
- "O": [ 2500 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 1844 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2501 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2477 ],
- "I1": [ 2455 ],
- "I2": [ 2478 ],
- "I3": [ 2479 ],
- "O": [ 2492 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2502 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2488 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2474 ],
- "I1": [ 2476 ],
- "I2": [ 2475 ],
- "I3": [ "0" ],
- "O": [ 2502 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2465 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2484 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2503 ],
- "I1": [ 2504 ],
- "I2": [ 2505 ],
- "I3": [ "0" ],
- "O": [ 2506 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2486 ],
- "I1": [ 2487 ],
- "I2": [ 2488 ],
- "I3": [ "0" ],
- "O": [ 2503 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2507 ],
- "I1": [ 2508 ],
- "I2": [ 2509 ],
- "I3": [ "0" ],
- "O": [ 2504 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6001
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2489 ],
- "I1": [ 2490 ],
- "I2": [ 2491 ],
- "I3": [ 2492 ],
- "O": [ 2507 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2510 ],
- "I1": [ 2511 ],
- "I2": [ 2512 ],
- "I3": [ 2513 ],
- "O": [ 2508 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 2493 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2510 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2394 ],
- "I2": [ 2514 ],
- "I3": [ "0" ],
- "O": [ 2511 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 2226 ],
- "I2": [ 2515 ],
- "I3": [ 2516 ],
- "O": [ 2514 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2495 ],
- "I2": [ 2382 ],
- "I3": [ 2517 ],
- "O": [ 2515 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2360 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2517 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 2275 ],
- "I2": [ 2382 ],
- "I3": [ 2252 ],
- "O": [ 2516 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2518 ],
- "I1": [ 2519 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2512 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 2226 ],
- "I2": [ 2494 ],
- "I3": [ 2495 ],
- "O": [ 2518 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2520 ],
- "I1": [ 2455 ],
- "I2": [ 2479 ],
- "I3": [ 2521 ],
- "O": [ 2519 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6143
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2501 ],
- "I1": [ 1846 ],
- "I2": [ 1841 ],
- "I3": [ 2434 ],
- "O": [ 2520 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1844 ],
- "I1": [ 1846 ],
- "I2": [ 2434 ],
- "I3": [ "0" ],
- "O": [ 2521 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2455 ],
- "I2": [ 2500 ],
- "I3": [ 2479 ],
- "O": [ 2513 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2522 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2509 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2497 ],
- "I1": [ 2498 ],
- "I2": [ 2492 ],
- "I3": [ "0" ],
- "O": [ 2522 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2502 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2505 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2523 ],
- "I1": [ 2524 ],
- "I2": [ 2525 ],
- "I3": [ "0" ],
- "O": [ 2526 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2507 ],
- "I1": [ 2508 ],
- "I2": [ 2509 ],
- "I3": [ "0" ],
- "O": [ 2523 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2527 ],
- "I1": [ 2528 ],
- "I2": [ 2529 ],
- "I3": [ 2530 ],
- "O": [ 2524 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6001
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2510 ],
- "I1": [ 2511 ],
- "I2": [ 2512 ],
- "I3": [ 2513 ],
- "O": [ 2527 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2531 ],
- "I1": [ 2532 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2528 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2235 ],
- "I1": [ 2514 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2531 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2394 ],
- "I2": [ 2533 ],
- "I3": [ "0" ],
- "O": [ 2532 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 2226 ],
- "I2": [ 2534 ],
- "I3": [ 2535 ],
- "O": [ 2533 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2516 ],
- "I2": [ 2409 ],
- "I3": [ 2536 ],
- "O": [ 2534 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 2382 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2536 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 2275 ],
- "I2": [ 2409 ],
- "I3": [ 2252 ],
- "O": [ 2535 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2538 ],
- "I2": [ 2539 ],
- "I3": [ "0" ],
- "O": [ 2529 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2540 ],
- "I1": [ 2541 ],
- "I2": [ 2455 ],
- "I3": [ "0" ],
- "O": [ 2537 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2520 ],
- "I1": [ 2479 ],
- "I2": [ 2521 ],
- "I3": [ "0" ],
- "O": [ 2540 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 511
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 1844 ],
- "I2": [ 1846 ],
- "I3": [ 2434 ],
- "O": [ 2541 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 2226 ],
- "I2": [ 2515 ],
- "I3": [ 2516 ],
- "O": [ 2538 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2520 ],
- "I1": [ 2455 ],
- "I2": [ 2479 ],
- "I3": [ 2521 ],
- "O": [ 2539 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2542 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2530 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2518 ],
- "I1": [ 2519 ],
- "I2": [ 2513 ],
- "I3": [ "0" ],
- "O": [ 2542 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2522 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2525 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2543 ],
- "I1": [ 2544 ],
- "I2": [ 2545 ],
- "I3": [ "0" ],
- "O": [ 2546 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2547 ],
- "I1": [ 2548 ],
- "I2": [ 2549 ],
- "I3": [ "0" ],
- "O": [ 2543 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2529 ],
- "I1": [ 2531 ],
- "I2": [ 2532 ],
- "I3": [ "0" ],
- "O": [ 2547 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2550 ],
- "I1": [ 2551 ],
- "I2": [ 2552 ],
- "I3": [ "0" ],
- "O": [ 2548 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2394 ],
- "I2": [ 2553 ],
- "I3": [ "0" ],
- "O": [ 2550 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 2226 ],
- "I2": [ 2554 ],
- "I3": [ 2555 ],
- "O": [ 2553 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2535 ],
- "I2": [ 2434 ],
- "I3": [ 2556 ],
- "O": [ 2554 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2557 ],
- "I1": [ 2382 ],
- "I2": [ 2252 ],
- "I3": [ "0" ],
- "O": [ 2556 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2557 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 2252 ],
- "I2": [ 2557 ],
- "I3": [ "0" ],
- "O": [ 2555 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2558 ],
- "I2": [ 2559 ],
- "I3": [ "0" ],
- "O": [ 2551 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2541 ],
- "I1": [ 2455 ],
- "I2": [ 2540 ],
- "I3": [ "0" ],
- "O": [ 2558 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 2226 ],
- "I2": [ 2534 ],
- "I3": [ 2535 ],
- "O": [ 2559 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 2533 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2552 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2560 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2549 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2538 ],
- "I2": [ 2539 ],
- "I3": [ "0" ],
- "O": [ 2560 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2527 ],
- "I1": [ 2530 ],
- "I2": [ 2529 ],
- "I3": [ 2528 ],
- "O": [ 2544 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2542 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2545 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2561 ],
- "I1": [ 2562 ],
- "I2": [ 2563 ],
- "I3": [ "0" ],
- "O": [ 2564 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2547 ],
- "I1": [ 2548 ],
- "I2": [ 2549 ],
- "I3": [ "0" ],
- "O": [ 2561 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2565 ],
- "I1": [ 2566 ],
- "I2": [ 2567 ],
- "I3": [ "0" ],
- "O": [ 2562 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2550 ],
- "I1": [ 2551 ],
- "I2": [ 2552 ],
- "I3": [ "0" ],
- "O": [ 2565 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2568 ],
- "I1": [ 2569 ],
- "I2": [ 2570 ],
- "I3": [ "0" ],
- "O": [ 2566 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2553 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2568 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2571 ],
- "I2": [ 2558 ],
- "I3": [ "0" ],
- "O": [ 2569 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 2226 ],
- "I2": [ 2554 ],
- "I3": [ 2555 ],
- "O": [ 2571 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2394 ],
- "I2": [ 2572 ],
- "I3": [ "0" ],
- "O": [ 2570 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 2226 ],
- "I2": [ 2573 ],
- "I3": [ 2574 ],
- "O": [ 2572 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6143
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2557 ],
- "I1": [ 2252 ],
- "I2": [ 2226 ],
- "I3": [ 2434 ],
- "O": [ 2573 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2275 ],
- "I1": [ 2252 ],
- "I2": [ 2434 ],
- "I3": [ "0" ],
- "O": [ 2574 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2575 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2567 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2559 ],
- "I2": [ 2558 ],
- "I3": [ "0" ],
- "O": [ 2575 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2560 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2563 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2576 ],
- "I1": [ 2577 ],
- "I2": [ 2578 ],
- "I3": [ "0" ],
- "O": [ 2579 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2565 ],
- "I1": [ 2566 ],
- "I2": [ 2567 ],
- "I3": [ "0" ],
- "O": [ 2576 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2580 ],
- "I1": [ 2581 ],
- "I2": [ 2582 ],
- "I3": [ 2583 ],
- "O": [ 2577 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2568 ],
- "I1": [ 2569 ],
- "I2": [ 2570 ],
- "I3": [ "0" ],
- "O": [ 2580 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2584 ],
- "I1": [ 2585 ],
- "I2": [ 2586 ],
- "I3": [ "0" ],
- "O": [ 2581 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2572 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2584 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2587 ],
- "I1": [ 2588 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2585 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2573 ],
- "I1": [ 2574 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 2587 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 511
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2275 ],
- "I2": [ 2252 ],
- "I3": [ 2434 ],
- "O": [ 2588 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2586 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2558 ],
- "I2": [ 2587 ],
- "I3": [ "0" ],
- "O": [ 2582 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29070
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2571 ],
- "I2": [ 2558 ],
- "I3": [ 2466 ],
- "O": [ 2583 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2575 ],
- "I1": [ 2466 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2578 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2589 ],
- "I1": [ 2590 ],
- "I2": [ 2591 ],
- "I3": [ "0" ],
- "O": [ 2592 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2580 ],
- "I1": [ 2583 ],
- "I2": [ 2582 ],
- "I3": [ 2581 ],
- "O": [ 2589 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2593 ],
- "I1": [ 2594 ],
- "I2": [ 2595 ],
- "I3": [ "0" ],
- "O": [ 2590 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2582 ],
- "I1": [ 2584 ],
- "I2": [ 2585 ],
- "I3": [ 2586 ],
- "O": [ 2593 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2586 ],
- "I1": [ 2585 ],
- "I2": [ 2582 ],
- "I3": [ 2596 ],
- "O": [ 2594 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2338 ],
- "I1": [ 2394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2596 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2558 ],
- "I2": [ 2587 ],
- "I3": [ 2466 ],
- "O": [ 2595 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2558 ],
- "I2": [ 2571 ],
- "I3": [ 2466 ],
- "O": [ 2591 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2597 ],
- "I1": [ 2598 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2600 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2593 ],
- "I1": [ 2594 ],
- "I2": [ 2595 ],
- "I3": [ "0" ],
- "O": [ 2597 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2601 ],
- "I1": [ 2602 ],
- "I2": [ 2595 ],
- "I3": [ "0" ],
- "O": [ 2598 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29983
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2582 ],
- "I1": [ 2586 ],
- "I2": [ 2585 ],
- "I3": [ 2596 ],
- "O": [ 2601 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2596 ],
- "I1": [ 2585 ],
- "I2": [ 2582 ],
- "I3": [ 2603 ],
- "O": [ 2602 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2360 ],
- "I1": [ 2394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2603 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2537 ],
- "I1": [ 2558 ],
- "I2": [ 2587 ],
- "I3": [ 2466 ],
- "O": [ 2599 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2604 ],
- "I1": [ 2605 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2606 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2601 ],
- "I1": [ 2602 ],
- "I2": [ 2595 ],
- "I3": [ "0" ],
- "O": [ 2604 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2607 ],
- "I1": [ 2582 ],
- "I2": [ 2595 ],
- "I3": [ 2608 ],
- "O": [ 2605 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29983
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2582 ],
- "I1": [ 2596 ],
- "I2": [ 2585 ],
- "I3": [ 2603 ],
- "O": [ 2607 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2603 ],
- "I1": [ 2585 ],
- "I2": [ 2609 ],
- "I3": [ "0" ],
- "O": [ 2608 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2382 ],
- "I1": [ 2394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2609 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2610 ],
- "I1": [ 2611 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2612 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11186
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2607 ],
- "I1": [ 2595 ],
- "I2": [ 2582 ],
- "I3": [ 2608 ],
- "O": [ 2610 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2613 ],
- "I1": [ 2614 ],
- "I2": [ 2595 ],
- "I3": [ "0" ],
- "O": [ 2611 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29983
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2582 ],
- "I1": [ 2603 ],
- "I2": [ 2585 ],
- "I3": [ 2609 ],
- "O": [ 2613 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2609 ],
- "I1": [ 2585 ],
- "I2": [ 2582 ],
- "I3": [ 2615 ],
- "O": [ 2614 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2409 ],
- "I1": [ 2394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2615 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1232
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2613 ],
- "I1": [ 2614 ],
- "I2": [ 2595 ],
- "I3": [ 2616 ],
- "O": [ 2617 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2219 ],
- "I1": [ 2220 ],
- "I2": [ 2221 ],
- "I3": [ "0" ],
- "O": [ 2618 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2237 ],
- "I1": [ 2236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2619 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2255 ],
- "I1": [ 2256 ],
- "I2": [ 2257 ],
- "I3": [ "0" ],
- "O": [ 2620 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2276 ],
- "I1": [ 2277 ],
- "I2": [ 2278 ],
- "I3": [ "0" ],
- "O": [ 2621 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2298 ],
- "I1": [ 2299 ],
- "I2": [ 2300 ],
- "I3": [ "0" ],
- "O": [ 2622 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2321 ],
- "I1": [ 2322 ],
- "I2": [ 2323 ],
- "I3": [ "0" ],
- "O": [ 2623 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 2344 ],
- "I2": [ 2345 ],
- "I3": [ "0" ],
- "O": [ 2624 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2365 ],
- "I1": [ 2366 ],
- "I2": [ 2367 ],
- "I3": [ "0" ],
- "O": [ 2625 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2387 ],
- "I1": [ 2388 ],
- "I2": [ 2389 ],
- "I3": [ "0" ],
- "O": [ 2626 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2412 ],
- "I1": [ 2414 ],
- "I2": [ 2413 ],
- "I3": [ "0" ],
- "O": [ 2627 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2436 ],
- "I1": [ 2437 ],
- "I2": [ 2438 ],
- "I3": [ "0" ],
- "O": [ 2628 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2459 ],
- "I1": [ 2460 ],
- "I2": [ 2461 ],
- "I3": [ "0" ],
- "O": [ 2629 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2482 ],
- "I1": [ 2483 ],
- "I2": [ 2484 ],
- "I3": [ "0" ],
- "O": [ 2630 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2503 ],
- "I1": [ 2504 ],
- "I2": [ 2505 ],
- "I3": [ "0" ],
- "O": [ 2631 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2523 ],
- "I1": [ 2524 ],
- "I2": [ 2525 ],
- "I3": [ "0" ],
- "O": [ 2632 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2543 ],
- "I1": [ 2544 ],
- "I2": [ 2545 ],
- "I3": [ "0" ],
- "O": [ 2633 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2561 ],
- "I1": [ 2562 ],
- "I2": [ 2563 ],
- "I3": [ "0" ],
- "O": [ 2634 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$33999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2576 ],
- "I1": [ 2577 ],
- "I2": [ 2578 ],
- "I3": [ "0" ],
- "O": [ 2635 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2589 ],
- "I1": [ 2590 ],
- "I2": [ 2591 ],
- "I3": [ "0" ],
- "O": [ 2636 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2597 ],
- "I1": [ 2598 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2637 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2604 ],
- "I1": [ 2605 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2638 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2610 ],
- "I1": [ 2611 ],
- "I2": [ 2599 ],
- "I3": [ "0" ],
- "O": [ 2639 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65294
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2616 ],
- "I1": [ 2595 ],
- "I2": [ 2617 ],
- "I3": [ 2599 ],
- "O": [ 2640 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2616 ],
- "I1": [ 2599 ],
- "I2": [ 2595 ],
- "I3": [ "0" ],
- "O": [ 2641 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1832 ],
- "I1": [ 1830 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2642 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1072 ],
- "I1": [ 384 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2643 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 386 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2644 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1072 ],
- "I1": [ 384 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2645 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 386 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2646 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 388 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2647 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 389 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2648 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1065 ],
- "I1": [ 390 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2649 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 385 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2650 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 387 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2651 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 391 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2652 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 392 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2653 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1114 ],
- "I1": [ 393 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2654 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2655 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 395 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2656 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1135 ],
- "I1": [ 396 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2657 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 397 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2658 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1149 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2659 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2660 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1163 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2661 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2662 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2663 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2664 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1191 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2665 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2666 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2667 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2668 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2669 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2670 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 373 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2671 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 389 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2672 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1065 ],
- "I1": [ 390 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2673 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 385 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2674 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1093 ],
- "I1": [ 387 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2675 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 391 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2676 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1107 ],
- "I1": [ 392 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2677 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1114 ],
- "I1": [ 393 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2678 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2679 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1128 ],
- "I1": [ 395 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2680 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1135 ],
- "I1": [ 396 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2681 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 397 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2682 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1149 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2683 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2684 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1163 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2685 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1170 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2686 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2687 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2688 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1191 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2689 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2690 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2691 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2692 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2693 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2694 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1233 ],
- "I1": [ 398 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2695 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2696 ],
- "I1": [ 2697 ],
- "I2": [ 1438 ],
- "I3": [ "0" ],
- "O": [ 2698 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2699 ],
- "I1": [ 1212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2696 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2700 ],
- "I1": [ 1435 ],
- "I2": [ 1205 ],
- "I3": [ "0" ],
- "O": [ 2697 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1976 ],
- "I1": [ 1425 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2701 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2696 ],
- "I1": [ 2697 ],
- "I2": [ 1438 ],
- "I3": [ "0" ],
- "O": [ 2702 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1971 ],
- "I1": [ 1972 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2703 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2704 ],
- "I1": [ 2705 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2706 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1975 ],
- "I1": [ 1428 ],
- "I2": [ 1191 ],
- "I3": [ "0" ],
- "O": [ 2704 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2707 ],
- "I1": [ 1432 ],
- "I2": [ 1198 ],
- "I3": [ "0" ],
- "O": [ 2705 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2708 ],
- "I1": [ 2709 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2710 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2707 ],
- "I1": [ 1432 ],
- "I2": [ 1198 ],
- "I3": [ "0" ],
- "O": [ 2708 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2700 ],
- "I1": [ 1435 ],
- "I2": [ 1205 ],
- "I3": [ "0" ],
- "O": [ 2709 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2711 ],
- "I1": [ 2712 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2713 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2699 ],
- "I1": [ 1212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2711 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2714 ],
- "I1": [ 1219 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2712 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2715 ],
- "I1": [ 2716 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2717 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2714 ],
- "I1": [ 1219 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2715 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2718 ],
- "I1": [ 1226 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2716 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2704 ],
- "I1": [ 2705 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2719 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2708 ],
- "I1": [ 2709 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2720 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2711 ],
- "I1": [ 2712 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2721 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2715 ],
- "I1": [ 2716 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2722 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2718 ],
- "I1": [ 1226 ],
- "I2": [ 2723 ],
- "I3": [ 1233 ],
- "O": [ 2724 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 232
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2725 ],
- "I1": [ 2726 ],
- "I2": [ 2727 ],
- "I3": [ "0" ],
- "O": [ 2728 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2729 ],
- "I1": [ 2730 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2725 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 2226 ],
- "I2": [ 2731 ],
- "I3": [ "0" ],
- "O": [ 2729 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2732 ],
- "I1": [ 2733 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2731 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1874 ],
- "I1": [ 1875 ],
- "I2": [ 1876 ],
- "I3": [ "0" ],
- "O": [ 2732 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2734 ],
- "I1": [ 2735 ],
- "I2": [ 2736 ],
- "I3": [ "0" ],
- "O": [ 2733 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 1878 ],
- "I2": [ 1877 ],
- "I3": [ 2737 ],
- "O": [ 2734 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 1880 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2737 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1841 ],
- "I2": [ 2738 ],
- "I3": [ "0" ],
- "O": [ 2735 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 1844 ],
- "I2": [ 1877 ],
- "I3": [ 1846 ],
- "O": [ 2738 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 1848 ],
- "I2": [ 2739 ],
- "I3": [ 1850 ],
- "O": [ 2736 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2740 ],
- "I1": [ 2741 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2730 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1872 ],
- "I1": [ 1873 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2740 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1883 ],
- "I1": [ 1882 ],
- "I2": [ 1848 ],
- "I3": [ "0" ],
- "O": [ 2741 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1870 ],
- "I1": [ 1871 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2726 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1885 ],
- "I1": [ 1886 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2727 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 232
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2742 ],
- "I1": [ 2743 ],
- "I2": [ 2744 ],
- "I3": [ "0" ],
- "O": [ 2745 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2729 ],
- "I1": [ 2730 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2742 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2746 ],
- "I1": [ 2747 ],
- "I2": [ 2748 ],
- "I3": [ "0" ],
- "O": [ 2743 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2731 ],
- "I1": [ 1879 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 2746 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 2226 ],
- "I2": [ 2749 ],
- "I3": [ 2750 ],
- "O": [ 2747 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2751 ],
- "I1": [ 2752 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2749 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2734 ],
- "I1": [ 2735 ],
- "I2": [ 2736 ],
- "I3": [ "0" ],
- "O": [ 2751 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2753 ],
- "I1": [ 2754 ],
- "I2": [ 2755 ],
- "I3": [ "0" ],
- "O": [ 2752 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2738 ],
- "I2": [ 1881 ],
- "I3": [ 2756 ],
- "O": [ 2753 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 1877 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2756 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 1841 ],
- "I2": [ 2757 ],
- "I3": [ "0" ],
- "O": [ 2754 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 1844 ],
- "I2": [ 1881 ],
- "I3": [ 1846 ],
- "O": [ 2757 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 1848 ],
- "I2": [ 2758 ],
- "I3": [ 1850 ],
- "O": [ 2755 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 2252 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2750 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2759 ],
- "I1": [ 2760 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2748 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2732 ],
- "I1": [ 2733 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2759 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2739 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2760 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2740 ],
- "I1": [ 2741 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2744 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2761 ],
- "I1": [ 2762 ],
- "I2": [ 2763 ],
- "I3": [ "0" ],
- "O": [ 2764 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2746 ],
- "I1": [ 2747 ],
- "I2": [ 2748 ],
- "I3": [ "0" ],
- "O": [ 2761 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2765 ],
- "I1": [ 2766 ],
- "I2": [ 2767 ],
- "I3": [ 2768 ],
- "O": [ 2762 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30720
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 2226 ],
- "I2": [ 2750 ],
- "I3": [ 2749 ],
- "O": [ 2765 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2769 ],
- "I1": [ 2770 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2766 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2771 ],
- "I1": [ 2772 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2769 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2753 ],
- "I1": [ 2754 ],
- "I2": [ 2755 ],
- "I3": [ "0" ],
- "O": [ 2771 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2773 ],
- "I1": [ 2774 ],
- "I2": [ 2775 ],
- "I3": [ "0" ],
- "O": [ 2772 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2757 ],
- "I2": [ 1882 ],
- "I3": [ 2776 ],
- "O": [ 2773 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 1881 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2776 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 1841 ],
- "I2": [ 2777 ],
- "I3": [ "0" ],
- "O": [ 2774 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1844 ],
- "I2": [ 1882 ],
- "I3": [ 1846 ],
- "O": [ 2777 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 1848 ],
- "I2": [ 2778 ],
- "I3": [ 1850 ],
- "O": [ 2775 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34680
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 2226 ],
- "I2": [ 2779 ],
- "I3": [ 2780 ],
- "O": [ 2770 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2750 ],
- "I1": [ 1880 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 2779 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 2275 ],
- "I2": [ 1880 ],
- "I3": [ 2252 ],
- "O": [ 2780 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2751 ],
- "I1": [ 2752 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2767 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2758 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2768 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2759 ],
- "I1": [ 2760 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2763 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2781 ],
- "I1": [ 2782 ],
- "I2": [ 2783 ],
- "I3": [ "0" ],
- "O": [ 2784 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2765 ],
- "I1": [ 2766 ],
- "I2": [ 2767 ],
- "I3": [ 2768 ],
- "O": [ 2781 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2785 ],
- "I1": [ 2786 ],
- "I2": [ 2787 ],
- "I3": [ 2788 ],
- "O": [ 2782 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2769 ],
- "I1": [ 2770 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2785 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2789 ],
- "I1": [ 2790 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2786 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 2226 ],
- "I2": [ 2791 ],
- "I3": [ 2792 ],
- "O": [ 2789 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2780 ],
- "I2": [ 1877 ],
- "I3": [ 2793 ],
- "O": [ 2791 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2750 ],
- "I1": [ 1880 ],
- "I2": [ 2275 ],
- "I3": [ "0" ],
- "O": [ 2793 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 2275 ],
- "I2": [ 1877 ],
- "I3": [ 2252 ],
- "O": [ 2792 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2794 ],
- "I1": [ 2795 ],
- "I2": [ 2796 ],
- "I3": [ "0" ],
- "O": [ 2790 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 2780 ],
- "I2": [ 2779 ],
- "I3": [ "0" ],
- "O": [ 2794 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2773 ],
- "I1": [ 2774 ],
- "I2": [ 2775 ],
- "I3": [ "0" ],
- "O": [ 2795 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2797 ],
- "I1": [ 2798 ],
- "I2": [ 2799 ],
- "I3": [ "0" ],
- "O": [ 2796 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2777 ],
- "I2": [ 2739 ],
- "I3": [ 2800 ],
- "O": [ 2797 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2800 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 1841 ],
- "I2": [ 2801 ],
- "I3": [ "0" ],
- "O": [ 2798 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 1844 ],
- "I2": [ 2739 ],
- "I3": [ 1846 ],
- "O": [ 2801 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 1848 ],
- "I2": [ 2802 ],
- "I3": [ 1850 ],
- "O": [ 2799 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2771 ],
- "I1": [ 2772 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2787 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2778 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2788 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2767 ],
- "I1": [ 2768 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2783 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2803 ],
- "I1": [ 2804 ],
- "I2": [ 2805 ],
- "I3": [ "0" ],
- "O": [ 2806 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2807 ],
- "I1": [ 2808 ],
- "I2": [ 2809 ],
- "I3": [ "0" ],
- "O": [ 2803 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2810 ],
- "I1": [ 2811 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2807 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2812 ],
- "I1": [ 2813 ],
- "I2": [ 2814 ],
- "I3": [ "0" ],
- "O": [ 2810 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 2226 ],
- "I2": [ 2791 ],
- "I3": [ 2792 ],
- "O": [ 2812 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2797 ],
- "I1": [ 2798 ],
- "I2": [ 2799 ],
- "I3": [ "0" ],
- "O": [ 2813 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2815 ],
- "I1": [ 2816 ],
- "I2": [ 2817 ],
- "I3": [ "0" ],
- "O": [ 2814 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2801 ],
- "I2": [ 2758 ],
- "I3": [ 2818 ],
- "O": [ 2815 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2739 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2818 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 1841 ],
- "I2": [ 2819 ],
- "I3": [ "0" ],
- "O": [ 2816 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 1844 ],
- "I2": [ 2758 ],
- "I3": [ 1846 ],
- "O": [ 2819 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 1848 ],
- "I2": [ 2820 ],
- "I3": [ 1850 ],
- "O": [ 2817 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2226 ],
- "I2": [ 2821 ],
- "I3": [ 2822 ],
- "O": [ 2811 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2792 ],
- "I2": [ 1881 ],
- "I3": [ 2823 ],
- "O": [ 2821 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 1877 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2823 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 2275 ],
- "I2": [ 1881 ],
- "I3": [ 2252 ],
- "O": [ 2822 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2789 ],
- "I1": [ 2790 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2808 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2824 ],
- "I1": [ 2825 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2809 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2794 ],
- "I1": [ 2796 ],
- "I2": [ 2795 ],
- "I3": [ "0" ],
- "O": [ 2824 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 2802 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2825 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2785 ],
- "I1": [ 2786 ],
- "I2": [ 2787 ],
- "I3": [ 2788 ],
- "O": [ 2804 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2787 ],
- "I1": [ 2788 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2805 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2826 ],
- "I1": [ 2827 ],
- "I2": [ 2828 ],
- "I3": [ "0" ],
- "O": [ 2829 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2807 ],
- "I1": [ 2808 ],
- "I2": [ 2809 ],
- "I3": [ "0" ],
- "O": [ 2826 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2830 ],
- "I1": [ 2831 ],
- "I2": [ 2832 ],
- "I3": [ "0" ],
- "O": [ 2827 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2810 ],
- "I1": [ 2811 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2830 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2833 ],
- "I1": [ 2834 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2831 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2835 ],
- "I1": [ 2836 ],
- "I2": [ 2837 ],
- "I3": [ "0" ],
- "O": [ 2833 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2226 ],
- "I2": [ 2821 ],
- "I3": [ 2822 ],
- "O": [ 2835 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2815 ],
- "I1": [ 2816 ],
- "I2": [ 2817 ],
- "I3": [ "0" ],
- "O": [ 2836 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2838 ],
- "I1": [ 2839 ],
- "I2": [ 2840 ],
- "I3": [ "0" ],
- "O": [ 2837 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2819 ],
- "I2": [ 2778 ],
- "I3": [ 2841 ],
- "O": [ 2838 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2758 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2841 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 1841 ],
- "I2": [ 2842 ],
- "I3": [ "0" ],
- "O": [ 2839 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 1844 ],
- "I2": [ 2778 ],
- "I3": [ 1846 ],
- "O": [ 2842 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 1848 ],
- "I2": [ 2843 ],
- "I3": [ 1850 ],
- "O": [ 2840 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2226 ],
- "I2": [ 2844 ],
- "I3": [ 2845 ],
- "O": [ 2834 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2822 ],
- "I2": [ 1882 ],
- "I3": [ 2846 ],
- "O": [ 2844 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 1881 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2846 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 2275 ],
- "I2": [ 1882 ],
- "I3": [ 2252 ],
- "O": [ 2845 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2812 ],
- "I1": [ 2813 ],
- "I2": [ 2814 ],
- "I3": [ 2847 ],
- "O": [ 2832 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 2820 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2847 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2824 ],
- "I1": [ 2825 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2828 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2848 ],
- "I1": [ 2849 ],
- "I2": [ 2850 ],
- "I3": [ "0" ],
- "O": [ 2851 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2830 ],
- "I1": [ 2831 ],
- "I2": [ 2832 ],
- "I3": [ "0" ],
- "O": [ 2848 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2852 ],
- "I1": [ 2853 ],
- "I2": [ 2854 ],
- "I3": [ "0" ],
- "O": [ 2849 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2833 ],
- "I1": [ 2834 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2852 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2855 ],
- "I1": [ 2856 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2853 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2857 ],
- "I1": [ 2858 ],
- "I2": [ 2859 ],
- "I3": [ "0" ],
- "O": [ 2855 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2226 ],
- "I2": [ 2844 ],
- "I3": [ 2845 ],
- "O": [ 2857 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2838 ],
- "I1": [ 2839 ],
- "I2": [ 2840 ],
- "I3": [ "0" ],
- "O": [ 2858 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2860 ],
- "I1": [ 2861 ],
- "I2": [ 2862 ],
- "I3": [ "0" ],
- "O": [ 2859 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2842 ],
- "I2": [ 2802 ],
- "I3": [ 2863 ],
- "O": [ 2860 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2778 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2863 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 1841 ],
- "I2": [ 2864 ],
- "I3": [ "0" ],
- "O": [ 2861 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 1844 ],
- "I2": [ 2802 ],
- "I3": [ 1846 ],
- "O": [ 2864 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 1848 ],
- "I2": [ 2865 ],
- "I3": [ 1850 ],
- "O": [ 2862 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2226 ],
- "I2": [ 2866 ],
- "I3": [ 2867 ],
- "O": [ 2856 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2845 ],
- "I2": [ 2739 ],
- "I3": [ 2868 ],
- "O": [ 2866 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1882 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2868 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2275 ],
- "I2": [ 2739 ],
- "I3": [ 2252 ],
- "O": [ 2867 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2835 ],
- "I1": [ 2836 ],
- "I2": [ 2837 ],
- "I3": [ 2869 ],
- "O": [ 2854 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2843 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2869 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2812 ],
- "I1": [ 2813 ],
- "I2": [ 2814 ],
- "I3": [ 2847 ],
- "O": [ 2850 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2870 ],
- "I1": [ 2871 ],
- "I2": [ 2872 ],
- "I3": [ "0" ],
- "O": [ 2873 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2852 ],
- "I1": [ 2853 ],
- "I2": [ 2854 ],
- "I3": [ "0" ],
- "O": [ 2870 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2874 ],
- "I1": [ 2875 ],
- "I2": [ 2876 ],
- "I3": [ "0" ],
- "O": [ 2871 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2855 ],
- "I1": [ 2856 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2874 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2877 ],
- "I1": [ 2878 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2875 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2879 ],
- "I1": [ 2880 ],
- "I2": [ 2881 ],
- "I3": [ "0" ],
- "O": [ 2877 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2226 ],
- "I2": [ 2866 ],
- "I3": [ 2867 ],
- "O": [ 2879 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2860 ],
- "I1": [ 2861 ],
- "I2": [ 2862 ],
- "I3": [ "0" ],
- "O": [ 2880 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2882 ],
- "I1": [ 2883 ],
- "I2": [ 2884 ],
- "I3": [ "0" ],
- "O": [ 2881 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2864 ],
- "I2": [ 2820 ],
- "I3": [ 2885 ],
- "O": [ 2882 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 2802 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2885 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 1841 ],
- "I2": [ 2886 ],
- "I3": [ "0" ],
- "O": [ 2883 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 1844 ],
- "I2": [ 2820 ],
- "I3": [ 1846 ],
- "O": [ 2886 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 1848 ],
- "I2": [ 2887 ],
- "I3": [ 1850 ],
- "O": [ 2884 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 2226 ],
- "I2": [ 2888 ],
- "I3": [ 2889 ],
- "O": [ 2878 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2867 ],
- "I2": [ 2758 ],
- "I3": [ 2890 ],
- "O": [ 2888 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2739 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2890 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2275 ],
- "I2": [ 2758 ],
- "I3": [ 2252 ],
- "O": [ 2889 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2857 ],
- "I1": [ 2858 ],
- "I2": [ 2859 ],
- "I3": [ 2891 ],
- "O": [ 2876 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 2865 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2891 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2835 ],
- "I1": [ 2836 ],
- "I2": [ 2837 ],
- "I3": [ 2869 ],
- "O": [ 2872 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2892 ],
- "I1": [ 2893 ],
- "I2": [ 2894 ],
- "I3": [ "0" ],
- "O": [ 2895 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2874 ],
- "I1": [ 2875 ],
- "I2": [ 2876 ],
- "I3": [ "0" ],
- "O": [ 2892 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2896 ],
- "I1": [ 2897 ],
- "I2": [ 2898 ],
- "I3": [ "0" ],
- "O": [ 2893 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2877 ],
- "I1": [ 2878 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2896 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2899 ],
- "I1": [ 2900 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2897 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 2394 ],
- "I2": [ 2901 ],
- "I3": [ "0" ],
- "O": [ 2899 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2902 ],
- "I1": [ 2903 ],
- "I2": [ 2904 ],
- "I3": [ "0" ],
- "O": [ 2901 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2889 ],
- "I2": [ 2778 ],
- "I3": [ 2905 ],
- "O": [ 2902 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2758 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2905 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 2252 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2903 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2275 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2904 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2906 ],
- "I1": [ 2907 ],
- "I2": [ 2908 ],
- "I3": [ "0" ],
- "O": [ 2900 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 2226 ],
- "I2": [ 2888 ],
- "I3": [ 2889 ],
- "O": [ 2906 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2882 ],
- "I1": [ 2883 ],
- "I2": [ 2884 ],
- "I3": [ "0" ],
- "O": [ 2907 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2909 ],
- "I1": [ 2910 ],
- "I2": [ 2911 ],
- "I3": [ "0" ],
- "O": [ 2908 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2886 ],
- "I2": [ 2843 ],
- "I3": [ 2912 ],
- "O": [ 2909 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 2820 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2912 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 1846 ],
- "I2": [ 2913 ],
- "I3": [ "0" ],
- "O": [ 2910 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 2226 ],
- "I2": [ 2820 ],
- "I3": [ 1844 ],
- "O": [ 2913 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 1850 ],
- "I2": [ 2915 ],
- "I3": [ "0" ],
- "O": [ 2911 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 1841 ],
- "I2": [ 2887 ],
- "I3": [ 1848 ],
- "O": [ 2915 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2879 ],
- "I1": [ 2880 ],
- "I2": [ 2881 ],
- "I3": [ 2916 ],
- "O": [ 2898 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2887 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2916 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2857 ],
- "I1": [ 2858 ],
- "I2": [ 2859 ],
- "I3": [ 2891 ],
- "O": [ 2894 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2917 ],
- "I1": [ 2918 ],
- "I2": [ 2919 ],
- "I3": [ "0" ],
- "O": [ 2920 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2896 ],
- "I1": [ 2897 ],
- "I2": [ 2898 ],
- "I3": [ "0" ],
- "O": [ 2917 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2921 ],
- "I1": [ 2922 ],
- "I2": [ 2923 ],
- "I3": [ 2924 ],
- "O": [ 2918 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2899 ],
- "I1": [ 2900 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2921 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2925 ],
- "I1": [ 2926 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2922 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 2901 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2925 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 2394 ],
- "I2": [ 2927 ],
- "I3": [ "0" ],
- "O": [ 2926 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2226 ],
- "I2": [ 2928 ],
- "I3": [ 2929 ],
- "O": [ 2927 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6007
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2903 ],
- "I1": [ 2394 ],
- "I2": [ 2758 ],
- "I3": [ 2275 ],
- "O": [ 2928 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 2275 ],
- "I2": [ 2802 ],
- "I3": [ 2252 ],
- "O": [ 2929 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2930 ],
- "I1": [ 2931 ],
- "I2": [ 2932 ],
- "I3": [ "0" ],
- "O": [ 2923 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2902 ],
- "I1": [ 2903 ],
- "I2": [ 2904 ],
- "I3": [ "0" ],
- "O": [ 2930 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2909 ],
- "I1": [ 2910 ],
- "I2": [ 2911 ],
- "I3": [ "0" ],
- "O": [ 2931 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2933 ],
- "I1": [ 2934 ],
- "I2": [ 2935 ],
- "I3": [ "0" ],
- "O": [ 2932 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1846 ],
- "I1": [ 2913 ],
- "I2": [ 2843 ],
- "I3": [ 2936 ],
- "O": [ 2933 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 2820 ],
- "I2": [ 2226 ],
- "I3": [ 1844 ],
- "O": [ 2936 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 1841 ],
- "I2": [ 2937 ],
- "I3": [ "0" ],
- "O": [ 2934 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 1844 ],
- "I2": [ 2865 ],
- "I3": [ 1846 ],
- "O": [ 2937 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 1848 ],
- "I2": [ 2938 ],
- "I3": [ 1850 ],
- "O": [ 2935 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45645
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2906 ],
- "I1": [ 2907 ],
- "I2": [ 2908 ],
- "I3": [ 2939 ],
- "O": [ 2924 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1850 ],
- "I1": [ 2915 ],
- "I2": [ 2914 ],
- "I3": [ 2940 ],
- "O": [ 2939 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2887 ],
- "I2": [ 1841 ],
- "I3": [ 1848 ],
- "O": [ 2940 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2879 ],
- "I1": [ 2880 ],
- "I2": [ 2881 ],
- "I3": [ 2916 ],
- "O": [ 2919 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2941 ],
- "I1": [ 2942 ],
- "I2": [ 2943 ],
- "I3": [ "0" ],
- "O": [ 2944 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2945 ],
- "I1": [ 2946 ],
- "I2": [ 2947 ],
- "I3": [ "0" ],
- "O": [ 2941 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2948 ],
- "I1": [ 2949 ],
- "I2": [ 2950 ],
- "I3": [ "0" ],
- "O": [ 2945 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 2394 ],
- "I2": [ 2951 ],
- "I3": [ "0" ],
- "O": [ 2948 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 2226 ],
- "I2": [ 2952 ],
- "I3": [ 2953 ],
- "O": [ 2951 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2929 ],
- "I2": [ 2820 ],
- "I3": [ 2954 ],
- "O": [ 2952 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2903 ],
- "I1": [ 2802 ],
- "I2": [ 2275 ],
- "I3": [ "0" ],
- "O": [ 2954 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 2275 ],
- "I2": [ 2820 ],
- "I3": [ 2252 ],
- "O": [ 2953 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1880 ],
- "I1": [ 2927 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2949 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2955 ],
- "I1": [ 2956 ],
- "I2": [ 2957 ],
- "I3": [ "0" ],
- "O": [ 2950 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2226 ],
- "I2": [ 2928 ],
- "I3": [ 2929 ],
- "O": [ 2955 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2933 ],
- "I1": [ 2934 ],
- "I2": [ 2935 ],
- "I3": [ "0" ],
- "O": [ 2956 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2958 ],
- "I1": [ 2959 ],
- "I2": [ 2960 ],
- "I3": [ "0" ],
- "O": [ 2957 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2937 ],
- "I2": [ 2887 ],
- "I3": [ 2961 ],
- "O": [ 2958 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 2865 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2961 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 1841 ],
- "I2": [ 2962 ],
- "I3": [ "0" ],
- "O": [ 2959 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 1844 ],
- "I2": [ 2887 ],
- "I3": [ 1846 ],
- "O": [ 2962 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1848 ],
- "I1": [ 1850 ],
- "I2": [ 2938 ],
- "I3": [ "0" ],
- "O": [ 2960 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2925 ],
- "I1": [ 2926 ],
- "I2": [ 2923 ],
- "I3": [ "0" ],
- "O": [ 2946 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2930 ],
- "I1": [ 2931 ],
- "I2": [ 2932 ],
- "I3": [ 2963 ],
- "O": [ 2947 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 2938 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 2963 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2921 ],
- "I1": [ 2924 ],
- "I2": [ 2923 ],
- "I3": [ 2922 ],
- "O": [ 2942 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2906 ],
- "I1": [ 2907 ],
- "I2": [ 2908 ],
- "I3": [ 2939 ],
- "O": [ 2943 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2964 ],
- "I1": [ 2965 ],
- "I2": [ 2966 ],
- "I3": [ "0" ],
- "O": [ 2967 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2945 ],
- "I1": [ 2947 ],
- "I2": [ 2946 ],
- "I3": [ "0" ],
- "O": [ 2964 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2968 ],
- "I1": [ 2969 ],
- "I2": [ 2970 ],
- "I3": [ 2971 ],
- "O": [ 2965 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2948 ],
- "I1": [ 2949 ],
- "I2": [ 2950 ],
- "I3": [ "0" ],
- "O": [ 2968 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2972 ],
- "I1": [ 2973 ],
- "I2": [ 2974 ],
- "I3": [ "0" ],
- "O": [ 2969 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 2951 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2972 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2975 ],
- "I1": [ 2976 ],
- "I2": [ 2977 ],
- "I3": [ "0" ],
- "O": [ 2973 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 2226 ],
- "I2": [ 2952 ],
- "I3": [ 2953 ],
- "O": [ 2975 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2958 ],
- "I1": [ 2959 ],
- "I2": [ 2960 ],
- "I3": [ "0" ],
- "O": [ 2976 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2978 ],
- "I1": [ 2979 ],
- "I2": [ 2960 ],
- "I3": [ 2980 ],
- "O": [ 2977 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 2962 ],
- "I2": [ 2914 ],
- "I3": [ 2981 ],
- "O": [ 2978 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2887 ],
- "I2": [ 1844 ],
- "I3": [ 1846 ],
- "O": [ 2981 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 1844 ],
- "I2": [ 2982 ],
- "I3": [ "0" ],
- "O": [ 2979 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 1846 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2982 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 1841 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2980 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 2394 ],
- "I2": [ 2983 ],
- "I3": [ "0" ],
- "O": [ 2974 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2226 ],
- "I2": [ 2984 ],
- "I3": [ 2985 ],
- "O": [ 2983 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2953 ],
- "I2": [ 2843 ],
- "I3": [ 2986 ],
- "O": [ 2984 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 2820 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 2986 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2275 ],
- "I2": [ 2843 ],
- "I3": [ 2252 ],
- "O": [ 2985 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2955 ],
- "I1": [ 2957 ],
- "I2": [ 2956 ],
- "I3": [ "0" ],
- "O": [ 2970 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 1848 ],
- "I2": [ 1850 ],
- "I3": [ "0" ],
- "O": [ 2971 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2930 ],
- "I1": [ 2931 ],
- "I2": [ 2932 ],
- "I3": [ 2963 ],
- "O": [ 2966 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2987 ],
- "I1": [ 2988 ],
- "I2": [ 2989 ],
- "I3": [ "0" ],
- "O": [ 2990 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11186
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2968 ],
- "I1": [ 2969 ],
- "I2": [ 2970 ],
- "I3": [ 2971 ],
- "O": [ 2987 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2991 ],
- "I1": [ 2992 ],
- "I2": [ 2993 ],
- "I3": [ "0" ],
- "O": [ 2988 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2972 ],
- "I1": [ 2973 ],
- "I2": [ 2974 ],
- "I3": [ "0" ],
- "O": [ 2991 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2994 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2992 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2975 ],
- "I1": [ 2977 ],
- "I2": [ 2976 ],
- "I3": [ "0" ],
- "O": [ 2994 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2995 ],
- "I1": [ 2996 ],
- "I2": [ 2997 ],
- "I3": [ 2998 ],
- "O": [ 2993 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 2983 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 2995 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2394 ],
- "I2": [ 2999 ],
- "I3": [ "0" ],
- "O": [ 2996 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 2226 ],
- "I2": [ 3000 ],
- "I3": [ 3001 ],
- "O": [ 2999 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2985 ],
- "I2": [ 2865 ],
- "I3": [ 3002 ],
- "O": [ 3000 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2843 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 3002 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 2275 ],
- "I2": [ 2865 ],
- "I3": [ 2252 ],
- "O": [ 3001 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3003 ],
- "I1": [ 3004 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2997 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2226 ],
- "I2": [ 2984 ],
- "I3": [ 2985 ],
- "O": [ 3003 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3005 ],
- "I1": [ 3006 ],
- "I2": [ 2960 ],
- "I3": [ 2980 ],
- "O": [ 3004 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6007
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2980 ],
- "I1": [ 2982 ],
- "I2": [ 2887 ],
- "I3": [ 1844 ],
- "O": [ 3005 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 1846 ],
- "I2": [ 3007 ],
- "I3": [ "0" ],
- "O": [ 3006 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 1844 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3007 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2978 ],
- "I1": [ 2960 ],
- "I2": [ 2979 ],
- "I3": [ 2980 ],
- "O": [ 2998 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2970 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2989 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3008 ],
- "I1": [ 3009 ],
- "I2": [ 3010 ],
- "I3": [ "0" ],
- "O": [ 3011 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2991 ],
- "I1": [ 2992 ],
- "I2": [ 2993 ],
- "I3": [ "0" ],
- "O": [ 3008 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2994 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3009 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3012 ],
- "I1": [ 3013 ],
- "I2": [ 3014 ],
- "I3": [ "0" ],
- "O": [ 3010 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6001
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2995 ],
- "I1": [ 2996 ],
- "I2": [ 2997 ],
- "I3": [ 2998 ],
- "O": [ 3012 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3015 ],
- "I1": [ 3016 ],
- "I2": [ 3017 ],
- "I3": [ 3018 ],
- "O": [ 3013 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 2999 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 3015 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2394 ],
- "I2": [ 3019 ],
- "I3": [ "0" ],
- "O": [ 3016 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 2226 ],
- "I2": [ 3020 ],
- "I3": [ 3021 ],
- "O": [ 3019 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 3001 ],
- "I2": [ 2887 ],
- "I3": [ 3022 ],
- "O": [ 3020 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 2865 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 3022 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2275 ],
- "I2": [ 2887 ],
- "I3": [ 2252 ],
- "O": [ 3021 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3023 ],
- "I1": [ 3024 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3017 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 2226 ],
- "I2": [ 3000 ],
- "I3": [ 3001 ],
- "O": [ 3023 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3025 ],
- "I1": [ 2960 ],
- "I2": [ 2980 ],
- "I3": [ 3026 ],
- "O": [ 3024 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6143
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3007 ],
- "I1": [ 1846 ],
- "I2": [ 1841 ],
- "I3": [ 2938 ],
- "O": [ 3025 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1844 ],
- "I1": [ 1846 ],
- "I2": [ 2938 ],
- "I3": [ "0" ],
- "O": [ 3026 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3005 ],
- "I1": [ 2960 ],
- "I2": [ 3006 ],
- "I3": [ 2980 ],
- "O": [ 3018 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3027 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3014 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3003 ],
- "I1": [ 3004 ],
- "I2": [ 2998 ],
- "I3": [ "0" ],
- "O": [ 3027 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3028 ],
- "I1": [ 3029 ],
- "I2": [ 3030 ],
- "I3": [ "0" ],
- "O": [ 3031 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3012 ],
- "I1": [ 3013 ],
- "I2": [ 3014 ],
- "I3": [ "0" ],
- "O": [ 3028 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3032 ],
- "I1": [ 3033 ],
- "I2": [ 3034 ],
- "I3": [ 3035 ],
- "O": [ 3029 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6001
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3015 ],
- "I1": [ 3016 ],
- "I2": [ 3017 ],
- "I3": [ 3018 ],
- "O": [ 3032 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3036 ],
- "I1": [ 3037 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3033 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 3019 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 3036 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 2394 ],
- "I2": [ 3038 ],
- "I3": [ "0" ],
- "O": [ 3037 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 2226 ],
- "I2": [ 3039 ],
- "I3": [ 3040 ],
- "O": [ 3038 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 3021 ],
- "I2": [ 2914 ],
- "I3": [ 3041 ],
- "O": [ 3039 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2887 ],
- "I2": [ 2275 ],
- "I3": [ 2252 ],
- "O": [ 3041 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30856
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 2275 ],
- "I2": [ 2914 ],
- "I3": [ 2252 ],
- "O": [ 3040 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3043 ],
- "I2": [ 3044 ],
- "I3": [ "0" ],
- "O": [ 3034 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3045 ],
- "I1": [ 3046 ],
- "I2": [ 2960 ],
- "I3": [ "0" ],
- "O": [ 3042 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3025 ],
- "I1": [ 2980 ],
- "I2": [ 3026 ],
- "I3": [ "0" ],
- "O": [ 3045 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 511
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1841 ],
- "I1": [ 1844 ],
- "I2": [ 1846 ],
- "I3": [ 2938 ],
- "O": [ 3046 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 2226 ],
- "I2": [ 3020 ],
- "I3": [ 3021 ],
- "O": [ 3043 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3025 ],
- "I1": [ 2960 ],
- "I2": [ 2980 ],
- "I3": [ 3026 ],
- "O": [ 3044 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3047 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3035 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3023 ],
- "I1": [ 3024 ],
- "I2": [ 3018 ],
- "I3": [ "0" ],
- "O": [ 3047 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3027 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3030 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3048 ],
- "I1": [ 3049 ],
- "I2": [ 3050 ],
- "I3": [ "0" ],
- "O": [ 3051 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3052 ],
- "I1": [ 3053 ],
- "I2": [ 3054 ],
- "I3": [ "0" ],
- "O": [ 3048 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3034 ],
- "I1": [ 3036 ],
- "I2": [ 3037 ],
- "I3": [ "0" ],
- "O": [ 3052 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3055 ],
- "I1": [ 3056 ],
- "I2": [ 3057 ],
- "I3": [ "0" ],
- "O": [ 3053 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 2394 ],
- "I2": [ 3058 ],
- "I3": [ "0" ],
- "O": [ 3055 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 2226 ],
- "I2": [ 3059 ],
- "I3": [ 3060 ],
- "O": [ 3058 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 3040 ],
- "I2": [ 2938 ],
- "I3": [ 3061 ],
- "O": [ 3059 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3062 ],
- "I1": [ 2887 ],
- "I2": [ 2252 ],
- "I3": [ "0" ],
- "O": [ 3061 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3062 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 2252 ],
- "I2": [ 3062 ],
- "I3": [ "0" ],
- "O": [ 3060 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3063 ],
- "I2": [ 3064 ],
- "I3": [ "0" ],
- "O": [ 3056 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3046 ],
- "I1": [ 2960 ],
- "I2": [ 3045 ],
- "I3": [ "0" ],
- "O": [ 3063 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 2226 ],
- "I2": [ 3039 ],
- "I3": [ 3040 ],
- "O": [ 3064 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2758 ],
- "I1": [ 3038 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 3057 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3065 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3054 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3043 ],
- "I2": [ 3044 ],
- "I3": [ "0" ],
- "O": [ 3065 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3032 ],
- "I1": [ 3035 ],
- "I2": [ 3034 ],
- "I3": [ 3033 ],
- "O": [ 3049 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3047 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3050 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3066 ],
- "I1": [ 3067 ],
- "I2": [ 3068 ],
- "I3": [ "0" ],
- "O": [ 3069 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3052 ],
- "I1": [ 3053 ],
- "I2": [ 3054 ],
- "I3": [ "0" ],
- "O": [ 3066 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3070 ],
- "I1": [ 3071 ],
- "I2": [ 3072 ],
- "I3": [ "0" ],
- "O": [ 3067 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3055 ],
- "I1": [ 3056 ],
- "I2": [ 3057 ],
- "I3": [ "0" ],
- "O": [ 3070 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3073 ],
- "I1": [ 3074 ],
- "I2": [ 3075 ],
- "I3": [ "0" ],
- "O": [ 3071 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2778 ],
- "I1": [ 3058 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 3073 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3076 ],
- "I2": [ 3063 ],
- "I3": [ "0" ],
- "O": [ 3074 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1800
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 2226 ],
- "I2": [ 3059 ],
- "I3": [ 3060 ],
- "O": [ 3076 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 2394 ],
- "I2": [ 3077 ],
- "I3": [ "0" ],
- "O": [ 3075 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2938 ],
- "I1": [ 2226 ],
- "I2": [ 3078 ],
- "I3": [ 3079 ],
- "O": [ 3077 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6143
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3062 ],
- "I1": [ 2252 ],
- "I2": [ 2226 ],
- "I3": [ 2938 ],
- "O": [ 3078 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2275 ],
- "I1": [ 2252 ],
- "I2": [ 2938 ],
- "I3": [ "0" ],
- "O": [ 3079 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3080 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3072 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3064 ],
- "I2": [ 3063 ],
- "I3": [ "0" ],
- "O": [ 3080 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3065 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3068 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3081 ],
- "I1": [ 3082 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3084 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3070 ],
- "I1": [ 3071 ],
- "I2": [ 3072 ],
- "I3": [ "0" ],
- "O": [ 3081 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3085 ],
- "I1": [ 3086 ],
- "I2": [ 3087 ],
- "I3": [ 3088 ],
- "O": [ 3082 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3073 ],
- "I1": [ 3074 ],
- "I2": [ 3075 ],
- "I3": [ "0" ],
- "O": [ 3085 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3089 ],
- "I1": [ 3090 ],
- "I2": [ 3091 ],
- "I3": [ "0" ],
- "O": [ 3086 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2802 ],
- "I1": [ 3077 ],
- "I2": [ 2394 ],
- "I3": [ "0" ],
- "O": [ 3089 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3092 ],
- "I1": [ 3093 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3090 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3078 ],
- "I1": [ 3079 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 3092 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 511
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2226 ],
- "I1": [ 2275 ],
- "I2": [ 2252 ],
- "I3": [ 2938 ],
- "O": [ 3093 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3091 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3063 ],
- "I2": [ 3092 ],
- "I3": [ "0" ],
- "O": [ 3087 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29070
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3076 ],
- "I2": [ 3063 ],
- "I3": [ 2971 ],
- "O": [ 3088 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3080 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3083 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3094 ],
- "I1": [ 3095 ],
- "I2": [ 3096 ],
- "I3": [ "0" ],
- "O": [ 3097 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3085 ],
- "I1": [ 3088 ],
- "I2": [ 3087 ],
- "I3": [ 3086 ],
- "O": [ 3094 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3098 ],
- "I1": [ 3099 ],
- "I2": [ 3100 ],
- "I3": [ "0" ],
- "O": [ 3095 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28951
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3087 ],
- "I1": [ 3089 ],
- "I2": [ 3090 ],
- "I3": [ 3091 ],
- "O": [ 3098 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3091 ],
- "I1": [ 3090 ],
- "I2": [ 3087 ],
- "I3": [ 3101 ],
- "O": [ 3099 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2843 ],
- "I1": [ 2394 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3101 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3102 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3100 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3092 ],
- "I2": [ 3063 ],
- "I3": [ "0" ],
- "O": [ 3102 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3042 ],
- "I1": [ 3063 ],
- "I2": [ 3076 ],
- "I3": [ 2971 ],
- "O": [ 3096 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3103 ],
- "I1": [ 3104 ],
- "I2": [ 3105 ],
- "I3": [ "0" ],
- "O": [ 3106 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3098 ],
- "I1": [ 3099 ],
- "I2": [ 3100 ],
- "I3": [ "0" ],
- "O": [ 3103 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3100 ],
- "I1": [ 3107 ],
- "I2": [ 3108 ],
- "I3": [ "0" ],
- "O": [ 3104 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29983
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3087 ],
- "I1": [ 3091 ],
- "I2": [ 3090 ],
- "I3": [ 3101 ],
- "O": [ 3107 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2394 ],
- "I2": [ 3087 ],
- "I3": [ 3109 ],
- "O": [ 3108 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3101 ],
- "I1": [ 3090 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3109 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3102 ],
- "I1": [ 2971 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3105 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19924
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3110 ],
- "I1": [ 3105 ],
- "I2": [ 3111 ],
- "I3": [ 3100 ],
- "O": [ 3112 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3113 ],
- "I1": [ 3114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3111 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 3090 ],
- "I2": [ 3101 ],
- "I3": [ 3115 ],
- "O": [ 3113 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46080
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2394 ],
- "I2": [ 3109 ],
- "I3": [ 3087 ],
- "O": [ 3115 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3087 ],
- "I1": [ 3116 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3114 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27663
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2887 ],
- "I2": [ 3090 ],
- "I3": [ 2394 ],
- "O": [ 3116 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3100 ],
- "I1": [ 3108 ],
- "I2": [ 3107 ],
- "I3": [ "0" ],
- "O": [ 3110 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3117 ],
- "I1": [ 3118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3119 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3116 ],
- "I1": [ 3087 ],
- "I2": [ 3120 ],
- "I3": [ "0" ],
- "O": [ 3117 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 3090 ],
- "I2": [ 2887 ],
- "I3": [ 2394 ],
- "O": [ 3120 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3087 ],
- "I1": [ 3121 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3118 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 34764
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 3090 ],
- "I2": [ 2914 ],
- "I3": [ 2394 ],
- "O": [ 3121 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3122 ],
- "I1": [ 3123 ],
- "I2": [ 3100 ],
- "I3": [ "0" ],
- "O": [ 3124 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3100 ],
- "I1": [ 3118 ],
- "I2": [ 3117 ],
- "I3": [ "0" ],
- "O": [ 3122 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2725 ],
- "I1": [ 2726 ],
- "I2": [ 2727 ],
- "I3": [ "0" ],
- "O": [ 3125 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2742 ],
- "I1": [ 2743 ],
- "I2": [ 2744 ],
- "I3": [ "0" ],
- "O": [ 3126 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2761 ],
- "I1": [ 2762 ],
- "I2": [ 2763 ],
- "I3": [ "0" ],
- "O": [ 3127 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2781 ],
- "I1": [ 2782 ],
- "I2": [ 2783 ],
- "I3": [ "0" ],
- "O": [ 3128 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2803 ],
- "I1": [ 2804 ],
- "I2": [ 2805 ],
- "I3": [ "0" ],
- "O": [ 3129 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2826 ],
- "I1": [ 2827 ],
- "I2": [ 2828 ],
- "I3": [ "0" ],
- "O": [ 3130 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2848 ],
- "I1": [ 2849 ],
- "I2": [ 2850 ],
- "I3": [ "0" ],
- "O": [ 3131 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2870 ],
- "I1": [ 2871 ],
- "I2": [ 2872 ],
- "I3": [ "0" ],
- "O": [ 3132 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2892 ],
- "I1": [ 2893 ],
- "I2": [ 2894 ],
- "I3": [ "0" ],
- "O": [ 3133 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2917 ],
- "I1": [ 2918 ],
- "I2": [ 2919 ],
- "I3": [ "0" ],
- "O": [ 3134 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2941 ],
- "I1": [ 2942 ],
- "I2": [ 2943 ],
- "I3": [ "0" ],
- "O": [ 3135 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2964 ],
- "I1": [ 2965 ],
- "I2": [ 2966 ],
- "I3": [ "0" ],
- "O": [ 3136 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2987 ],
- "I1": [ 2988 ],
- "I2": [ 2989 ],
- "I3": [ "0" ],
- "O": [ 3137 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3008 ],
- "I1": [ 3009 ],
- "I2": [ 3010 ],
- "I3": [ "0" ],
- "O": [ 3138 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3028 ],
- "I1": [ 3029 ],
- "I2": [ 3030 ],
- "I3": [ "0" ],
- "O": [ 3139 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3048 ],
- "I1": [ 3049 ],
- "I2": [ 3050 ],
- "I3": [ "0" ],
- "O": [ 3140 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3066 ],
- "I1": [ 3067 ],
- "I2": [ 3068 ],
- "I3": [ "0" ],
- "O": [ 3141 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3081 ],
- "I1": [ 3082 ],
- "I2": [ 3083 ],
- "I3": [ "0" ],
- "O": [ 3142 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3094 ],
- "I1": [ 3095 ],
- "I2": [ 3096 ],
- "I3": [ "0" ],
- "O": [ 3143 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3103 ],
- "I1": [ 3104 ],
- "I2": [ 3105 ],
- "I3": [ "0" ],
- "O": [ 3144 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3111 ],
- "I1": [ 3110 ],
- "I2": [ 3105 ],
- "I3": [ 3100 ],
- "O": [ 3145 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3146 ],
- "I1": [ 3105 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3147 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57720
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3113 ],
- "I1": [ 3100 ],
- "I2": [ 3119 ],
- "I3": [ 3114 ],
- "O": [ 3146 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65512
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3122 ],
- "I1": [ 3123 ],
- "I2": [ 3100 ],
- "I3": [ 3105 ],
- "O": [ 3148 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3123 ],
- "I1": [ 3105 ],
- "I2": [ 3100 ],
- "I3": [ "0" ],
- "O": [ 3149 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1869 ],
- "I1": [ 1867 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3150 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 399 ],
- "I1": [ 400 ],
- "I2": [ 401 ],
- "I3": [ "0" ],
- "O": [ 3151 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2030 ],
- "I1": [ 2058 ],
- "I2": [ 2086 ],
- "I3": [ "0" ],
- "O": [ 3152 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2032 ],
- "I1": [ 2060 ],
- "I2": [ 2088 ],
- "I3": [ "0" ],
- "O": [ 3153 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2034 ],
- "I1": [ 2062 ],
- "I2": [ 2090 ],
- "I3": [ "0" ],
- "O": [ 3154 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2036 ],
- "I1": [ 2064 ],
- "I2": [ 2092 ],
- "I3": [ "0" ],
- "O": [ 3155 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2038 ],
- "I1": [ 2066 ],
- "I2": [ 2094 ],
- "I3": [ "0" ],
- "O": [ 3156 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2040 ],
- "I1": [ 2068 ],
- "I2": [ 2096 ],
- "I3": [ "0" ],
- "O": [ 3157 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2042 ],
- "I1": [ 2070 ],
- "I2": [ 2098 ],
- "I3": [ "0" ],
- "O": [ 3158 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2044 ],
- "I1": [ 2072 ],
- "I2": [ 2100 ],
- "I3": [ "0" ],
- "O": [ 3159 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2046 ],
- "I1": [ 2074 ],
- "I2": [ 2102 ],
- "I3": [ "0" ],
- "O": [ 3160 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2048 ],
- "I1": [ 2076 ],
- "I2": [ 2104 ],
- "I3": [ "0" ],
- "O": [ 3161 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2050 ],
- "I1": [ 2078 ],
- "I2": [ 2106 ],
- "I3": [ "0" ],
- "O": [ 3162 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2052 ],
- "I1": [ 2080 ],
- "I2": [ 2108 ],
- "I3": [ "0" ],
- "O": [ 3163 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2054 ],
- "I1": [ 2082 ],
- "I2": [ 2110 ],
- "I3": [ "0" ],
- "O": [ 3164 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 316 ],
- "I1": [ 317 ],
- "I2": [ 318 ],
- "I3": [ "0" ],
- "O": [ 3165 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2030 ],
- "I1": [ 2058 ],
- "I2": [ 2086 ],
- "I3": [ "0" ],
- "O": [ 3166 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2032 ],
- "I1": [ 2060 ],
- "I2": [ 2088 ],
- "I3": [ "0" ],
- "O": [ 3167 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2034 ],
- "I1": [ 2062 ],
- "I2": [ 2090 ],
- "I3": [ "0" ],
- "O": [ 3168 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2036 ],
- "I1": [ 2064 ],
- "I2": [ 2092 ],
- "I3": [ "0" ],
- "O": [ 3169 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2038 ],
- "I1": [ 2066 ],
- "I2": [ 2094 ],
- "I3": [ "0" ],
- "O": [ 3170 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2040 ],
- "I1": [ 2068 ],
- "I2": [ 2096 ],
- "I3": [ "0" ],
- "O": [ 3171 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2042 ],
- "I1": [ 2070 ],
- "I2": [ 2098 ],
- "I3": [ "0" ],
- "O": [ 3172 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2044 ],
- "I1": [ 2072 ],
- "I2": [ 2100 ],
- "I3": [ "0" ],
- "O": [ 3173 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2046 ],
- "I1": [ 2074 ],
- "I2": [ 2102 ],
- "I3": [ "0" ],
- "O": [ 3174 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2048 ],
- "I1": [ 2076 ],
- "I2": [ 2104 ],
- "I3": [ "0" ],
- "O": [ 3175 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2050 ],
- "I1": [ 2078 ],
- "I2": [ 2106 ],
- "I3": [ "0" ],
- "O": [ 3176 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2052 ],
- "I1": [ 2080 ],
- "I2": [ 2108 ],
- "I3": [ "0" ],
- "O": [ 3177 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2054 ],
- "I1": [ 2082 ],
- "I2": [ 2110 ],
- "I3": [ "0" ],
- "O": [ 3178 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2056 ],
- "I1": [ 2084 ],
- "I2": [ 2112 ],
- "I3": [ "0" ],
- "O": [ 3179 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 355 ],
- "I1": [ 510 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3180 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 357 ],
- "I1": [ 512 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3181 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 355 ],
- "I1": [ 510 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3182 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 357 ],
- "I1": [ 512 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3183 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 359 ],
- "I1": [ 515 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3184 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 516 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3185 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ 517 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3186 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 356 ],
- "I1": [ 511 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3187 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 513 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3188 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 369 ],
- "I1": [ 506 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3189 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 507 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3190 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 371 ],
- "I1": [ 508 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3191 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 509 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3192 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 365 ],
- "I1": [ 524 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3193 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 525 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3194 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 367 ],
- "I1": [ 526 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3195 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 527 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3196 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 520 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3197 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 521 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3198 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 522 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3199 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 523 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3200 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 530 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3201 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 531 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3202 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 532 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3203 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 496 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3204 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 533 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3205 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 534 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3206 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 535 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3207 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 514 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3208 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 516 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3209 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ 517 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3210 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 356 ],
- "I1": [ 511 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3211 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 513 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3212 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 369 ],
- "I1": [ 506 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3213 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 507 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3214 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 371 ],
- "I1": [ 508 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3215 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 509 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3216 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 365 ],
- "I1": [ 524 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3217 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 525 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3218 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 367 ],
- "I1": [ 526 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3219 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 527 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3220 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 520 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3221 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 521 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3222 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 522 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3223 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 523 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3224 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 530 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3225 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 531 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3226 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 532 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3227 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 496 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3228 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 533 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3229 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 534 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3230 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 535 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3231 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ 536 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3232 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ 6 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3233 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3234 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 29 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3235 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3236 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3237 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3238 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 301 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3239 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 676 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3240 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3241 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 921 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3242 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 914 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3243 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 903 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3244 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3245 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 340 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3246 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 339 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3247 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3248 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3249 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3250 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 343 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3251 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 342 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3252 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3253 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 330 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3254 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 329 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3255 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3256 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 334 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3257 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3258 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 332 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3259 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 319 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3260 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 407 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3261 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3262 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 405 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3263 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3264 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3265 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3266 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3267 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3268 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3269 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 415 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3270 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3271 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3272 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 398 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3273 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 397 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3274 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 396 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3275 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3276 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 394 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3277 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 393 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3278 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 392 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3279 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3280 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 387 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3281 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 386 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3282 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3283 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3284 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 390 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3285 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 389 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3286 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 388 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3287 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 373 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3288 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 368 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3289 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 367 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3290 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3291 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 365 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3292 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3293 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 371 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3294 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3295 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 369 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3296 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3297 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 357 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3298 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 356 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3299 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 355 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3300 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3301 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3302 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 359 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3303 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3304 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3305 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3306 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 443 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3307 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3308 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 442 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3309 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3310 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3311 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3312 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3313 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 432 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3314 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 431 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3315 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3316 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 437 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3317 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 436 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3318 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3319 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3320 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3321 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 536 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3322 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 535 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3323 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 534 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3324 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 496 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3325 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 532 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3326 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 531 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3327 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3328 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3329 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3330 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3331 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 520 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3332 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3333 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 526 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3334 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3335 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3336 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3337 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3338 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 507 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3339 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 506 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3340 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 513 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3341 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3342 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3343 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 510 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3344 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 517 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3345 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3346 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 515 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3347 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3348 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 899 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3349 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 898 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3350 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 897 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3351 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 896 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3352 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3353 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1598 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3354 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3355 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1600 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3356 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1601 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3357 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1596 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3358 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1597 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3359 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3360 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3361 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3362 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1367 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3363 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3364 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3365 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 477 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3366 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 664 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3367 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1370 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3368 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3369 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1959 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3370 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1399 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3371 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 631 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3372 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 640 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3373 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1418 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3374 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 130 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3375 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 741 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3376 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3377 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 284 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3378 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 285 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3379 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 267 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3380 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3381 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1441 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3382 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 916 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3383 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1602 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3384 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1966 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3385 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1398 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3386 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1978 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3387 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1051 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3388 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 919 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3389 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1980 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3390 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3391 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3392 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1989 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3393 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1990 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3394 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3395 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3396 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3397 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1191 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3398 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3399 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1205 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3400 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3401 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1233 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3402 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1423 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3403 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1430 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3404 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1420 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3405 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1416 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3406 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 901 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3407 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 912 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3408 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 933 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3409 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 420 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3410 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 411 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3411 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 412 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3412 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 892 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3413 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 894 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3414 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3415 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3416 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3417 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3418 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3419 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3420 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3421 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3422 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3423 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3424 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3425 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3426 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3427 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3428 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3429 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3430 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3431 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3432 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3433 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3434 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3435 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3436 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3437 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3438 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3439 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3440 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3441 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3442 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3443 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3444 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3445 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3446 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3447 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1373 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3448 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1358 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3449 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3450 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3451 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3452 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1376 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3453 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3454 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1352 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3455 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1364 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3456 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1354 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3457 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2143 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3458 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2144 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3459 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2169 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3460 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2170 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3461 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2171 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3462 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2172 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3463 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2173 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3464 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2174 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3465 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2175 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3466 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2176 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3467 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2177 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3468 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2178 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3469 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2179 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3470 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2180 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3471 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2181 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3472 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2182 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3473 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2183 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3474 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2184 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3475 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2185 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3476 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2186 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3477 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2187 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3478 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2188 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3479 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2189 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3480 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2190 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3481 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2191 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3482 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2192 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3483 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 85 ],
- "I2": [ 84 ],
- "I3": [ 93 ],
- "O": [ 3484 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45311
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 3484 ],
- "I2": [ 54 ],
- "I3": [ 88 ],
- "O": [ 3485 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 3485 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 42 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 78 ],
- "I2": [ 53 ],
- "I3": [ 66 ],
- "O": [ 3486 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 88 ],
- "I2": [ 3486 ],
- "I3": [ "0" ],
- "O": [ 159 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1367 ],
- "I1": [ 3487 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3488 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 853 ],
- "I2": [ 1398 ],
- "I3": [ 3488 ],
- "O": [ 1817 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1354 ],
- "I1": [ 1379 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3489 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 1355 ],
- "I2": [ 1398 ],
- "I3": [ 3489 ],
- "O": [ 1818 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1364 ],
- "I1": [ 3490 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3491 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1365 ],
- "I2": [ 1398 ],
- "I3": [ 3491 ],
- "O": [ 1819 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1352 ],
- "I1": [ 1382 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3492 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ 1357 ],
- "I2": [ 1398 ],
- "I3": [ 3492 ],
- "O": [ 1820 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ 1381 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3493 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1358 ],
- "I1": [ 1372 ],
- "I2": [ 1398 ],
- "I3": [ 3493 ],
- "O": [ 1814 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1376 ],
- "I1": [ 3494 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3495 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1373 ],
- "I1": [ 1377 ],
- "I2": [ 1398 ],
- "I3": [ 3495 ],
- "O": [ 1815 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1370 ],
- "I1": [ 1749 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3496 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ 1374 ],
- "I2": [ 1398 ],
- "I3": [ 3496 ],
- "O": [ 1816 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 695 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3497 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 711 ],
- "I2": [ 1398 ],
- "I3": [ 3497 ],
- "O": [ 1809 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5185
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 3498 ],
- "I2": [ 3499 ],
- "I3": [ 3500 ],
- "O": [ 3501 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51967
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 638 ],
- "I1": [ 3501 ],
- "I2": [ 605 ],
- "I3": [ 593 ],
- "O": [ 3502 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 796 ],
- "I1": [ 160 ],
- "I2": [ 731 ],
- "I3": [ 162 ],
- "O": [ 3503 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 814 ],
- "I2": [ 816 ],
- "I3": [ 3503 ],
- "O": [ 3504 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1367 ],
- "I1": [ 3487 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3505 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 853 ],
- "I2": [ 1602 ],
- "I3": [ 3505 ],
- "O": [ 1598 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1354 ],
- "I1": [ 1379 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3506 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 1355 ],
- "I2": [ 1602 ],
- "I3": [ 3506 ],
- "O": [ 1599 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1364 ],
- "I1": [ 3490 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3507 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1365 ],
- "I2": [ 1602 ],
- "I3": [ 3507 ],
- "O": [ 1600 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1352 ],
- "I1": [ 1382 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3508 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ 1357 ],
- "I2": [ 1602 ],
- "I3": [ 3508 ],
- "O": [ 1601 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ 1381 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3509 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1358 ],
- "I1": [ 1372 ],
- "I2": [ 1602 ],
- "I3": [ 3509 ],
- "O": [ 1596 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1376 ],
- "I1": [ 3494 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3510 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1373 ],
- "I1": [ 1377 ],
- "I2": [ 1602 ],
- "I3": [ 3510 ],
- "O": [ 1597 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1370 ],
- "I1": [ 1749 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3511 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ 1374 ],
- "I2": [ 1602 ],
- "I3": [ 3511 ],
- "O": [ 1241 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 695 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3512 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 711 ],
- "I2": [ 1602 ],
- "I3": [ 3512 ],
- "O": [ 1236 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 809 ],
- "I2": [ 813 ],
- "I3": [ 182 ],
- "O": [ 3513 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 3513 ],
- "I2": [ 1022 ],
- "I3": [ 1019 ],
- "O": [ 3514 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 711 ],
- "I1": [ 695 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3515 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 704 ],
- "I2": [ 676 ],
- "I3": [ 3515 ],
- "O": [ 1288 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1374 ],
- "I1": [ 1749 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3516 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ 1370 ],
- "I2": [ 676 ],
- "I3": [ 3516 ],
- "O": [ 1293 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1352 ],
- "I1": [ 3490 ],
- "I2": [ 1354 ],
- "I3": [ 3487 ],
- "O": [ 3517 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3494 ],
- "I1": [ 3490 ],
- "I2": [ 1351 ],
- "I3": [ 1369 ],
- "O": [ 3518 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24576
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3487 ],
- "I1": [ 1353 ],
- "I2": [ 855 ],
- "I3": [ 852 ],
- "O": [ 3519 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 49151
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1380 ],
- "I1": [ 3519 ],
- "I2": [ 3518 ],
- "I3": [ 1383 ],
- "O": [ 3520 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3520 ],
- "I1": [ 3521 ],
- "I2": [ 1349 ],
- "I3": [ "0" ],
- "O": [ 1344 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1390 ],
- "I1": [ 1392 ],
- "I2": [ 1393 ],
- "I3": [ 1396 ],
- "O": [ 3522 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 144
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1390 ],
- "I1": [ 1391 ],
- "I2": [ 3522 ],
- "I3": [ "0" ],
- "O": [ 3523 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16386
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 936 ],
- "I1": [ 915 ],
- "I2": [ 922 ],
- "I3": [ 929 ],
- "O": [ 3524 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24576
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 936 ],
- "I1": [ 904 ],
- "I2": [ 3523 ],
- "I3": [ 3524 ],
- "O": [ 1387 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 933 ],
- "I1": [ 3525 ],
- "I2": [ 3526 ],
- "I3": [ 1430 ],
- "O": [ 3527 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 919 ],
- "I1": [ 3528 ],
- "I2": [ 3529 ],
- "I3": [ 3527 ],
- "O": [ 1403 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 901 ],
- "I1": [ 3530 ],
- "I2": [ 1417 ],
- "I3": [ 1423 ],
- "O": [ 3531 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 919 ],
- "I1": [ 1430 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3532 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4104
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3532 ],
- "I1": [ 1421 ],
- "I2": [ 1419 ],
- "I3": [ 1417 ],
- "O": [ 3533 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1377 ],
- "I1": [ 3494 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3534 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1373 ],
- "I1": [ 1376 ],
- "I2": [ 676 ],
- "I3": [ 3534 ],
- "O": [ 1483 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1365 ],
- "I1": [ 3490 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3535 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1364 ],
- "I2": [ 676 ],
- "I3": [ 3535 ],
- "O": [ 1481 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1357 ],
- "I1": [ 1382 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3536 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ 1352 ],
- "I2": [ 676 ],
- "I3": [ 3536 ],
- "O": [ 1482 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1372 ],
- "I1": [ 1381 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3537 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1358 ],
- "I1": [ 1371 ],
- "I2": [ 676 ],
- "I3": [ 3537 ],
- "O": [ 1480 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1355 ],
- "I1": [ 1379 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3538 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 1354 ],
- "I2": [ 676 ],
- "I3": [ 3538 ],
- "O": [ 1484 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 853 ],
- "I1": [ 3487 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3539 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 1367 ],
- "I2": [ 676 ],
- "I3": [ 3539 ],
- "O": [ 1485 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64192
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1565 ],
- "I1": [ 922 ],
- "I2": [ 1569 ],
- "I3": [ 786 ],
- "O": [ 3540 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62912
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1559 ],
- "I1": [ 780 ],
- "I2": [ 1572 ],
- "I3": [ 1569 ],
- "O": [ 3541 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1845 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 3542 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6144
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1841 ],
- "I2": [ 1855 ],
- "I3": [ 3542 ],
- "O": [ 1831 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 1880 ],
- "I2": [ 1848 ],
- "I3": [ 1850 ],
- "O": [ 3543 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6144
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1877 ],
- "I1": [ 1841 ],
- "I2": [ 1887 ],
- "I3": [ 3543 ],
- "O": [ 1868 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3544 ],
- "I1": [ 3545 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3546 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3547 ],
- "I1": [ 3548 ],
- "I2": [ 1398 ],
- "I3": [ 3546 ],
- "O": [ 3549 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3550 ],
- "I1": [ 3551 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3552 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3553 ],
- "I1": [ 3554 ],
- "I2": [ 1398 ],
- "I3": [ 3552 ],
- "O": [ 3555 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3556 ],
- "I1": [ 3557 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3558 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3559 ],
- "I1": [ 3560 ],
- "I2": [ 1398 ],
- "I3": [ 3558 ],
- "O": [ 3561 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3562 ],
- "I1": [ 3563 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3564 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3565 ],
- "I1": [ 3566 ],
- "I2": [ 1398 ],
- "I3": [ 3564 ],
- "O": [ 3567 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3568 ],
- "I1": [ 3569 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3570 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3571 ],
- "I1": [ 3572 ],
- "I2": [ 1398 ],
- "I3": [ 3570 ],
- "O": [ 3573 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3574 ],
- "I1": [ 3575 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3576 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3577 ],
- "I1": [ 3578 ],
- "I2": [ 1398 ],
- "I3": [ 3576 ],
- "O": [ 3579 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3580 ],
- "I1": [ 3581 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3582 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3583 ],
- "I1": [ 3584 ],
- "I2": [ 1398 ],
- "I3": [ 3582 ],
- "O": [ 3585 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3586 ],
- "I1": [ 3587 ],
- "I2": [ 1398 ],
- "I3": [ 1399 ],
- "O": [ 3588 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3589 ],
- "I1": [ 3590 ],
- "I2": [ 1398 ],
- "I3": [ 3588 ],
- "O": [ 3591 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3548 ],
- "I1": [ 3545 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3592 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3547 ],
- "I1": [ 3544 ],
- "I2": [ 676 ],
- "I3": [ 3592 ],
- "O": [ 3593 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3554 ],
- "I1": [ 3551 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3594 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3553 ],
- "I1": [ 3550 ],
- "I2": [ 676 ],
- "I3": [ 3594 ],
- "O": [ 3595 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3560 ],
- "I1": [ 3557 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3596 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3559 ],
- "I1": [ 3556 ],
- "I2": [ 676 ],
- "I3": [ 3596 ],
- "O": [ 3597 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3566 ],
- "I1": [ 3563 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3598 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3565 ],
- "I1": [ 3562 ],
- "I2": [ 676 ],
- "I3": [ 3598 ],
- "O": [ 3599 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3572 ],
- "I1": [ 3569 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3600 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3571 ],
- "I1": [ 3568 ],
- "I2": [ 676 ],
- "I3": [ 3600 ],
- "O": [ 3601 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3578 ],
- "I1": [ 3575 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3602 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3577 ],
- "I1": [ 3574 ],
- "I2": [ 676 ],
- "I3": [ 3602 ],
- "O": [ 3603 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3584 ],
- "I1": [ 3581 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3604 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3583 ],
- "I1": [ 3580 ],
- "I2": [ 676 ],
- "I3": [ 3604 ],
- "O": [ 3605 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3590 ],
- "I1": [ 3587 ],
- "I2": [ 676 ],
- "I3": [ 677 ],
- "O": [ 3606 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3589 ],
- "I1": [ 3586 ],
- "I2": [ 676 ],
- "I3": [ 3606 ],
- "O": [ 3607 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 64 ],
- "I2": [ 1961 ],
- "I3": [ 96 ],
- "O": [ 3608 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 1960 ],
- "I2": [ 3608 ],
- "I3": [ 95 ],
- "O": [ 3609 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3544 ],
- "I1": [ 3545 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3610 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3547 ],
- "I1": [ 3548 ],
- "I2": [ 1602 ],
- "I3": [ 3610 ],
- "O": [ 1974 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3550 ],
- "I1": [ 3551 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3611 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3553 ],
- "I1": [ 3554 ],
- "I2": [ 1602 ],
- "I3": [ 3611 ],
- "O": [ 1975 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 1241 ],
- "I2": [ 1597 ],
- "I3": [ 1239 ],
- "O": [ 3612 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1236 ],
- "I1": [ 1262 ],
- "I2": [ 1600 ],
- "I3": [ 3612 ],
- "O": [ 3613 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24576
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1272 ],
- "I1": [ 1599 ],
- "I2": [ 2113 ],
- "I3": [ 3613 ],
- "O": [ 3614 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 55040
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3614 ],
- "I1": [ 1284 ],
- "I2": [ 1598 ],
- "I3": [ 2115 ],
- "O": [ 3615 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2448
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1289 ],
- "I1": [ 1293 ],
- "I2": [ 1292 ],
- "I3": [ 1483 ],
- "O": [ 3616 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5120
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1305 ],
- "I2": [ 1482 ],
- "I3": [ 3616 ],
- "O": [ 3617 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1299 ],
- "I1": [ 1313 ],
- "I2": [ 1481 ],
- "I3": [ 1480 ],
- "O": [ 3618 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24576
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1323 ],
- "I1": [ 1484 ],
- "I2": [ 3617 ],
- "I3": [ 3618 ],
- "O": [ 2121 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2434 ],
- "I1": [ 2382 ],
- "I2": [ 2585 ],
- "I3": [ 2394 ],
- "O": [ 3619 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19892
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2615 ],
- "I1": [ 2582 ],
- "I2": [ 3619 ],
- "I3": [ 2585 ],
- "O": [ 2616 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3568 ],
- "I1": [ 3569 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3620 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3571 ],
- "I1": [ 3572 ],
- "I2": [ 1602 ],
- "I3": [ 3620 ],
- "O": [ 2699 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3562 ],
- "I1": [ 3563 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3621 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3565 ],
- "I1": [ 3566 ],
- "I2": [ 1602 ],
- "I3": [ 3621 ],
- "O": [ 2700 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3556 ],
- "I1": [ 3557 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3622 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3559 ],
- "I1": [ 3560 ],
- "I2": [ 1602 ],
- "I3": [ 3622 ],
- "O": [ 2707 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3574 ],
- "I1": [ 3575 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3623 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3577 ],
- "I1": [ 3578 ],
- "I2": [ 1602 ],
- "I3": [ 3623 ],
- "O": [ 2714 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3580 ],
- "I1": [ 3581 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3624 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3583 ],
- "I1": [ 3584 ],
- "I2": [ 1602 ],
- "I3": [ 3624 ],
- "O": [ 2718 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3586 ],
- "I1": [ 3587 ],
- "I2": [ 1602 ],
- "I3": [ 1603 ],
- "O": [ 3625 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3589 ],
- "I1": [ 3590 ],
- "I2": [ 1602 ],
- "I3": [ 3625 ],
- "O": [ 2723 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5313
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3146 ],
- "I1": [ 2971 ],
- "I2": [ 3119 ],
- "I3": [ 3102 ],
- "O": [ 3626 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20687
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2914 ],
- "I1": [ 2938 ],
- "I2": [ 2394 ],
- "I3": [ 3090 ],
- "O": [ 3627 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4011
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3087 ],
- "I1": [ 2938 ],
- "I2": [ 3627 ],
- "I3": [ 3121 ],
- "O": [ 3123 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32769
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 865 ],
- "I1": [ 3628 ],
- "I2": [ 3629 ],
- "I3": [ 3630 ],
- "O": [ 3631 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32769
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 865 ],
- "I1": [ 3632 ],
- "I2": [ 3633 ],
- "I3": [ 3634 ],
- "O": [ 3635 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 865 ],
- "I1": [ 3636 ],
- "I2": [ 3631 ],
- "I3": [ 3635 ],
- "O": [ 3637 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32769
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 865 ],
- "I1": [ 3638 ],
- "I2": [ 3639 ],
- "I3": [ 3640 ],
- "O": [ 3641 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3637 ],
- "I1": [ 3641 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 765 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32769
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 3642 ],
- "I2": [ 3643 ],
- "I3": [ 3644 ],
- "O": [ 3645 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32769
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 3646 ],
- "I2": [ 3647 ],
- "I3": [ 3648 ],
- "O": [ 3649 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 3650 ],
- "I2": [ 3645 ],
- "I3": [ 3649 ],
- "O": [ 3651 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32769
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 3652 ],
- "I2": [ 3653 ],
- "I3": [ 3654 ],
- "O": [ 3655 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3651 ],
- "I1": [ 3655 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 775 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 1374 ],
- "I2": [ 1373 ],
- "I3": [ 1372 ],
- "O": [ 3656 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1355 ],
- "I2": [ 854 ],
- "I3": [ 853 ],
- "O": [ 3657 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1370 ],
- "I1": [ 3494 ],
- "I2": [ 1376 ],
- "I3": [ 1381 ],
- "O": [ 3658 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ 1382 ],
- "I2": [ 1364 ],
- "I3": [ 1379 ],
- "O": [ 3659 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 49151
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1367 ],
- "I1": [ 3659 ],
- "I2": [ 3658 ],
- "I3": [ 3517 ],
- "O": [ 3660 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3660 ],
- "I1": [ 3661 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1349 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 303 ],
- "I1": [ 1423 ],
- "I2": [ 901 ],
- "I3": [ 3662 ],
- "O": [ 3663 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ 3664 ],
- "I2": [ 1458 ],
- "I3": [ 1418 ],
- "O": [ 3665 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1420 ],
- "I1": [ 3666 ],
- "I2": [ 1416 ],
- "I3": [ 3667 ],
- "O": [ 3668 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3663 ],
- "I1": [ 3665 ],
- "I2": [ 3668 ],
- "I3": [ "0" ],
- "O": [ 3529 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1402 ],
- "I1": [ 3531 ],
- "I2": [ 3533 ],
- "I3": [ "0" ],
- "O": [ 3669 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1414 ],
- "I1": [ 3669 ],
- "I2": [ 449 ],
- "I3": [ "0" ],
- "O": [ 3670 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 943
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1411 ],
- "I1": [ 449 ],
- "I2": [ 3670 ],
- "I3": [ 1401 ],
- "O": [ 3671 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 784 ],
- "I1": [ 788 ],
- "I2": [ 790 ],
- "I3": [ 936 ],
- "O": [ 3672 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3167
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 922 ],
- "I1": [ 3672 ],
- "I2": [ 786 ],
- "I3": [ 1559 ],
- "O": [ 3673 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 5160
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1390 ],
- "I1": [ 929 ],
- "I2": [ 784 ],
- "I3": [ 873 ],
- "O": [ 3674 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24576
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 936 ],
- "I1": [ 781 ],
- "I2": [ 3674 ],
- "I3": [ 3540 ],
- "O": [ 3675 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3675 ],
- "I1": [ 3541 ],
- "I2": [ 3673 ],
- "I3": [ "0" ],
- "O": [ 3676 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1358 ],
- "I1": [ 1357 ],
- "I2": [ 1366 ],
- "I3": [ 1365 ],
- "O": [ 3677 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 3677 ],
- "I2": [ 3656 ],
- "I3": [ 3657 ],
- "O": [ 3678 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32000
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3678 ],
- "I1": [ 1378 ],
- "I2": [ 1377 ],
- "I3": [ 3679 ],
- "O": [ 850 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 867 ],
- "I1": [ 869 ],
- "I2": [ 1545 ],
- "I3": [ 1560 ],
- "O": [ 3680 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 944
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3680 ],
- "I1": [ 1564 ],
- "I2": [ 1391 ],
- "I3": [ 871 ],
- "O": [ 3681 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24576
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1396 ],
- "I1": [ 864 ],
- "I2": [ 1542 ],
- "I3": [ 1543 ],
- "O": [ 3682 ]
- }
- },
- "$abc$32408$auto$blifparse.cc:492:parse_blif$34996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1547 ],
- "I1": [ 3682 ],
- "I2": [ 3681 ],
- "I3": [ 3676 ],
- "O": [ 1540 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3683 ],
- "I0": [ 303 ],
- "I1": [ 3403 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3683 ],
- "CO": [ 3684 ],
- "I0": [ 1458 ],
- "I1": [ 3374 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3684 ],
- "CO": [ 3685 ],
- "I0": [ 3526 ],
- "I1": [ 3404 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3685 ],
- "CO": [ 3686 ],
- "I0": [ 3666 ],
- "I1": [ 3405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3686 ],
- "CO": [ 3687 ],
- "I0": [ 3667 ],
- "I1": [ 3406 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3687 ],
- "CO": [ 3688 ],
- "I0": [ 3662 ],
- "I1": [ 3407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3688 ],
- "CO": [ 3689 ],
- "I0": [ 1404 ],
- "I1": [ 3408 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3689 ],
- "CO": [ 3690 ],
- "I0": [ 3528 ],
- "I1": [ 3389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3690 ],
- "CO": [ 3691 ],
- "I0": [ 3664 ],
- "I1": [ 3391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3691 ],
- "CO": [ 1405 ],
- "I0": [ 3525 ],
- "I1": [ 3409 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1809 ],
- "CO": [ 3692 ],
- "I0": [ "0" ],
- "I1": [ 1816 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3692 ],
- "CO": [ 3693 ],
- "I0": [ "0" ],
- "I1": [ 1815 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3693 ],
- "CO": [ 3694 ],
- "I0": [ "0" ],
- "I1": [ 1814 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3694 ],
- "CO": [ 3695 ],
- "I0": [ "0" ],
- "I1": [ 1820 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3695 ],
- "CO": [ 3696 ],
- "I0": [ "0" ],
- "I1": [ 1819 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3696 ],
- "CO": [ 3697 ],
- "I0": [ "0" ],
- "I1": [ 1818 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3697 ],
- "CO": [ 1813 ],
- "I0": [ "0" ],
- "I1": [ 1817 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3698 ],
- "CO": [ 3699 ],
- "I0": [ "0" ],
- "I1": [ 774 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3699 ],
- "CO": [ 3700 ],
- "I0": [ "0" ],
- "I1": [ 825 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3700 ],
- "CO": [ 3701 ],
- "I0": [ "0" ],
- "I1": [ 823 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3701 ],
- "CO": [ 1557 ],
- "I0": [ "0" ],
- "I1": [ 821 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 819 ],
- "I3": [ 1557 ],
- "O": [ 1558 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1557 ],
- "CO": [ 1556 ],
- "I0": [ "0" ],
- "I1": [ 819 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 835 ],
- "CO": [ 3702 ],
- "I0": [ "0" ],
- "I1": [ 833 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3702 ],
- "CO": [ 3703 ],
- "I0": [ "0" ],
- "I1": [ 831 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3703 ],
- "CO": [ 3704 ],
- "I0": [ "0" ],
- "I1": [ 829 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3704 ],
- "CO": [ 3705 ],
- "I0": [ "0" ],
- "I1": [ 827 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3705 ],
- "CO": [ 3706 ],
- "I0": [ "1" ],
- "I1": [ 790 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3706 ],
- "CO": [ 3707 ],
- "I0": [ "1" ],
- "I1": [ 788 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3707 ],
- "CO": [ 3708 ],
- "I0": [ "1" ],
- "I1": [ 786 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3708 ],
- "CO": [ 3709 ],
- "I0": [ "1" ],
- "I1": [ 784 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3709 ],
- "CO": [ 3698 ],
- "I0": [ "1" ],
- "I1": [ 781 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3710 ],
- "CO": [ 3711 ],
- "I0": [ "0" ],
- "I1": [ 769 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3711 ],
- "CO": [ 3712 ],
- "I0": [ "0" ],
- "I1": [ 862 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3712 ],
- "CO": [ 3713 ],
- "I0": [ "0" ],
- "I1": [ 860 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3713 ],
- "CO": [ 1562 ],
- "I0": [ "0" ],
- "I1": [ 858 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 856 ],
- "I3": [ 1562 ],
- "O": [ 1563 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1562 ],
- "CO": [ 1561 ],
- "I0": [ "0" ],
- "I1": [ 856 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 883 ],
- "CO": [ 3714 ],
- "I0": [ "0" ],
- "I1": [ 881 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3714 ],
- "CO": [ 3715 ],
- "I0": [ "0" ],
- "I1": [ 879 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3715 ],
- "CO": [ 3716 ],
- "I0": [ "0" ],
- "I1": [ 877 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3716 ],
- "CO": [ 3717 ],
- "I0": [ "0" ],
- "I1": [ 875 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3717 ],
- "CO": [ 3718 ],
- "I0": [ "1" ],
- "I1": [ 873 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3718 ],
- "CO": [ 3719 ],
- "I0": [ "1" ],
- "I1": [ 871 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3719 ],
- "CO": [ 3720 ],
- "I0": [ "1" ],
- "I1": [ 869 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3720 ],
- "CO": [ 3721 ],
- "I0": [ "1" ],
- "I1": [ 867 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3721 ],
- "CO": [ 3710 ],
- "I0": [ "1" ],
- "I1": [ 864 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3722 ],
- "CO": [ 3723 ],
- "I0": [ "0" ],
- "I1": [ 774 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3723 ],
- "CO": [ 3724 ],
- "I0": [ "0" ],
- "I1": [ 825 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3724 ],
- "CO": [ 3725 ],
- "I0": [ "0" ],
- "I1": [ 823 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3725 ],
- "CO": [ 1567 ],
- "I0": [ "0" ],
- "I1": [ 821 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 819 ],
- "I3": [ 1567 ],
- "O": [ 1568 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1567 ],
- "CO": [ 1566 ],
- "I0": [ "0" ],
- "I1": [ 819 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 835 ],
- "CO": [ 3726 ],
- "I0": [ "0" ],
- "I1": [ 833 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3726 ],
- "CO": [ 3727 ],
- "I0": [ "0" ],
- "I1": [ 831 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3727 ],
- "CO": [ 3728 ],
- "I0": [ "0" ],
- "I1": [ 829 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3728 ],
- "CO": [ 3729 ],
- "I0": [ "0" ],
- "I1": [ 827 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3729 ],
- "CO": [ 3730 ],
- "I0": [ "0" ],
- "I1": [ 790 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3730 ],
- "CO": [ 3731 ],
- "I0": [ "0" ],
- "I1": [ 788 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3731 ],
- "CO": [ 3732 ],
- "I0": [ "0" ],
- "I1": [ 786 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3732 ],
- "CO": [ 3733 ],
- "I0": [ "0" ],
- "I1": [ 784 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3733 ],
- "CO": [ 3722 ],
- "I0": [ "0" ],
- "I1": [ 781 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3734 ],
- "CO": [ 3735 ],
- "I0": [ "0" ],
- "I1": [ 769 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3735 ],
- "CO": [ 3736 ],
- "I0": [ "0" ],
- "I1": [ 862 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3736 ],
- "CO": [ 3737 ],
- "I0": [ "0" ],
- "I1": [ 860 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3737 ],
- "CO": [ 1555 ],
- "I0": [ "0" ],
- "I1": [ 858 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 856 ],
- "I3": [ 1555 ],
- "O": [ 1553 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1555 ],
- "CO": [ 1554 ],
- "I0": [ "0" ],
- "I1": [ 856 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 883 ],
- "CO": [ 3738 ],
- "I0": [ "0" ],
- "I1": [ 881 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3738 ],
- "CO": [ 3739 ],
- "I0": [ "0" ],
- "I1": [ 879 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3739 ],
- "CO": [ 3740 ],
- "I0": [ "0" ],
- "I1": [ 877 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3740 ],
- "CO": [ 3741 ],
- "I0": [ "0" ],
- "I1": [ 875 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3741 ],
- "CO": [ 3742 ],
- "I0": [ "0" ],
- "I1": [ 873 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3742 ],
- "CO": [ 3743 ],
- "I0": [ "0" ],
- "I1": [ 871 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3743 ],
- "CO": [ 3744 ],
- "I0": [ "0" ],
- "I1": [ 869 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3744 ],
- "CO": [ 3745 ],
- "I0": [ "0" ],
- "I1": [ 867 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3745 ],
- "CO": [ 3734 ],
- "I0": [ "0" ],
- "I1": [ 864 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3746 ],
- "I0": [ 3494 ],
- "I1": [ 3368 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3746 ],
- "CO": [ 3747 ],
- "I0": [ 1381 ],
- "I1": [ 3453 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3747 ],
- "CO": [ 3748 ],
- "I0": [ 1382 ],
- "I1": [ 3454 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3748 ],
- "CO": [ 3749 ],
- "I0": [ 3490 ],
- "I1": [ 3455 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3749 ],
- "CO": [ 3750 ],
- "I0": [ 1379 ],
- "I1": [ 3456 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3750 ],
- "CO": [ 3751 ],
- "I0": [ 3487 ],
- "I1": [ 3457 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3751 ],
- "CO": [ 3661 ],
- "I0": [ "0" ],
- "I1": [ 3363 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3752 ],
- "I0": [ 1370 ],
- "I1": [ 1369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3752 ],
- "CO": [ 3753 ],
- "I0": [ 1376 ],
- "I1": [ 1375 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3753 ],
- "CO": [ 3754 ],
- "I0": [ 1371 ],
- "I1": [ 1368 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3754 ],
- "CO": [ 3755 ],
- "I0": [ 1352 ],
- "I1": [ 1351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3755 ],
- "CO": [ 3756 ],
- "I0": [ 1364 ],
- "I1": [ 1363 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3756 ],
- "CO": [ 3757 ],
- "I0": [ 1354 ],
- "I1": [ 1353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3757 ],
- "CO": [ 3758 ],
- "I0": [ 1367 ],
- "I1": [ 855 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3758 ],
- "CO": [ 1348 ],
- "I0": [ "0" ],
- "I1": [ 852 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3759 ],
- "I0": [ 3494 ],
- "I1": [ 1369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3759 ],
- "CO": [ 3760 ],
- "I0": [ 1381 ],
- "I1": [ 1375 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3760 ],
- "CO": [ 3761 ],
- "I0": [ 1382 ],
- "I1": [ 1368 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3761 ],
- "CO": [ 3762 ],
- "I0": [ 3490 ],
- "I1": [ 1351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3762 ],
- "CO": [ 3763 ],
- "I0": [ 1379 ],
- "I1": [ 1363 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3763 ],
- "CO": [ 3764 ],
- "I0": [ 3487 ],
- "I1": [ 1353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3764 ],
- "CO": [ 3765 ],
- "I0": [ "0" ],
- "I1": [ 855 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3765 ],
- "CO": [ 3521 ],
- "I0": [ "0" ],
- "I1": [ 852 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3766 ],
- "I0": [ 1374 ],
- "I1": [ 3447 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3766 ],
- "CO": [ 3767 ],
- "I0": [ 1377 ],
- "I1": [ 3369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3767 ],
- "CO": [ 3768 ],
- "I0": [ 1372 ],
- "I1": [ 3448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3768 ],
- "CO": [ 3769 ],
- "I0": [ 1357 ],
- "I1": [ 3449 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3769 ],
- "CO": [ 3770 ],
- "I0": [ 1365 ],
- "I1": [ 3450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3770 ],
- "CO": [ 3771 ],
- "I0": [ 1355 ],
- "I1": [ 3451 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3771 ],
- "CO": [ 3772 ],
- "I0": [ 853 ],
- "I1": [ 3452 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3772 ],
- "CO": [ 3679 ],
- "I0": [ "0" ],
- "I1": [ 3362 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3773 ],
- "I0": [ 3431 ],
- "I1": [ 2123 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3773 ],
- "CO": [ 3774 ],
- "I0": [ 3433 ],
- "I1": [ 1970 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3774 ],
- "CO": [ 3775 ],
- "I0": [ 3435 ],
- "I1": [ 2125 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3775 ],
- "CO": [ 3776 ],
- "I0": [ 3437 ],
- "I1": [ 2126 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3776 ],
- "CO": [ 3777 ],
- "I0": [ 3439 ],
- "I1": [ 1981 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3777 ],
- "CO": [ 3778 ],
- "I0": [ 3441 ],
- "I1": [ 1984 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3778 ],
- "CO": [ 3779 ],
- "I0": [ 3443 ],
- "I1": [ 1987 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3779 ],
- "CO": [ 452 ],
- "I0": [ 3445 ],
- "I1": [ 1992 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3780 ],
- "I0": [ 3781 ],
- "I1": [ 3432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3780 ],
- "CO": [ 3782 ],
- "I0": [ 1970 ],
- "I1": [ 3434 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3782 ],
- "CO": [ 3783 ],
- "I0": [ 2125 ],
- "I1": [ 3436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3783 ],
- "CO": [ 3784 ],
- "I0": [ 2126 ],
- "I1": [ 3438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3784 ],
- "CO": [ 3785 ],
- "I0": [ 1981 ],
- "I1": [ 3440 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3785 ],
- "CO": [ 3786 ],
- "I0": [ 1984 ],
- "I1": [ 3442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3786 ],
- "CO": [ 3787 ],
- "I0": [ 1987 ],
- "I1": [ 3444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3787 ],
- "CO": [ 451 ],
- "I0": [ 1992 ],
- "I1": [ 3446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1288 ],
- "CO": [ 3788 ],
- "I0": [ "0" ],
- "I1": [ 1293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3788 ],
- "CO": [ 3789 ],
- "I0": [ "0" ],
- "I1": [ 1483 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3789 ],
- "CO": [ 3790 ],
- "I0": [ "0" ],
- "I1": [ 1480 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3790 ],
- "CO": [ 3791 ],
- "I0": [ "0" ],
- "I1": [ 1482 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3791 ],
- "CO": [ 3792 ],
- "I0": [ "0" ],
- "I1": [ 1481 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3792 ],
- "CO": [ 3793 ],
- "I0": [ "0" ],
- "I1": [ 1484 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3793 ],
- "CO": [ 1969 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1857.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:328|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3429 ],
- "CO": [ 3794 ],
- "I0": [ "0" ],
- "I1": [ 3366 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1857.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:328|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3794 ],
- "CO": [ 453 ],
- "I0": [ "0" ],
- "I1": [ 3430 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3795 ],
- "I0": [ 3415 ],
- "I1": [ 3615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3795 ],
- "CO": [ 3796 ],
- "I0": [ 3387 ],
- "I1": [ 2116 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3796 ],
- "CO": [ 3797 ],
- "I0": [ 3417 ],
- "I1": [ 2117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3797 ],
- "CO": [ 3798 ],
- "I0": [ 3419 ],
- "I1": [ 2118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3798 ],
- "CO": [ 3799 ],
- "I0": [ 3421 ],
- "I1": [ 2119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3799 ],
- "CO": [ 3800 ],
- "I0": [ 3423 ],
- "I1": [ 1983 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3800 ],
- "CO": [ 3801 ],
- "I0": [ 3425 ],
- "I1": [ 2120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3801 ],
- "CO": [ 1582 ],
- "I0": [ 3427 ],
- "I1": [ 1988 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3802 ],
- "I0": [ 3803 ],
- "I1": [ 3615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3802 ],
- "CO": [ 3804 ],
- "I0": [ 1965 ],
- "I1": [ 2116 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3804 ],
- "CO": [ 3805 ],
- "I0": [ 3806 ],
- "I1": [ 2117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3805 ],
- "CO": [ 3807 ],
- "I0": [ 3808 ],
- "I1": [ 2118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3807 ],
- "CO": [ 3809 ],
- "I0": [ 3810 ],
- "I1": [ 2119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3809 ],
- "CO": [ 3811 ],
- "I0": [ "0" ],
- "I1": [ 1983 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3811 ],
- "CO": [ 3812 ],
- "I0": [ "0" ],
- "I1": [ 2120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3812 ],
- "CO": [ 1590 ],
- "I0": [ "0" ],
- "I1": [ 1988 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3813 ],
- "I0": [ 3814 ],
- "I1": [ 3615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3813 ],
- "CO": [ 3815 ],
- "I0": [ 914 ],
- "I1": [ 2116 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3815 ],
- "CO": [ 3816 ],
- "I0": [ 921 ],
- "I1": [ 2117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3816 ],
- "CO": [ 3817 ],
- "I0": [ 928 ],
- "I1": [ 2118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3817 ],
- "CO": [ 3818 ],
- "I0": [ 3392 ],
- "I1": [ 2119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3818 ],
- "CO": [ 3819 ],
- "I0": [ "0" ],
- "I1": [ 1983 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3819 ],
- "CO": [ 3820 ],
- "I0": [ "0" ],
- "I1": [ 2120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3820 ],
- "CO": [ 1589 ],
- "I0": [ "0" ],
- "I1": [ 1988 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1236 ],
- "CO": [ 3821 ],
- "I0": [ "0" ],
- "I1": [ 1241 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3821 ],
- "CO": [ 3822 ],
- "I0": [ "0" ],
- "I1": [ 1597 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3822 ],
- "CO": [ 3823 ],
- "I0": [ "0" ],
- "I1": [ 1596 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3823 ],
- "CO": [ 3824 ],
- "I0": [ "0" ],
- "I1": [ 1601 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3824 ],
- "CO": [ 3825 ],
- "I0": [ "0" ],
- "I1": [ 1600 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3825 ],
- "CO": [ 3826 ],
- "I0": [ "0" ],
- "I1": [ 1599 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3826 ],
- "CO": [ 1982 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3827 ],
- "I0": [ 3828 ],
- "I1": [ 3416 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3827 ],
- "CO": [ 3829 ],
- "I0": [ 2116 ],
- "I1": [ 1978 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3829 ],
- "CO": [ 3830 ],
- "I0": [ 2117 ],
- "I1": [ 3418 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3830 ],
- "CO": [ 3831 ],
- "I0": [ 2118 ],
- "I1": [ 3420 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3831 ],
- "CO": [ 3832 ],
- "I0": [ 2119 ],
- "I1": [ 3422 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3832 ],
- "CO": [ 3833 ],
- "I0": [ 1983 ],
- "I1": [ 3424 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3833 ],
- "CO": [ 3834 ],
- "I0": [ 2120 ],
- "I1": [ 3426 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3834 ],
- "CO": [ 1583 ],
- "I0": [ 1988 ],
- "I1": [ 3428 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3835 ],
- "I0": [ 1609 ],
- "I1": [ 1236 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3835 ],
- "CO": [ 3836 ],
- "I0": [ 1611 ],
- "I1": [ 1241 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3836 ],
- "CO": [ 3837 ],
- "I0": [ 1615 ],
- "I1": [ 1597 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3837 ],
- "CO": [ 3838 ],
- "I0": [ 1613 ],
- "I1": [ 1596 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3838 ],
- "CO": [ 3839 ],
- "I0": [ 1612 ],
- "I1": [ 1601 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3839 ],
- "CO": [ 3840 ],
- "I0": [ 1614 ],
- "I1": [ 1600 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3840 ],
- "CO": [ 3841 ],
- "I0": [ 1616 ],
- "I1": [ 1599 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3841 ],
- "CO": [ 1585 ],
- "I0": [ 1610 ],
- "I1": [ 1598 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3361 ],
- "CO": [ 3842 ],
- "I0": [ 3360 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3842 ],
- "CO": [ 3843 ],
- "I0": [ 3359 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3843 ],
- "CO": [ 3844 ],
- "I0": [ 3358 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3844 ],
- "CO": [ 3845 ],
- "I0": [ 3357 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3845 ],
- "CO": [ 3846 ],
- "I0": [ 3356 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3846 ],
- "CO": [ 3847 ],
- "I0": [ 3355 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3847 ],
- "CO": [ 1595 ],
- "I0": [ 3354 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1909.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3353 ],
- "CO": [ 3848 ],
- "I0": [ "1" ],
- "I1": [ 3413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1909.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3848 ],
- "CO": [ 3849 ],
- "I0": [ "1" ],
- "I1": [ 3414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1909.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3849 ],
- "CO": [ 3850 ],
- "I0": [ "0" ],
- "I1": [ 3352 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1909.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3850 ],
- "CO": [ 3851 ],
- "I0": [ "0" ],
- "I1": [ 3351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1909.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3851 ],
- "CO": [ 3852 ],
- "I0": [ "0" ],
- "I1": [ 3350 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1909.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3852 ],
- "CO": [ 1445 ],
- "I0": [ "0" ],
- "I1": [ 3349 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3853 ],
- "CO": [ 3854 ],
- "I0": [ 123 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3854 ],
- "CO": [ 3855 ],
- "I0": [ 124 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3855 ],
- "CO": [ 3856 ],
- "I0": [ 117 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3856 ],
- "CO": [ 3857 ],
- "I0": [ 118 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3857 ],
- "CO": [ 3858 ],
- "I0": [ 119 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3858 ],
- "CO": [ 3859 ],
- "I0": [ 120 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3859 ],
- "CO": [ 3860 ],
- "I0": [ 113 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3860 ],
- "CO": [ 3861 ],
- "I0": [ 114 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3861 ],
- "CO": [ 3862 ],
- "I0": [ 115 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3862 ],
- "CO": [ 301 ],
- "I0": [ 116 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 129 ],
- "CO": [ 3863 ],
- "I0": [ 130 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3863 ],
- "CO": [ 3864 ],
- "I0": [ 131 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3864 ],
- "CO": [ 3865 ],
- "I0": [ 132 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3865 ],
- "CO": [ 3866 ],
- "I0": [ 125 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3866 ],
- "CO": [ 3867 ],
- "I0": [ 126 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3867 ],
- "CO": [ 3868 ],
- "I0": [ 127 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3868 ],
- "CO": [ 3869 ],
- "I0": [ 128 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3869 ],
- "CO": [ 3870 ],
- "I0": [ 121 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3870 ],
- "CO": [ 3853 ],
- "I0": [ 122 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3871 ],
- "CO": [ 3872 ],
- "I0": [ "0" ],
- "I1": [ 2102 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3872 ],
- "CO": [ 3873 ],
- "I0": [ "0" ],
- "I1": [ 2104 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3873 ],
- "CO": [ 3874 ],
- "I0": [ "0" ],
- "I1": [ 2106 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3874 ],
- "CO": [ 3875 ],
- "I0": [ "0" ],
- "I1": [ 2108 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3875 ],
- "CO": [ 1040 ],
- "I0": [ "0" ],
- "I1": [ 2110 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2112 ],
- "I3": [ 1040 ],
- "O": [ 1041 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1040 ],
- "CO": [ 1039 ],
- "I0": [ "0" ],
- "I1": [ 2112 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 316 ],
- "CO": [ 3876 ],
- "I0": [ "0" ],
- "I1": [ 400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3876 ],
- "CO": [ 3877 ],
- "I0": [ "0" ],
- "I1": [ 2086 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3877 ],
- "CO": [ 3878 ],
- "I0": [ "0" ],
- "I1": [ 2088 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3878 ],
- "CO": [ 3879 ],
- "I0": [ "0" ],
- "I1": [ 2090 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3879 ],
- "CO": [ 3880 ],
- "I0": [ "0" ],
- "I1": [ 2092 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3880 ],
- "CO": [ 3881 ],
- "I0": [ "0" ],
- "I1": [ 2094 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3881 ],
- "CO": [ 3882 ],
- "I0": [ "1" ],
- "I1": [ 2096 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3882 ],
- "CO": [ 3883 ],
- "I0": [ "0" ],
- "I1": [ 2098 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3883 ],
- "CO": [ 3871 ],
- "I0": [ "0" ],
- "I1": [ 2100 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3884 ],
- "CO": [ 3885 ],
- "I0": [ "0" ],
- "I1": [ 2074 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3885 ],
- "CO": [ 3886 ],
- "I0": [ "0" ],
- "I1": [ 2076 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3886 ],
- "CO": [ 3887 ],
- "I0": [ "0" ],
- "I1": [ 2078 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3887 ],
- "CO": [ 3888 ],
- "I0": [ "0" ],
- "I1": [ 2080 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3888 ],
- "CO": [ 668 ],
- "I0": [ "0" ],
- "I1": [ 2082 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2084 ],
- "I3": [ 668 ],
- "O": [ 669 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 668 ],
- "CO": [ 667 ],
- "I0": [ "0" ],
- "I1": [ 2084 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 318 ],
- "CO": [ 3889 ],
- "I0": [ "0" ],
- "I1": [ 399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3889 ],
- "CO": [ 3890 ],
- "I0": [ "0" ],
- "I1": [ 2058 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3890 ],
- "CO": [ 3891 ],
- "I0": [ "0" ],
- "I1": [ 2060 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3891 ],
- "CO": [ 3892 ],
- "I0": [ "0" ],
- "I1": [ 2062 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3892 ],
- "CO": [ 3893 ],
- "I0": [ "0" ],
- "I1": [ 2064 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3893 ],
- "CO": [ 3894 ],
- "I0": [ "0" ],
- "I1": [ 2066 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3894 ],
- "CO": [ 3895 ],
- "I0": [ "1" ],
- "I1": [ 2068 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3895 ],
- "CO": [ 3896 ],
- "I0": [ "0" ],
- "I1": [ 2070 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3896 ],
- "CO": [ 3884 ],
- "I0": [ "0" ],
- "I1": [ 2072 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3897 ],
- "CO": [ 3898 ],
- "I0": [ "0" ],
- "I1": [ 582 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3898 ],
- "CO": [ 3899 ],
- "I0": [ "0" ],
- "I1": [ 493 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3899 ],
- "CO": [ 3900 ],
- "I0": [ "0" ],
- "I1": [ 553 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3900 ],
- "CO": [ 3901 ],
- "I0": [ "0" ],
- "I1": [ 584 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3901 ],
- "CO": [ 3902 ],
- "I0": [ "0" ],
- "I1": [ 577 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3902 ],
- "CO": [ 3903 ],
- "I0": [ "0" ],
- "I1": [ 494 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3903 ],
- "CO": [ 3904 ],
- "I0": [ "0" ],
- "I1": [ 575 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3904 ],
- "CO": [ 3905 ],
- "I0": [ "0" ],
- "I1": [ 574 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3905 ],
- "CO": [ 3906 ],
- "I0": [ "0" ],
- "I1": [ 569 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3906 ],
- "CO": [ 3907 ],
- "I0": [ "0" ],
- "I1": [ 491 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 566 ],
- "CO": [ 3908 ],
- "I0": [ "0" ],
- "I1": [ 549 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3907 ],
- "CO": [ 3909 ],
- "I0": [ "0" ],
- "I1": [ 561 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3909 ],
- "CO": [ 3910 ],
- "I0": [ "0" ],
- "I1": [ 558 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3910 ],
- "CO": [ 3911 ],
- "I0": [ "0" ],
- "I1": [ 568 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3911 ],
- "CO": [ 3912 ],
- "I0": [ "0" ],
- "I1": [ 492 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3912 ],
- "CO": [ 3913 ],
- "I0": [ "0" ],
- "I1": [ 489 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3913 ],
- "CO": [ 3914 ],
- "I0": [ "0" ],
- "I1": [ 490 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3914 ],
- "CO": [ 3500 ],
- "I0": [ "0" ],
- "I1": [ 583 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 560 ],
- "I3": [ 3500 ],
- "O": [ 3498 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3500 ],
- "CO": [ 3499 ],
- "I0": [ "0" ],
- "I1": [ 560 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3908 ],
- "CO": [ 3915 ],
- "I0": [ "0" ],
- "I1": [ 576 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3915 ],
- "CO": [ 3916 ],
- "I0": [ "0" ],
- "I1": [ 559 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3916 ],
- "CO": [ 3917 ],
- "I0": [ "0" ],
- "I1": [ 585 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3917 ],
- "CO": [ 3918 ],
- "I0": [ "0" ],
- "I1": [ 552 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3918 ],
- "CO": [ 3919 ],
- "I0": [ "0" ],
- "I1": [ 551 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3919 ],
- "CO": [ 3920 ],
- "I0": [ "0" ],
- "I1": [ 567 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3920 ],
- "CO": [ 3921 ],
- "I0": [ "1" ],
- "I1": [ 550 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3921 ],
- "CO": [ 3897 ],
- "I0": [ "0" ],
- "I1": [ 495 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3922 ],
- "CO": [ 3923 ],
- "I0": [ "0" ],
- "I1": [ 3338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3923 ],
- "CO": [ 3924 ],
- "I0": [ "0" ],
- "I1": [ 3337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3924 ],
- "CO": [ 3925 ],
- "I0": [ "0" ],
- "I1": [ 3336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3925 ],
- "CO": [ 3926 ],
- "I0": [ "0" ],
- "I1": [ 3335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3926 ],
- "CO": [ 3927 ],
- "I0": [ "0" ],
- "I1": [ 3334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3927 ],
- "CO": [ 3928 ],
- "I0": [ "0" ],
- "I1": [ 3333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3928 ],
- "CO": [ 3929 ],
- "I0": [ "0" ],
- "I1": [ 3332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3929 ],
- "CO": [ 3930 ],
- "I0": [ "0" ],
- "I1": [ 3331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3930 ],
- "CO": [ 3931 ],
- "I0": [ "0" ],
- "I1": [ 3330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3931 ],
- "CO": [ 3932 ],
- "I0": [ "0" ],
- "I1": [ 3329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3348 ],
- "CO": [ 3933 ],
- "I0": [ "0" ],
- "I1": [ 3347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3932 ],
- "CO": [ 3934 ],
- "I0": [ "0" ],
- "I1": [ 3328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3934 ],
- "CO": [ 3935 ],
- "I0": [ "0" ],
- "I1": [ 3327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3935 ],
- "CO": [ 3936 ],
- "I0": [ "0" ],
- "I1": [ 3326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3936 ],
- "CO": [ 3937 ],
- "I0": [ "0" ],
- "I1": [ 3325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3937 ],
- "CO": [ 3938 ],
- "I0": [ "0" ],
- "I1": [ 3321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3938 ],
- "CO": [ 3939 ],
- "I0": [ "0" ],
- "I1": [ 3324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3939 ],
- "CO": [ 539 ],
- "I0": [ "0" ],
- "I1": [ 3323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3322 ],
- "I3": [ 539 ],
- "O": [ 537 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 539 ],
- "CO": [ 538 ],
- "I0": [ "0" ],
- "I1": [ 3322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3933 ],
- "CO": [ 3940 ],
- "I0": [ "0" ],
- "I1": [ 3346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3940 ],
- "CO": [ 3941 ],
- "I0": [ "0" ],
- "I1": [ 3345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3941 ],
- "CO": [ 3942 ],
- "I0": [ "0" ],
- "I1": [ 3344 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3942 ],
- "CO": [ 3943 ],
- "I0": [ "0" ],
- "I1": [ 3343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3943 ],
- "CO": [ 3944 ],
- "I0": [ "0" ],
- "I1": [ 3342 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3944 ],
- "CO": [ 3945 ],
- "I0": [ "0" ],
- "I1": [ 3341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3945 ],
- "CO": [ 3946 ],
- "I0": [ "0" ],
- "I1": [ 3340 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3946 ],
- "CO": [ 3922 ],
- "I0": [ "0" ],
- "I1": [ 3339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3947 ],
- "CO": [ 3948 ],
- "I0": [ "0" ],
- "I1": [ 2046 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3948 ],
- "CO": [ 3949 ],
- "I0": [ "0" ],
- "I1": [ 2048 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3949 ],
- "CO": [ 3950 ],
- "I0": [ "0" ],
- "I1": [ 2050 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3950 ],
- "CO": [ 3951 ],
- "I0": [ "0" ],
- "I1": [ 2052 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3951 ],
- "CO": [ 636 ],
- "I0": [ "0" ],
- "I1": [ 2054 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2056 ],
- "I3": [ 636 ],
- "O": [ 634 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 636 ],
- "CO": [ 635 ],
- "I0": [ "0" ],
- "I1": [ 2056 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 317 ],
- "CO": [ 3952 ],
- "I0": [ "0" ],
- "I1": [ 401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3952 ],
- "CO": [ 3953 ],
- "I0": [ "0" ],
- "I1": [ 2030 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3953 ],
- "CO": [ 3954 ],
- "I0": [ "0" ],
- "I1": [ 2032 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3954 ],
- "CO": [ 3955 ],
- "I0": [ "0" ],
- "I1": [ 2034 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3955 ],
- "CO": [ 3956 ],
- "I0": [ "0" ],
- "I1": [ 2036 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3956 ],
- "CO": [ 3957 ],
- "I0": [ "0" ],
- "I1": [ 2038 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3957 ],
- "CO": [ 3958 ],
- "I0": [ "1" ],
- "I1": [ 2040 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3958 ],
- "CO": [ 3959 ],
- "I0": [ "0" ],
- "I1": [ 2042 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3959 ],
- "CO": [ 3947 ],
- "I0": [ "0" ],
- "I1": [ 2044 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3960 ],
- "CO": [ 3961 ],
- "I0": [ "0" ],
- "I1": [ 3338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3961 ],
- "CO": [ 3962 ],
- "I0": [ "0" ],
- "I1": [ 3337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3962 ],
- "CO": [ 3963 ],
- "I0": [ "0" ],
- "I1": [ 3336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3963 ],
- "CO": [ 3964 ],
- "I0": [ "0" ],
- "I1": [ 3335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3964 ],
- "CO": [ 3965 ],
- "I0": [ "0" ],
- "I1": [ 3334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3965 ],
- "CO": [ 3966 ],
- "I0": [ "0" ],
- "I1": [ 3333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3966 ],
- "CO": [ 3967 ],
- "I0": [ "0" ],
- "I1": [ 3332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3967 ],
- "CO": [ 3968 ],
- "I0": [ "0" ],
- "I1": [ 3331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3968 ],
- "CO": [ 3969 ],
- "I0": [ "0" ],
- "I1": [ 3330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3969 ],
- "CO": [ 3970 ],
- "I0": [ "0" ],
- "I1": [ 3329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3348 ],
- "CO": [ 3971 ],
- "I0": [ "0" ],
- "I1": [ 3347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3970 ],
- "CO": [ 3972 ],
- "I0": [ "0" ],
- "I1": [ 3328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3972 ],
- "CO": [ 3973 ],
- "I0": [ "0" ],
- "I1": [ 3327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3973 ],
- "CO": [ 3974 ],
- "I0": [ "0" ],
- "I1": [ 3326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3974 ],
- "CO": [ 3975 ],
- "I0": [ "0" ],
- "I1": [ 3325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3975 ],
- "CO": [ 3976 ],
- "I0": [ "0" ],
- "I1": [ 3321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3976 ],
- "CO": [ 3977 ],
- "I0": [ "1" ],
- "I1": [ 3324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3977 ],
- "CO": [ 597 ],
- "I0": [ "1" ],
- "I1": [ 3323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 3322 ],
- "I3": [ 597 ],
- "O": [ 595 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 597 ],
- "CO": [ 596 ],
- "I0": [ "1" ],
- "I1": [ 3322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3971 ],
- "CO": [ 3978 ],
- "I0": [ "0" ],
- "I1": [ 3346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3978 ],
- "CO": [ 3979 ],
- "I0": [ "0" ],
- "I1": [ 3345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3979 ],
- "CO": [ 3980 ],
- "I0": [ "0" ],
- "I1": [ 3344 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3980 ],
- "CO": [ 3981 ],
- "I0": [ "0" ],
- "I1": [ 3343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3981 ],
- "CO": [ 3982 ],
- "I0": [ "0" ],
- "I1": [ 3342 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3982 ],
- "CO": [ 3983 ],
- "I0": [ "0" ],
- "I1": [ 3341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3983 ],
- "CO": [ 3984 ],
- "I0": [ "0" ],
- "I1": [ 3340 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3984 ],
- "CO": [ 3960 ],
- "I0": [ "0" ],
- "I1": [ 3339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3985 ],
- "CO": [ 3986 ],
- "I0": [ "0" ],
- "I1": [ 3338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3986 ],
- "CO": [ 3987 ],
- "I0": [ "0" ],
- "I1": [ 3337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3987 ],
- "CO": [ 3988 ],
- "I0": [ "0" ],
- "I1": [ 3336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3988 ],
- "CO": [ 3989 ],
- "I0": [ "0" ],
- "I1": [ 3335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3989 ],
- "CO": [ 3990 ],
- "I0": [ "0" ],
- "I1": [ 3334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3990 ],
- "CO": [ 3991 ],
- "I0": [ "0" ],
- "I1": [ 3333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3991 ],
- "CO": [ 3992 ],
- "I0": [ "0" ],
- "I1": [ 3332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3992 ],
- "CO": [ 3993 ],
- "I0": [ "0" ],
- "I1": [ 3331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3993 ],
- "CO": [ 3994 ],
- "I0": [ "0" ],
- "I1": [ 3330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3994 ],
- "CO": [ 3995 ],
- "I0": [ "0" ],
- "I1": [ 3329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3348 ],
- "CO": [ 3996 ],
- "I0": [ "0" ],
- "I1": [ 3347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3995 ],
- "CO": [ 3997 ],
- "I0": [ "0" ],
- "I1": [ 3328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3997 ],
- "CO": [ 3998 ],
- "I0": [ "0" ],
- "I1": [ 3327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3998 ],
- "CO": [ 3999 ],
- "I0": [ "0" ],
- "I1": [ 3326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3999 ],
- "CO": [ 4000 ],
- "I0": [ "0" ],
- "I1": [ 3325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4000 ],
- "CO": [ 4001 ],
- "I0": [ "0" ],
- "I1": [ 3321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4001 ],
- "CO": [ 4002 ],
- "I0": [ "1" ],
- "I1": [ 3324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4002 ],
- "CO": [ 601 ],
- "I0": [ "0" ],
- "I1": [ 3323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3322 ],
- "I3": [ 601 ],
- "O": [ 599 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 601 ],
- "CO": [ 600 ],
- "I0": [ "0" ],
- "I1": [ 3322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3996 ],
- "CO": [ 4003 ],
- "I0": [ "0" ],
- "I1": [ 3346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4003 ],
- "CO": [ 4004 ],
- "I0": [ "0" ],
- "I1": [ 3345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4004 ],
- "CO": [ 4005 ],
- "I0": [ "0" ],
- "I1": [ 3344 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4005 ],
- "CO": [ 4006 ],
- "I0": [ "0" ],
- "I1": [ 3343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4006 ],
- "CO": [ 4007 ],
- "I0": [ "0" ],
- "I1": [ 3342 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4007 ],
- "CO": [ 4008 ],
- "I0": [ "0" ],
- "I1": [ 3341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4008 ],
- "CO": [ 4009 ],
- "I0": [ "0" ],
- "I1": [ 3340 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4009 ],
- "CO": [ 3985 ],
- "I0": [ "0" ],
- "I1": [ 3339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4010 ],
- "CO": [ 4011 ],
- "I0": [ "0" ],
- "I1": [ 3310 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4011 ],
- "CO": [ 4012 ],
- "I0": [ "0" ],
- "I1": [ 3309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4012 ],
- "CO": [ 4013 ],
- "I0": [ "0" ],
- "I1": [ 3308 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4013 ],
- "CO": [ 4014 ],
- "I0": [ "0" ],
- "I1": [ 3307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4014 ],
- "CO": [ 1999 ],
- "I0": [ "0" ],
- "I1": [ 3306 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3305 ],
- "I3": [ 1999 ],
- "O": [ 1997 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1999 ],
- "CO": [ 1998 ],
- "I0": [ "0" ],
- "I1": [ 3305 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3320 ],
- "CO": [ 4015 ],
- "I0": [ "0" ],
- "I1": [ 3319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4015 ],
- "CO": [ 4016 ],
- "I0": [ "0" ],
- "I1": [ 3318 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4016 ],
- "CO": [ 4017 ],
- "I0": [ "0" ],
- "I1": [ 3317 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4017 ],
- "CO": [ 4018 ],
- "I0": [ "0" ],
- "I1": [ 3316 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4018 ],
- "CO": [ 4019 ],
- "I0": [ "0" ],
- "I1": [ 3315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4019 ],
- "CO": [ 4020 ],
- "I0": [ "0" ],
- "I1": [ 3314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4020 ],
- "CO": [ 4021 ],
- "I0": [ "0" ],
- "I1": [ 3313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4021 ],
- "CO": [ 4022 ],
- "I0": [ "0" ],
- "I1": [ 3312 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4022 ],
- "CO": [ 4010 ],
- "I0": [ "0" ],
- "I1": [ 3311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4023 ],
- "CO": [ 4024 ],
- "I0": [ "0" ],
- "I1": [ 3294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4024 ],
- "CO": [ 4025 ],
- "I0": [ "0" ],
- "I1": [ 3293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4025 ],
- "CO": [ 4026 ],
- "I0": [ "0" ],
- "I1": [ 3292 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4026 ],
- "CO": [ 4027 ],
- "I0": [ "0" ],
- "I1": [ 3291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4027 ],
- "CO": [ 364 ],
- "I0": [ "0" ],
- "I1": [ 3290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3289 ],
- "I3": [ 364 ],
- "O": [ 362 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 364 ],
- "CO": [ 363 ],
- "I0": [ "0" ],
- "I1": [ 3289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3304 ],
- "CO": [ 4028 ],
- "I0": [ "0" ],
- "I1": [ 3303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4028 ],
- "CO": [ 4029 ],
- "I0": [ "0" ],
- "I1": [ 3302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4029 ],
- "CO": [ 4030 ],
- "I0": [ "0" ],
- "I1": [ 3301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4030 ],
- "CO": [ 4031 ],
- "I0": [ "0" ],
- "I1": [ 3300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4031 ],
- "CO": [ 4032 ],
- "I0": [ "0" ],
- "I1": [ 3299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4032 ],
- "CO": [ 4033 ],
- "I0": [ "0" ],
- "I1": [ 3298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4033 ],
- "CO": [ 4034 ],
- "I0": [ "0" ],
- "I1": [ 3297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4034 ],
- "CO": [ 4035 ],
- "I0": [ "0" ],
- "I1": [ 3296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4035 ],
- "CO": [ 4023 ],
- "I0": [ "0" ],
- "I1": [ 3295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4036 ],
- "CO": [ 4037 ],
- "I0": [ "0" ],
- "I1": [ 3278 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4037 ],
- "CO": [ 4038 ],
- "I0": [ "0" ],
- "I1": [ 3277 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4038 ],
- "CO": [ 4039 ],
- "I0": [ "0" ],
- "I1": [ 3276 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4039 ],
- "CO": [ 4040 ],
- "I0": [ "0" ],
- "I1": [ 3275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4040 ],
- "CO": [ 379 ],
- "I0": [ "0" ],
- "I1": [ 3274 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3273 ],
- "I3": [ 379 ],
- "O": [ 377 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 379 ],
- "CO": [ 378 ],
- "I0": [ "0" ],
- "I1": [ 3273 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3288 ],
- "CO": [ 4041 ],
- "I0": [ "0" ],
- "I1": [ 3287 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4041 ],
- "CO": [ 4042 ],
- "I0": [ "0" ],
- "I1": [ 3286 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4042 ],
- "CO": [ 4043 ],
- "I0": [ "0" ],
- "I1": [ 3285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4043 ],
- "CO": [ 4044 ],
- "I0": [ "0" ],
- "I1": [ 3284 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4044 ],
- "CO": [ 4045 ],
- "I0": [ "0" ],
- "I1": [ 3283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4045 ],
- "CO": [ 4046 ],
- "I0": [ "0" ],
- "I1": [ 3282 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4046 ],
- "CO": [ 4047 ],
- "I0": [ "0" ],
- "I1": [ 3281 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4047 ],
- "CO": [ 4048 ],
- "I0": [ "0" ],
- "I1": [ 3280 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4048 ],
- "CO": [ 4036 ],
- "I0": [ "0" ],
- "I1": [ 3279 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4049 ],
- "CO": [ 4050 ],
- "I0": [ "1" ],
- "I1": [ 3412 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4050 ],
- "CO": [ 4051 ],
- "I0": [ "0" ],
- "I1": [ 3265 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4051 ],
- "CO": [ 4052 ],
- "I0": [ "0" ],
- "I1": [ 3264 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4052 ],
- "CO": [ 4053 ],
- "I0": [ "0" ],
- "I1": [ 3263 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4053 ],
- "CO": [ 423 ],
- "I0": [ "0" ],
- "I1": [ 3262 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3261 ],
- "I3": [ 423 ],
- "O": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 423 ],
- "CO": [ 422 ],
- "I0": [ "0" ],
- "I1": [ 3261 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3272 ],
- "CO": [ 4054 ],
- "I0": [ "0" ],
- "I1": [ 312 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4054 ],
- "CO": [ 4055 ],
- "I0": [ "0" ],
- "I1": [ 3271 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4055 ],
- "CO": [ 4056 ],
- "I0": [ "0" ],
- "I1": [ 3270 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4056 ],
- "CO": [ 4057 ],
- "I0": [ "0" ],
- "I1": [ 3269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4057 ],
- "CO": [ 4058 ],
- "I0": [ "0" ],
- "I1": [ 3268 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4058 ],
- "CO": [ 4059 ],
- "I0": [ "0" ],
- "I1": [ 3267 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4059 ],
- "CO": [ 4060 ],
- "I0": [ "0" ],
- "I1": [ 3266 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4060 ],
- "CO": [ 4061 ],
- "I0": [ "1" ],
- "I1": [ 3410 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4061 ],
- "CO": [ 4049 ],
- "I0": [ "1" ],
- "I1": [ 3411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4062 ],
- "CO": [ 4063 ],
- "I0": [ "0" ],
- "I1": [ 3250 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4063 ],
- "CO": [ 4064 ],
- "I0": [ "0" ],
- "I1": [ 3249 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4064 ],
- "CO": [ 4065 ],
- "I0": [ "0" ],
- "I1": [ 3248 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4065 ],
- "CO": [ 4066 ],
- "I0": [ "0" ],
- "I1": [ 3247 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4066 ],
- "CO": [ 337 ],
- "I0": [ "0" ],
- "I1": [ 3246 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3245 ],
- "I3": [ 337 ],
- "O": [ 335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 337 ],
- "CO": [ 336 ],
- "I0": [ "0" ],
- "I1": [ 3245 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3260 ],
- "CO": [ 4067 ],
- "I0": [ "0" ],
- "I1": [ 3259 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4067 ],
- "CO": [ 4068 ],
- "I0": [ "0" ],
- "I1": [ 3258 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4068 ],
- "CO": [ 4069 ],
- "I0": [ "0" ],
- "I1": [ 3257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4069 ],
- "CO": [ 4070 ],
- "I0": [ "0" ],
- "I1": [ 3256 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4070 ],
- "CO": [ 4071 ],
- "I0": [ "0" ],
- "I1": [ 3255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4071 ],
- "CO": [ 4072 ],
- "I0": [ "0" ],
- "I1": [ 3254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4072 ],
- "CO": [ 4073 ],
- "I0": [ "0" ],
- "I1": [ 3253 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4073 ],
- "CO": [ 4074 ],
- "I0": [ "0" ],
- "I1": [ 3252 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4074 ],
- "CO": [ 4062 ],
- "I0": [ "0" ],
- "I1": [ 3251 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4075 ],
- "CO": [ 4076 ],
- "I0": [ "0" ],
- "I1": [ 2018 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4076 ],
- "CO": [ 4077 ],
- "I0": [ "0" ],
- "I1": [ 2020 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4077 ],
- "CO": [ 4078 ],
- "I0": [ "1" ],
- "I1": [ 2022 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4078 ],
- "CO": [ 4079 ],
- "I0": [ "0" ],
- "I1": [ 2024 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4079 ],
- "CO": [ 481 ],
- "I0": [ "0" ],
- "I1": [ 2026 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2028 ],
- "I3": [ 481 ],
- "O": [ 479 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 481 ],
- "CO": [ 480 ],
- "I0": [ "0" ],
- "I1": [ 2028 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1995 ],
- "CO": [ 4080 ],
- "I0": [ "0" ],
- "I1": [ 2000 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4080 ],
- "CO": [ 4081 ],
- "I0": [ "0" ],
- "I1": [ 2002 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4081 ],
- "CO": [ 4082 ],
- "I0": [ "0" ],
- "I1": [ 2004 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4082 ],
- "CO": [ 4083 ],
- "I0": [ "0" ],
- "I1": [ 2006 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4083 ],
- "CO": [ 4084 ],
- "I0": [ "0" ],
- "I1": [ 2008 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4084 ],
- "CO": [ 4085 ],
- "I0": [ "0" ],
- "I1": [ 2010 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4085 ],
- "CO": [ 4086 ],
- "I0": [ "0" ],
- "I1": [ 2012 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4086 ],
- "CO": [ 4087 ],
- "I0": [ "0" ],
- "I1": [ 2014 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4087 ],
- "CO": [ 4075 ],
- "I0": [ "0" ],
- "I1": [ 2016 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2088.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:39|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 427 ],
- "CO": [ 4088 ],
- "I0": [ 443 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2088.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:39|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4088 ],
- "CO": [ 447 ],
- "I0": [ 426 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2088.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:39|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 444 ],
- "I2": [ "1" ],
- "I3": [ 447 ],
- "O": [ 445 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2088.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:39|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 447 ],
- "CO": [ 446 ],
- "I0": [ 444 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2101.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 3234 ],
- "I3": [ "0" ],
- "O": [ 26 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2101.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3234 ],
- "CO": [ 4089 ],
- "I0": [ "0" ],
- "I1": [ 3235 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2101.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3236 ],
- "I3": [ 4089 ],
- "O": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2101.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4089 ],
- "CO": [ 4090 ],
- "I0": [ "0" ],
- "I1": [ 3236 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2101.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3237 ],
- "I3": [ 4090 ],
- "O": [ 35 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3260 ],
- "I3": [ "1" ],
- "O": [ 320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3250 ],
- "I3": [ 4091 ],
- "O": [ 2101 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4091 ],
- "CO": [ 4092 ],
- "I0": [ "0" ],
- "I1": [ 3250 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3249 ],
- "I3": [ 4092 ],
- "O": [ 2103 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4092 ],
- "CO": [ 4093 ],
- "I0": [ "0" ],
- "I1": [ 3249 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3248 ],
- "I3": [ 4093 ],
- "O": [ 2105 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4093 ],
- "CO": [ 4094 ],
- "I0": [ "0" ],
- "I1": [ 3248 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3247 ],
- "I3": [ 4094 ],
- "O": [ 2107 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4094 ],
- "CO": [ 4095 ],
- "I0": [ "0" ],
- "I1": [ 3247 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3246 ],
- "I3": [ 4095 ],
- "O": [ 2109 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4095 ],
- "CO": [ 4096 ],
- "I0": [ "0" ],
- "I1": [ 3246 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3245 ],
- "I3": [ 4096 ],
- "O": [ 2111 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3260 ],
- "CO": [ 4097 ],
- "I0": [ "0" ],
- "I1": [ 3259 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3258 ],
- "I3": [ 4097 ],
- "O": [ 2085 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4097 ],
- "CO": [ 4098 ],
- "I0": [ "0" ],
- "I1": [ 3258 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3257 ],
- "I3": [ 4098 ],
- "O": [ 2087 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4098 ],
- "CO": [ 4099 ],
- "I0": [ "0" ],
- "I1": [ 3257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3256 ],
- "I3": [ 4099 ],
- "O": [ 2089 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4099 ],
- "CO": [ 4100 ],
- "I0": [ "0" ],
- "I1": [ 3256 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3255 ],
- "I3": [ 4100 ],
- "O": [ 2091 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4100 ],
- "CO": [ 4101 ],
- "I0": [ "0" ],
- "I1": [ 3255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3254 ],
- "I3": [ 4101 ],
- "O": [ 2093 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4101 ],
- "CO": [ 4102 ],
- "I0": [ "0" ],
- "I1": [ 3254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3253 ],
- "I3": [ 4102 ],
- "O": [ 2095 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4102 ],
- "CO": [ 4103 ],
- "I0": [ "0" ],
- "I1": [ 3253 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3252 ],
- "I3": [ 4103 ],
- "O": [ 2097 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4103 ],
- "CO": [ 4104 ],
- "I0": [ "0" ],
- "I1": [ 3252 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3251 ],
- "I3": [ 4104 ],
- "O": [ 2099 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4104 ],
- "CO": [ 4091 ],
- "I0": [ "0" ],
- "I1": [ 3251 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3288 ],
- "I3": [ "1" ],
- "O": [ 374 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3278 ],
- "I3": [ 4105 ],
- "O": [ 2073 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4105 ],
- "CO": [ 4106 ],
- "I0": [ "0" ],
- "I1": [ 3278 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3277 ],
- "I3": [ 4106 ],
- "O": [ 2075 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4106 ],
- "CO": [ 4107 ],
- "I0": [ "0" ],
- "I1": [ 3277 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3276 ],
- "I3": [ 4107 ],
- "O": [ 2077 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4107 ],
- "CO": [ 4108 ],
- "I0": [ "0" ],
- "I1": [ 3276 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3275 ],
- "I3": [ 4108 ],
- "O": [ 2079 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4108 ],
- "CO": [ 4109 ],
- "I0": [ "0" ],
- "I1": [ 3275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3274 ],
- "I3": [ 4109 ],
- "O": [ 2081 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4109 ],
- "CO": [ 4110 ],
- "I0": [ "0" ],
- "I1": [ 3274 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3273 ],
- "I3": [ 4110 ],
- "O": [ 2083 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3288 ],
- "CO": [ 4111 ],
- "I0": [ "0" ],
- "I1": [ 3287 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3286 ],
- "I3": [ 4111 ],
- "O": [ 2057 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4111 ],
- "CO": [ 4112 ],
- "I0": [ "0" ],
- "I1": [ 3286 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3285 ],
- "I3": [ 4112 ],
- "O": [ 2059 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4112 ],
- "CO": [ 4113 ],
- "I0": [ "0" ],
- "I1": [ 3285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3284 ],
- "I3": [ 4113 ],
- "O": [ 2061 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4113 ],
- "CO": [ 4114 ],
- "I0": [ "0" ],
- "I1": [ 3284 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3283 ],
- "I3": [ 4114 ],
- "O": [ 2063 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4114 ],
- "CO": [ 4115 ],
- "I0": [ "0" ],
- "I1": [ 3283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3282 ],
- "I3": [ 4115 ],
- "O": [ 2065 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4115 ],
- "CO": [ 4116 ],
- "I0": [ "0" ],
- "I1": [ 3282 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3281 ],
- "I3": [ 4116 ],
- "O": [ 2067 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4116 ],
- "CO": [ 4117 ],
- "I0": [ "0" ],
- "I1": [ 3281 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3280 ],
- "I3": [ 4117 ],
- "O": [ 2069 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4117 ],
- "CO": [ 4118 ],
- "I0": [ "0" ],
- "I1": [ 3280 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3279 ],
- "I3": [ 4118 ],
- "O": [ 2071 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4118 ],
- "CO": [ 4105 ],
- "I0": [ "0" ],
- "I1": [ 3279 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3304 ],
- "I3": [ "1" ],
- "O": [ 347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3294 ],
- "I3": [ 4119 ],
- "O": [ 2045 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4119 ],
- "CO": [ 4120 ],
- "I0": [ "0" ],
- "I1": [ 3294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3293 ],
- "I3": [ 4120 ],
- "O": [ 2047 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4120 ],
- "CO": [ 4121 ],
- "I0": [ "0" ],
- "I1": [ 3293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3292 ],
- "I3": [ 4121 ],
- "O": [ 2049 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4121 ],
- "CO": [ 4122 ],
- "I0": [ "0" ],
- "I1": [ 3292 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3291 ],
- "I3": [ 4122 ],
- "O": [ 2051 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4122 ],
- "CO": [ 4123 ],
- "I0": [ "0" ],
- "I1": [ 3291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3290 ],
- "I3": [ 4123 ],
- "O": [ 2053 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4123 ],
- "CO": [ 4124 ],
- "I0": [ "0" ],
- "I1": [ 3290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3289 ],
- "I3": [ 4124 ],
- "O": [ 2055 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3304 ],
- "CO": [ 4125 ],
- "I0": [ "0" ],
- "I1": [ 3303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3302 ],
- "I3": [ 4125 ],
- "O": [ 2029 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4125 ],
- "CO": [ 4126 ],
- "I0": [ "0" ],
- "I1": [ 3302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3301 ],
- "I3": [ 4126 ],
- "O": [ 2031 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4126 ],
- "CO": [ 4127 ],
- "I0": [ "0" ],
- "I1": [ 3301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3300 ],
- "I3": [ 4127 ],
- "O": [ 2033 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4127 ],
- "CO": [ 4128 ],
- "I0": [ "0" ],
- "I1": [ 3300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3299 ],
- "I3": [ 4128 ],
- "O": [ 2035 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4128 ],
- "CO": [ 4129 ],
- "I0": [ "0" ],
- "I1": [ 3299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3298 ],
- "I3": [ 4129 ],
- "O": [ 2037 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4129 ],
- "CO": [ 4130 ],
- "I0": [ "0" ],
- "I1": [ 3298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3297 ],
- "I3": [ 4130 ],
- "O": [ 2039 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4130 ],
- "CO": [ 4131 ],
- "I0": [ "0" ],
- "I1": [ 3297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3296 ],
- "I3": [ 4131 ],
- "O": [ 2041 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4131 ],
- "CO": [ 4132 ],
- "I0": [ "0" ],
- "I1": [ 3296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3295 ],
- "I3": [ 4132 ],
- "O": [ 2043 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4132 ],
- "CO": [ 4119 ],
- "I0": [ "0" ],
- "I1": [ 3295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3320 ],
- "I3": [ "1" ],
- "O": [ 1993 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3310 ],
- "I3": [ 4133 ],
- "O": [ 2017 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4133 ],
- "CO": [ 4134 ],
- "I0": [ "0" ],
- "I1": [ 3310 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3309 ],
- "I3": [ 4134 ],
- "O": [ 2019 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4134 ],
- "CO": [ 4135 ],
- "I0": [ "0" ],
- "I1": [ 3309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3308 ],
- "I3": [ 4135 ],
- "O": [ 2021 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4135 ],
- "CO": [ 4136 ],
- "I0": [ "0" ],
- "I1": [ 3308 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3307 ],
- "I3": [ 4136 ],
- "O": [ 2023 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4136 ],
- "CO": [ 4137 ],
- "I0": [ "0" ],
- "I1": [ 3307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3306 ],
- "I3": [ 4137 ],
- "O": [ 2025 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4137 ],
- "CO": [ 4138 ],
- "I0": [ "0" ],
- "I1": [ 3306 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3305 ],
- "I3": [ 4138 ],
- "O": [ 2027 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3320 ],
- "CO": [ 4139 ],
- "I0": [ "0" ],
- "I1": [ 3319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3318 ],
- "I3": [ 4139 ],
- "O": [ 2001 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4139 ],
- "CO": [ 4140 ],
- "I0": [ "0" ],
- "I1": [ 3318 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3317 ],
- "I3": [ 4140 ],
- "O": [ 2003 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4140 ],
- "CO": [ 4141 ],
- "I0": [ "0" ],
- "I1": [ 3317 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3316 ],
- "I3": [ 4141 ],
- "O": [ 2005 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4141 ],
- "CO": [ 4142 ],
- "I0": [ "0" ],
- "I1": [ 3316 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3315 ],
- "I3": [ 4142 ],
- "O": [ 2007 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4142 ],
- "CO": [ 4143 ],
- "I0": [ "0" ],
- "I1": [ 3315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3314 ],
- "I3": [ 4143 ],
- "O": [ 2009 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4143 ],
- "CO": [ 4144 ],
- "I0": [ "0" ],
- "I1": [ 3314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3313 ],
- "I3": [ 4144 ],
- "O": [ 2011 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4144 ],
- "CO": [ 4145 ],
- "I0": [ "0" ],
- "I1": [ 3313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3312 ],
- "I3": [ 4145 ],
- "O": [ 2013 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4145 ],
- "CO": [ 4146 ],
- "I0": [ "0" ],
- "I1": [ 3312 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3311 ],
- "I3": [ 4146 ],
- "O": [ 2015 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4146 ],
- "CO": [ 4133 ],
- "I0": [ "0" ],
- "I1": [ 3311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 630 ],
- "I3": [ "0" ],
- "O": [ 4147 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 616 ],
- "I3": [ 4148 ],
- "O": [ 4149 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4148 ],
- "CO": [ 4150 ],
- "I0": [ "0" ],
- "I1": [ 616 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 617 ],
- "I3": [ 4150 ],
- "O": [ 4151 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4150 ],
- "CO": [ 4152 ],
- "I0": [ "0" ],
- "I1": [ 617 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 610 ],
- "I3": [ 4152 ],
- "O": [ 4153 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4152 ],
- "CO": [ 4154 ],
- "I0": [ "0" ],
- "I1": [ 610 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 611 ],
- "I3": [ 4154 ],
- "O": [ 4155 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4154 ],
- "CO": [ 4156 ],
- "I0": [ "0" ],
- "I1": [ 611 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 612 ],
- "I3": [ 4156 ],
- "O": [ 4157 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4156 ],
- "CO": [ 4158 ],
- "I0": [ "0" ],
- "I1": [ 612 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 613 ],
- "I3": [ 4158 ],
- "O": [ 4159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4158 ],
- "CO": [ 4160 ],
- "I0": [ "0" ],
- "I1": [ 613 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 622 ],
- "I3": [ 4160 ],
- "O": [ 4161 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4160 ],
- "CO": [ 4162 ],
- "I0": [ "0" ],
- "I1": [ 622 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 623 ],
- "I3": [ 4162 ],
- "O": [ 4163 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4162 ],
- "CO": [ 4164 ],
- "I0": [ "0" ],
- "I1": [ 623 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 624 ],
- "I3": [ 4164 ],
- "O": [ 4165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4164 ],
- "CO": [ 4166 ],
- "I0": [ "0" ],
- "I1": [ 624 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 625 ],
- "I3": [ 4166 ],
- "O": [ 4167 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4166 ],
- "CO": [ 4168 ],
- "I0": [ "0" ],
- "I1": [ 625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 630 ],
- "CO": [ 4169 ],
- "I0": [ "0" ],
- "I1": [ 631 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 618 ],
- "I3": [ 4168 ],
- "O": [ 4170 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4168 ],
- "CO": [ 4171 ],
- "I0": [ "0" ],
- "I1": [ 618 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 619 ],
- "I3": [ 4171 ],
- "O": [ 4172 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4171 ],
- "CO": [ 4173 ],
- "I0": [ "0" ],
- "I1": [ 619 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 620 ],
- "I3": [ 4173 ],
- "O": [ 4174 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4173 ],
- "CO": [ 4175 ],
- "I0": [ "0" ],
- "I1": [ 620 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 621 ],
- "I3": [ 4175 ],
- "O": [ 4176 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 632 ],
- "I3": [ 4169 ],
- "O": [ 4177 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4169 ],
- "CO": [ 4178 ],
- "I0": [ "0" ],
- "I1": [ 632 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 633 ],
- "I3": [ 4178 ],
- "O": [ 4179 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4178 ],
- "CO": [ 4180 ],
- "I0": [ "0" ],
- "I1": [ 633 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 626 ],
- "I3": [ 4180 ],
- "O": [ 4181 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4180 ],
- "CO": [ 4182 ],
- "I0": [ "0" ],
- "I1": [ 626 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 627 ],
- "I3": [ 4182 ],
- "O": [ 4183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4182 ],
- "CO": [ 4184 ],
- "I0": [ "0" ],
- "I1": [ 627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 628 ],
- "I3": [ 4184 ],
- "O": [ 4185 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4184 ],
- "CO": [ 4186 ],
- "I0": [ "0" ],
- "I1": [ 628 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 629 ],
- "I3": [ 4186 ],
- "O": [ 4187 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4186 ],
- "CO": [ 4188 ],
- "I0": [ "0" ],
- "I1": [ 629 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 614 ],
- "I3": [ 4188 ],
- "O": [ 4189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4188 ],
- "CO": [ 4190 ],
- "I0": [ "0" ],
- "I1": [ 614 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 615 ],
- "I3": [ 4190 ],
- "O": [ 4191 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4190 ],
- "CO": [ 4148 ],
- "I0": [ "0" ],
- "I1": [ 615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 639 ],
- "I3": [ "0" ],
- "O": [ 4192 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 639 ],
- "CO": [ 4193 ],
- "I0": [ "0" ],
- "I1": [ 640 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 647 ],
- "I3": [ 4193 ],
- "O": [ 4194 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4193 ],
- "CO": [ 4195 ],
- "I0": [ "0" ],
- "I1": [ 647 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 648 ],
- "I3": [ 4195 ],
- "O": [ 4196 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4195 ],
- "CO": [ 4197 ],
- "I0": [ "0" ],
- "I1": [ 648 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 649 ],
- "I3": [ 4197 ],
- "O": [ 4198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4197 ],
- "CO": [ 4199 ],
- "I0": [ "0" ],
- "I1": [ 649 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 650 ],
- "I3": [ 4199 ],
- "O": [ 4200 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4199 ],
- "CO": [ 4201 ],
- "I0": [ "0" ],
- "I1": [ 650 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 643 ],
- "I3": [ 4201 ],
- "O": [ 4202 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4201 ],
- "CO": [ 4203 ],
- "I0": [ "0" ],
- "I1": [ 643 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 644 ],
- "I3": [ 4203 ],
- "O": [ 4204 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4203 ],
- "CO": [ 4205 ],
- "I0": [ "0" ],
- "I1": [ 644 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 645 ],
- "I3": [ 4205 ],
- "O": [ 4206 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4205 ],
- "CO": [ 4207 ],
- "I0": [ "0" ],
- "I1": [ 645 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 646 ],
- "I3": [ 4207 ],
- "O": [ 4208 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2122.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:75|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 3407 ],
- "I3": [ "1" ],
- "O": [ 3530 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3348 ],
- "I3": [ "1" ],
- "O": [ 570 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3338 ],
- "I3": [ 4209 ],
- "O": [ 586 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4209 ],
- "CO": [ 4210 ],
- "I0": [ "0" ],
- "I1": [ 3338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3337 ],
- "I3": [ 4210 ],
- "O": [ 540 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4210 ],
- "CO": [ 4211 ],
- "I0": [ "0" ],
- "I1": [ 3337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3336 ],
- "I3": [ 4211 ],
- "O": [ 554 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4211 ],
- "CO": [ 4212 ],
- "I0": [ "0" ],
- "I1": [ 3336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3335 ],
- "I3": [ 4212 ],
- "O": [ 588 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4212 ],
- "CO": [ 4213 ],
- "I0": [ "0" ],
- "I1": [ 3335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3334 ],
- "I3": [ 4213 ],
- "O": [ 581 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4213 ],
- "CO": [ 4214 ],
- "I0": [ "0" ],
- "I1": [ 3334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3333 ],
- "I3": [ 4214 ],
- "O": [ 541 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4214 ],
- "CO": [ 4215 ],
- "I0": [ "0" ],
- "I1": [ 3333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3332 ],
- "I3": [ 4215 ],
- "O": [ 579 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4215 ],
- "CO": [ 4216 ],
- "I0": [ "0" ],
- "I1": [ 3332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3331 ],
- "I3": [ 4216 ],
- "O": [ 578 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4216 ],
- "CO": [ 4217 ],
- "I0": [ "0" ],
- "I1": [ 3331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3330 ],
- "I3": [ 4217 ],
- "O": [ 573 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4217 ],
- "CO": [ 4218 ],
- "I0": [ "0" ],
- "I1": [ 3330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3329 ],
- "I3": [ 4218 ],
- "O": [ 545 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4218 ],
- "CO": [ 4219 ],
- "I0": [ "0" ],
- "I1": [ 3329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3348 ],
- "CO": [ 4220 ],
- "I0": [ "0" ],
- "I1": [ 3347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3328 ],
- "I3": [ 4219 ],
- "O": [ 565 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4219 ],
- "CO": [ 4221 ],
- "I0": [ "0" ],
- "I1": [ 3328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3327 ],
- "I3": [ 4221 ],
- "O": [ 562 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4221 ],
- "CO": [ 4222 ],
- "I0": [ "0" ],
- "I1": [ 3327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3326 ],
- "I3": [ 4222 ],
- "O": [ 572 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4222 ],
- "CO": [ 4223 ],
- "I0": [ "0" ],
- "I1": [ 3326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3325 ],
- "I3": [ 4223 ],
- "O": [ 497 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4223 ],
- "CO": [ 4224 ],
- "I0": [ "0" ],
- "I1": [ 3325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3321 ],
- "I3": [ 4224 ],
- "O": [ 543 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4224 ],
- "CO": [ 4225 ],
- "I0": [ "0" ],
- "I1": [ 3321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3324 ],
- "I3": [ 4225 ],
- "O": [ 544 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4225 ],
- "CO": [ 4226 ],
- "I0": [ "0" ],
- "I1": [ 3324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3323 ],
- "I3": [ 4226 ],
- "O": [ 587 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4226 ],
- "CO": [ 4227 ],
- "I0": [ "0" ],
- "I1": [ 3323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3322 ],
- "I3": [ 4227 ],
- "O": [ 564 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3346 ],
- "I3": [ 4220 ],
- "O": [ 580 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4220 ],
- "CO": [ 4228 ],
- "I0": [ "0" ],
- "I1": [ 3346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3345 ],
- "I3": [ 4228 ],
- "O": [ 563 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4228 ],
- "CO": [ 4229 ],
- "I0": [ "0" ],
- "I1": [ 3345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3344 ],
- "I3": [ 4229 ],
- "O": [ 589 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4229 ],
- "CO": [ 4230 ],
- "I0": [ "0" ],
- "I1": [ 3344 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3343 ],
- "I3": [ 4230 ],
- "O": [ 556 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4230 ],
- "CO": [ 4231 ],
- "I0": [ "0" ],
- "I1": [ 3343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3342 ],
- "I3": [ 4231 ],
- "O": [ 555 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4231 ],
- "CO": [ 4232 ],
- "I0": [ "0" ],
- "I1": [ 3342 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3341 ],
- "I3": [ 4232 ],
- "O": [ 571 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4232 ],
- "CO": [ 4233 ],
- "I0": [ "0" ],
- "I1": [ 3341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3340 ],
- "I3": [ 4233 ],
- "O": [ 557 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4233 ],
- "CO": [ 4234 ],
- "I0": [ "0" ],
- "I1": [ 3340 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3339 ],
- "I3": [ 4234 ],
- "O": [ 542 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4234 ],
- "CO": [ 4209 ],
- "I0": [ "0" ],
- "I1": [ 3339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 655 ],
- "I3": [ "0" ],
- "O": [ 1729 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 655 ],
- "CO": [ 4235 ],
- "I0": [ "0" ],
- "I1": [ 664 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 665 ],
- "I3": [ 4235 ],
- "O": [ 1731 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4235 ],
- "CO": [ 4236 ],
- "I0": [ "0" ],
- "I1": [ 665 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 666 ],
- "I3": [ 4236 ],
- "O": [ 1733 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4236 ],
- "CO": [ 4237 ],
- "I0": [ "0" ],
- "I1": [ 666 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 656 ],
- "I3": [ 4237 ],
- "O": [ 1735 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4237 ],
- "CO": [ 4238 ],
- "I0": [ "0" ],
- "I1": [ 656 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 659 ],
- "I3": [ 4238 ],
- "O": [ 1737 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4238 ],
- "CO": [ 4239 ],
- "I0": [ "0" ],
- "I1": [ 659 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 660 ],
- "I3": [ 4239 ],
- "O": [ 1739 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4239 ],
- "CO": [ 4240 ],
- "I0": [ "0" ],
- "I1": [ 660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 661 ],
- "I3": [ 4240 ],
- "O": [ 1741 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4240 ],
- "CO": [ 4241 ],
- "I0": [ "0" ],
- "I1": [ 661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 663 ],
- "I3": [ 4241 ],
- "O": [ 1743 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4241 ],
- "CO": [ 4242 ],
- "I0": [ "0" ],
- "I1": [ 663 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 662 ],
- "I3": [ 4242 ],
- "O": [ 1745 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 1423 ],
- "I3": [ "0" ],
- "O": [ 4243 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1423 ],
- "CO": [ 4244 ],
- "I0": [ "0" ],
- "I1": [ 1418 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1430 ],
- "I3": [ 4244 ],
- "O": [ 4245 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4244 ],
- "CO": [ 4246 ],
- "I0": [ "0" ],
- "I1": [ 1430 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1420 ],
- "I3": [ 4246 ],
- "O": [ 4247 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4246 ],
- "CO": [ 4248 ],
- "I0": [ "0" ],
- "I1": [ 1420 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1416 ],
- "I3": [ 4248 ],
- "O": [ 4249 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4248 ],
- "CO": [ 4250 ],
- "I0": [ "0" ],
- "I1": [ 1416 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 901 ],
- "I3": [ 4250 ],
- "O": [ 4251 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4250 ],
- "CO": [ 4252 ],
- "I0": [ "0" ],
- "I1": [ 901 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 912 ],
- "I3": [ 4252 ],
- "O": [ 4253 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4252 ],
- "CO": [ 4254 ],
- "I0": [ "0" ],
- "I1": [ 912 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 919 ],
- "I3": [ 4254 ],
- "O": [ 4255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4254 ],
- "CO": [ 4256 ],
- "I0": [ "0" ],
- "I1": [ 919 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 926 ],
- "I3": [ 4256 ],
- "O": [ 4257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4256 ],
- "CO": [ 4258 ],
- "I0": [ "0" ],
- "I1": [ 926 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 933 ],
- "I3": [ 4258 ],
- "O": [ 4259 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1829 ],
- "I3": [ "0" ],
- "O": [ 834 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 780 ],
- "CO": [ 4260 ],
- "I0": [ "0" ],
- "I1": [ 1858 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1860 ],
- "I3": [ 4260 ],
- "O": [ 824 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4260 ],
- "CO": [ 4261 ],
- "I0": [ "0" ],
- "I1": [ 1860 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1862 ],
- "I3": [ 4261 ],
- "O": [ 822 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4261 ],
- "CO": [ 4262 ],
- "I0": [ "0" ],
- "I1": [ 1862 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1864 ],
- "I3": [ 4262 ],
- "O": [ 820 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4262 ],
- "CO": [ 4263 ],
- "I0": [ "0" ],
- "I1": [ 1864 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 782 ],
- "I3": [ 4263 ],
- "O": [ 778 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4263 ],
- "CO": [ 4264 ],
- "I0": [ "0" ],
- "I1": [ 782 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 782 ],
- "I3": [ 4264 ],
- "O": [ 779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1866 ],
- "I3": [ "0" ],
- "O": [ 882 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 863 ],
- "CO": [ 4265 ],
- "I0": [ "0" ],
- "I1": [ 1890 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1892 ],
- "I3": [ 4265 ],
- "O": [ 861 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4265 ],
- "CO": [ 4266 ],
- "I0": [ "0" ],
- "I1": [ 1892 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1894 ],
- "I3": [ 4266 ],
- "O": [ 859 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4266 ],
- "CO": [ 4267 ],
- "I0": [ "0" ],
- "I1": [ 1894 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1896 ],
- "I3": [ 4267 ],
- "O": [ 857 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4267 ],
- "CO": [ 4268 ],
- "I0": [ "0" ],
- "I1": [ 1896 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 865 ],
- "I3": [ 4268 ],
- "O": [ 770 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4268 ],
- "CO": [ 4269 ],
- "I0": [ "0" ],
- "I1": [ 865 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 865 ],
- "I3": [ 4269 ],
- "O": [ 771 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 129 ],
- "I3": [ "0" ],
- "O": [ 4270 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 123 ],
- "I3": [ 4271 ],
- "O": [ 4272 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4271 ],
- "CO": [ 4273 ],
- "I0": [ "0" ],
- "I1": [ 123 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 124 ],
- "I3": [ 4273 ],
- "O": [ 4274 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4273 ],
- "CO": [ 4275 ],
- "I0": [ "0" ],
- "I1": [ 124 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 117 ],
- "I3": [ 4275 ],
- "O": [ 4276 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4275 ],
- "CO": [ 4277 ],
- "I0": [ "0" ],
- "I1": [ 117 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 118 ],
- "I3": [ 4277 ],
- "O": [ 4278 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4277 ],
- "CO": [ 4279 ],
- "I0": [ "0" ],
- "I1": [ 118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 119 ],
- "I3": [ 4279 ],
- "O": [ 4280 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4279 ],
- "CO": [ 4281 ],
- "I0": [ "0" ],
- "I1": [ 119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 120 ],
- "I3": [ 4281 ],
- "O": [ 4282 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4281 ],
- "CO": [ 4283 ],
- "I0": [ "0" ],
- "I1": [ 120 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 113 ],
- "I3": [ 4283 ],
- "O": [ 4284 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4283 ],
- "CO": [ 4285 ],
- "I0": [ "0" ],
- "I1": [ 113 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 114 ],
- "I3": [ 4285 ],
- "O": [ 4286 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4285 ],
- "CO": [ 4287 ],
- "I0": [ "0" ],
- "I1": [ 114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 115 ],
- "I3": [ 4287 ],
- "O": [ 4288 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4287 ],
- "CO": [ 4289 ],
- "I0": [ "0" ],
- "I1": [ 115 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 116 ],
- "I3": [ 4289 ],
- "O": [ 4290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 129 ],
- "CO": [ 4291 ],
- "I0": [ "0" ],
- "I1": [ 130 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 131 ],
- "I3": [ 4291 ],
- "O": [ 4292 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4291 ],
- "CO": [ 4293 ],
- "I0": [ "0" ],
- "I1": [ 131 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 132 ],
- "I3": [ 4293 ],
- "O": [ 4294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4293 ],
- "CO": [ 4295 ],
- "I0": [ "0" ],
- "I1": [ 132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 125 ],
- "I3": [ 4295 ],
- "O": [ 4296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4295 ],
- "CO": [ 4297 ],
- "I0": [ "0" ],
- "I1": [ 125 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 126 ],
- "I3": [ 4297 ],
- "O": [ 4298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4297 ],
- "CO": [ 4299 ],
- "I0": [ "0" ],
- "I1": [ 126 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 127 ],
- "I3": [ 4299 ],
- "O": [ 4300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4299 ],
- "CO": [ 4301 ],
- "I0": [ "0" ],
- "I1": [ 127 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 128 ],
- "I3": [ 4301 ],
- "O": [ 4302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4301 ],
- "CO": [ 4303 ],
- "I0": [ "0" ],
- "I1": [ 128 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 121 ],
- "I3": [ 4303 ],
- "O": [ 4304 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4303 ],
- "CO": [ 4305 ],
- "I0": [ "0" ],
- "I1": [ 121 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 122 ],
- "I3": [ 4305 ],
- "O": [ 4306 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4305 ],
- "CO": [ 4271 ],
- "I0": [ "0" ],
- "I1": [ 122 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 740 ],
- "I3": [ "0" ],
- "O": [ 4307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 740 ],
- "CO": [ 4308 ],
- "I0": [ "0" ],
- "I1": [ 741 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 742 ],
- "I3": [ 4308 ],
- "O": [ 4309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4308 ],
- "CO": [ 4310 ],
- "I0": [ "0" ],
- "I1": [ 742 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 743 ],
- "I3": [ 4310 ],
- "O": [ 4311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4310 ],
- "CO": [ 4312 ],
- "I0": [ "0" ],
- "I1": [ 743 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 736 ],
- "I3": [ 4312 ],
- "O": [ 4313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4312 ],
- "CO": [ 4314 ],
- "I0": [ "0" ],
- "I1": [ 736 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 737 ],
- "I3": [ 4314 ],
- "O": [ 4315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4314 ],
- "CO": [ 4316 ],
- "I0": [ "0" ],
- "I1": [ 737 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 738 ],
- "I3": [ 4316 ],
- "O": [ 4317 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4316 ],
- "CO": [ 4318 ],
- "I0": [ "0" ],
- "I1": [ 738 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 739 ],
- "I3": [ 4318 ],
- "O": [ 4319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2146.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:210|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 212 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 260 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2146.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:210|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 212 ],
- "CO": [ 4320 ],
- "I0": [ 213 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2146.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:210|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 214 ],
- "I2": [ "1" ],
- "I3": [ 4320 ],
- "O": [ 254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2146.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:210|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4320 ],
- "CO": [ 4321 ],
- "I0": [ 214 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2146.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:210|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 215 ],
- "I2": [ "1" ],
- "I3": [ 4321 ],
- "O": [ 251 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 727 ],
- "I3": [ "0" ],
- "O": [ 4322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 727 ],
- "CO": [ 4323 ],
- "I0": [ "0" ],
- "I1": [ 728 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 729 ],
- "I3": [ 4323 ],
- "O": [ 4324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4323 ],
- "CO": [ 4325 ],
- "I0": [ "0" ],
- "I1": [ 729 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 730 ],
- "I3": [ 4325 ],
- "O": [ 4326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4325 ],
- "CO": [ 4327 ],
- "I0": [ "0" ],
- "I1": [ 730 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 723 ],
- "I3": [ 4327 ],
- "O": [ 4328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4327 ],
- "CO": [ 4329 ],
- "I0": [ "0" ],
- "I1": [ 723 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 724 ],
- "I3": [ 4329 ],
- "O": [ 4330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4329 ],
- "CO": [ 4331 ],
- "I0": [ "0" ],
- "I1": [ 724 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 725 ],
- "I3": [ 4331 ],
- "O": [ 4332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4331 ],
- "CO": [ 4333 ],
- "I0": [ "0" ],
- "I1": [ 725 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 726 ],
- "I3": [ 4333 ],
- "O": [ 4334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 803 ],
- "I3": [ "0" ],
- "O": [ 960 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 809 ],
- "I3": [ 4335 ],
- "O": [ 1013 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4335 ],
- "CO": [ 4336 ],
- "I0": [ "0" ],
- "I1": [ 809 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 813 ],
- "I3": [ 4336 ],
- "O": [ 1016 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 803 ],
- "CO": [ 4337 ],
- "I0": [ "0" ],
- "I1": [ 804 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 805 ],
- "I3": [ 4337 ],
- "O": [ 968 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4337 ],
- "CO": [ 4338 ],
- "I0": [ "0" ],
- "I1": [ 805 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 802 ],
- "I3": [ 4338 ],
- "O": [ 836 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4338 ],
- "CO": [ 4339 ],
- "I0": [ "0" ],
- "I1": [ 802 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 806 ],
- "I3": [ 4339 ],
- "O": [ 978 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4339 ],
- "CO": [ 4340 ],
- "I0": [ "0" ],
- "I1": [ 806 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 807 ],
- "I3": [ 4340 ],
- "O": [ 981 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4340 ],
- "CO": [ 4341 ],
- "I0": [ "0" ],
- "I1": [ 807 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 810 ],
- "I3": [ 4341 ],
- "O": [ 988 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4341 ],
- "CO": [ 4342 ],
- "I0": [ "0" ],
- "I1": [ 810 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 808 ],
- "I3": [ 4342 ],
- "O": [ 992 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4342 ],
- "CO": [ 4343 ],
- "I0": [ "0" ],
- "I1": [ 808 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 811 ],
- "I3": [ 4343 ],
- "O": [ 1001 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4343 ],
- "CO": [ 4344 ],
- "I0": [ "0" ],
- "I1": [ 811 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 812 ],
- "I3": [ 4344 ],
- "O": [ 1004 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4344 ],
- "CO": [ 4335 ],
- "I0": [ "0" ],
- "I1": [ 812 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2155.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 194 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2155.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 194 ],
- "CO": [ 4345 ],
- "I0": [ 195 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2155.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 189 ],
- "I2": [ "1" ],
- "I3": [ 4345 ],
- "O": [ 186 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2155.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4345 ],
- "CO": [ 4346 ],
- "I0": [ 189 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2155.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 178 ],
- "I2": [ "1" ],
- "I3": [ 4346 ],
- "O": [ 181 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2158.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 803 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 959 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2158.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 803 ],
- "CO": [ 4347 ],
- "I0": [ 804 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2158.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 805 ],
- "I2": [ "1" ],
- "I3": [ 4347 ],
- "O": [ 974 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2158.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4347 ],
- "CO": [ 4348 ],
- "I0": [ 805 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2158.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 802 ],
- "I2": [ "1" ],
- "I3": [ 4348 ],
- "O": [ 840 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2161.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:162|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 274 ],
- "I3": [ "0" ],
- "O": [ 4349 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2161.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:162|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 274 ],
- "CO": [ 4350 ],
- "I0": [ "0" ],
- "I1": [ 916 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2161.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:162|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 923 ],
- "I3": [ 4350 ],
- "O": [ 4351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2161.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:162|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4350 ],
- "CO": [ 4352 ],
- "I0": [ "0" ],
- "I1": [ 923 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2161.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:162|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 930 ],
- "I3": [ 4352 ],
- "O": [ 4353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 279 ],
- "I3": [ "0" ],
- "O": [ 4354 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 279 ],
- "CO": [ 4355 ],
- "I0": [ "0" ],
- "I1": [ 284 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 286 ],
- "I3": [ 4355 ],
- "O": [ 4356 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4355 ],
- "CO": [ 4357 ],
- "I0": [ "0" ],
- "I1": [ 286 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 287 ],
- "I3": [ 4357 ],
- "O": [ 4358 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4357 ],
- "CO": [ 4359 ],
- "I0": [ "0" ],
- "I1": [ 287 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 288 ],
- "I3": [ 4359 ],
- "O": [ 4360 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4359 ],
- "CO": [ 4361 ],
- "I0": [ "0" ],
- "I1": [ 288 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 289 ],
- "I3": [ 4361 ],
- "O": [ 4362 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4361 ],
- "CO": [ 4363 ],
- "I0": [ "0" ],
- "I1": [ 289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 283 ],
- "I3": [ 4363 ],
- "O": [ 4364 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 271 ],
- "I3": [ "0" ],
- "O": [ 4365 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 271 ],
- "CO": [ 4366 ],
- "I0": [ "0" ],
- "I1": [ 285 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 280 ],
- "I3": [ 4366 ],
- "O": [ 4367 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4366 ],
- "CO": [ 4368 ],
- "I0": [ "0" ],
- "I1": [ 280 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 281 ],
- "I3": [ 4368 ],
- "O": [ 4369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4368 ],
- "CO": [ 4370 ],
- "I0": [ "0" ],
- "I1": [ 281 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 282 ],
- "I3": [ 4370 ],
- "O": [ 4371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 264 ],
- "I3": [ "0" ],
- "O": [ 4372 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 264 ],
- "CO": [ 4373 ],
- "I0": [ "0" ],
- "I1": [ 267 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 265 ],
- "I3": [ 4373 ],
- "O": [ 4374 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4373 ],
- "CO": [ 4375 ],
- "I0": [ "0" ],
- "I1": [ 265 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 268 ],
- "I3": [ 4375 ],
- "O": [ 4376 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4375 ],
- "CO": [ 4377 ],
- "I0": [ "0" ],
- "I1": [ 268 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 269 ],
- "I3": [ 4377 ],
- "O": [ 4378 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4377 ],
- "CO": [ 4379 ],
- "I0": [ "0" ],
- "I1": [ 269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 270 ],
- "I3": [ 4379 ],
- "O": [ 4380 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4379 ],
- "CO": [ 4381 ],
- "I0": [ "0" ],
- "I1": [ 270 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 262 ],
- "I3": [ 4381 ],
- "O": [ 4382 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 893 ],
- "I3": [ "0" ],
- "O": [ 4383 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 893 ],
- "CO": [ 4384 ],
- "I0": [ "0" ],
- "I1": [ 892 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 894 ],
- "I3": [ 4384 ],
- "O": [ 4385 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4384 ],
- "CO": [ 4386 ],
- "I0": [ "0" ],
- "I1": [ 894 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 896 ],
- "I3": [ 4386 ],
- "O": [ 4387 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4386 ],
- "CO": [ 4388 ],
- "I0": [ "0" ],
- "I1": [ 896 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 897 ],
- "I3": [ 4388 ],
- "O": [ 4389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4388 ],
- "CO": [ 4390 ],
- "I0": [ "0" ],
- "I1": [ 897 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 898 ],
- "I3": [ 4390 ],
- "O": [ 4391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4390 ],
- "CO": [ 4392 ],
- "I0": [ "0" ],
- "I1": [ 898 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 899 ],
- "I3": [ 4392 ],
- "O": [ 4393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 299 ],
- "I3": [ "0" ],
- "O": [ 4394 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 299 ],
- "CO": [ 4395 ],
- "I0": [ "0" ],
- "I1": [ 1441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1442 ],
- "I3": [ 4395 ],
- "O": [ 4396 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4395 ],
- "CO": [ 4397 ],
- "I0": [ "0" ],
- "I1": [ 1442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1443 ],
- "I3": [ 4397 ],
- "O": [ 4398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4397 ],
- "CO": [ 4399 ],
- "I0": [ "0" ],
- "I1": [ 1443 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1444 ],
- "I3": [ 4399 ],
- "O": [ 4400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 292 ],
- "I3": [ "0" ],
- "O": [ 4401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 292 ],
- "CO": [ 4402 ],
- "I0": [ "0" ],
- "I1": [ 295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 293 ],
- "I3": [ 4402 ],
- "O": [ 4403 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4402 ],
- "CO": [ 4404 ],
- "I0": [ "0" ],
- "I1": [ 293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 296 ],
- "I3": [ 4404 ],
- "O": [ 4405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4404 ],
- "CO": [ 4406 ],
- "I0": [ "0" ],
- "I1": [ 296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 297 ],
- "I3": [ 4406 ],
- "O": [ 4407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4406 ],
- "CO": [ 4408 ],
- "I0": [ "0" ],
- "I1": [ 297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 298 ],
- "I3": [ 4408 ],
- "O": [ 4409 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4408 ],
- "CO": [ 4410 ],
- "I0": [ "0" ],
- "I1": [ 298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 290 ],
- "I3": [ 4410 ],
- "O": [ 4411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2182.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:406|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1424 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1447 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2185.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:413|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 299 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2188.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:417|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1424 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4412 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2191.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:428|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 274 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 906 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2194.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 274 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 15 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2194.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 274 ],
- "CO": [ 4413 ],
- "I0": [ 916 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2194.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 923 ],
- "I2": [ "1" ],
- "I3": [ 4413 ],
- "O": [ 17 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2194.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4413 ],
- "CO": [ 4414 ],
- "I0": [ 923 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2194.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 930 ],
- "I2": [ "1" ],
- "I3": [ 4414 ],
- "O": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 1603 ],
- "I3": [ "0" ],
- "O": [ 4415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 921 ],
- "I3": [ 4416 ],
- "O": [ 4417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4416 ],
- "CO": [ 4418 ],
- "I0": [ "0" ],
- "I1": [ 921 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 928 ],
- "I3": [ 4418 ],
- "O": [ 4419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4418 ],
- "CO": [ 4420 ],
- "I0": [ "0" ],
- "I1": [ 928 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 935 ],
- "I3": [ 4420 ],
- "O": [ 4421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1603 ],
- "CO": [ 4422 ],
- "I0": [ "0" ],
- "I1": [ 1602 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1604 ],
- "I3": [ 4422 ],
- "O": [ 4423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4422 ],
- "CO": [ 4424 ],
- "I0": [ "0" ],
- "I1": [ 1604 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1425 ],
- "I3": [ 4424 ],
- "O": [ 4425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4424 ],
- "CO": [ 4426 ],
- "I0": [ "0" ],
- "I1": [ 1425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1428 ],
- "I3": [ 4426 ],
- "O": [ 4427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4426 ],
- "CO": [ 4428 ],
- "I0": [ "0" ],
- "I1": [ 1428 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1432 ],
- "I3": [ 4428 ],
- "O": [ 4429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4428 ],
- "CO": [ 4430 ],
- "I0": [ "0" ],
- "I1": [ 1432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1435 ],
- "I3": [ 4430 ],
- "O": [ 4431 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4430 ],
- "CO": [ 4432 ],
- "I0": [ "0" ],
- "I1": [ 1435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1438 ],
- "I3": [ 4432 ],
- "O": [ 4433 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4432 ],
- "CO": [ 4434 ],
- "I0": [ "0" ],
- "I1": [ 1438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 903 ],
- "I3": [ 4434 ],
- "O": [ 4435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4434 ],
- "CO": [ 4436 ],
- "I0": [ "0" ],
- "I1": [ 903 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 914 ],
- "I3": [ 4436 ],
- "O": [ 4437 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4436 ],
- "CO": [ 4416 ],
- "I0": [ "0" ],
- "I1": [ 914 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2200.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 3615 ],
- "I3": [ "1" ],
- "O": [ 3828 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3244 ],
- "I3": [ "1" ],
- "O": [ 3803 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3244 ],
- "CO": [ 4438 ],
- "I0": [ "0" ],
- "I1": [ 3243 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3242 ],
- "I3": [ 4438 ],
- "O": [ 3806 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4438 ],
- "CO": [ 4439 ],
- "I0": [ "0" ],
- "I1": [ 3242 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3241 ],
- "I3": [ 4439 ],
- "O": [ 3808 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4439 ],
- "CO": [ 4440 ],
- "I0": [ "0" ],
- "I1": [ 3241 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 3392 ],
- "I3": [ 4440 ],
- "O": [ 3810 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4440 ],
- "CO": [ 1592 ],
- "I0": [ "1" ],
- "I1": [ 3392 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2210.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:39|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 903 ],
- "I2": [ "1" ],
- "I3": [ "1" ],
- "O": [ 3814 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1967 ],
- "I3": [ "0" ],
- "O": [ 4441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1966 ],
- "CO": [ 4442 ],
- "I0": [ "0" ],
- "I1": [ 1979 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4443 ],
- "I3": [ 4442 ],
- "O": [ 4444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4442 ],
- "CO": [ 4445 ],
- "I0": [ "0" ],
- "I1": [ 4443 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4446 ],
- "I3": [ 4445 ],
- "O": [ 4447 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4445 ],
- "CO": [ 4448 ],
- "I0": [ "1" ],
- "I1": [ 4446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4449 ],
- "I3": [ 4448 ],
- "O": [ 4450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4448 ],
- "CO": [ 4451 ],
- "I0": [ "1" ],
- "I1": [ 4449 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4452 ],
- "I3": [ 4451 ],
- "O": [ 4453 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4451 ],
- "CO": [ 4454 ],
- "I0": [ "0" ],
- "I1": [ 4452 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4455 ],
- "I3": [ 4454 ],
- "O": [ 4456 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 303 ],
- "I3": [ "0" ],
- "O": [ 1456 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 303 ],
- "CO": [ 4457 ],
- "I0": [ "0" ],
- "I1": [ 1458 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3526 ],
- "I3": [ 4457 ],
- "O": [ 1460 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4457 ],
- "CO": [ 4458 ],
- "I0": [ "0" ],
- "I1": [ 3526 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3666 ],
- "I3": [ 4458 ],
- "O": [ 1462 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4458 ],
- "CO": [ 4459 ],
- "I0": [ "0" ],
- "I1": [ 3666 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3667 ],
- "I3": [ 4459 ],
- "O": [ 1464 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4459 ],
- "CO": [ 4460 ],
- "I0": [ "0" ],
- "I1": [ 3667 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3662 ],
- "I3": [ 4460 ],
- "O": [ 1466 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4460 ],
- "CO": [ 4461 ],
- "I0": [ "0" ],
- "I1": [ 3662 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1404 ],
- "I3": [ 4461 ],
- "O": [ 1468 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4461 ],
- "CO": [ 4462 ],
- "I0": [ "0" ],
- "I1": [ 1404 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3528 ],
- "I3": [ 4462 ],
- "O": [ 1470 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4462 ],
- "CO": [ 4463 ],
- "I0": [ "0" ],
- "I1": [ 3528 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3664 ],
- "I3": [ 4463 ],
- "O": [ 1472 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4463 ],
- "CO": [ 4464 ],
- "I0": [ "0" ],
- "I1": [ 3664 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3525 ],
- "I3": [ 4464 ],
- "O": [ 1474 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1967 ],
- "I3": [ "0" ],
- "O": [ 4465 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1966 ],
- "CO": [ 4466 ],
- "I0": [ "1" ],
- "I1": [ 1979 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4443 ],
- "I3": [ 4466 ],
- "O": [ 4467 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4466 ],
- "CO": [ 4468 ],
- "I0": [ "1" ],
- "I1": [ 4443 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4446 ],
- "I3": [ 4468 ],
- "O": [ 4469 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4468 ],
- "CO": [ 4470 ],
- "I0": [ "1" ],
- "I1": [ 4446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4449 ],
- "I3": [ 4470 ],
- "O": [ 4471 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4470 ],
- "CO": [ 4472 ],
- "I0": [ "1" ],
- "I1": [ 4449 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4452 ],
- "I3": [ 4472 ],
- "O": [ 4473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4472 ],
- "CO": [ 4474 ],
- "I0": [ "1" ],
- "I1": [ 4452 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4455 ],
- "I3": [ 4474 ],
- "O": [ 4475 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2222.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:376|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4476 ],
- "I3": [ "0" ],
- "O": [ 4477 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1967 ],
- "I2": [ "1" ],
- "I3": [ "0" ],
- "O": [ 4478 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1967 ],
- "CO": [ 4479 ],
- "I0": [ 1966 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1979 ],
- "I2": [ "1" ],
- "I3": [ 4479 ],
- "O": [ 4480 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4479 ],
- "CO": [ 4481 ],
- "I0": [ 1979 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4443 ],
- "I2": [ "1" ],
- "I3": [ 4481 ],
- "O": [ 4482 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4481 ],
- "CO": [ 4483 ],
- "I0": [ 4443 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4446 ],
- "I2": [ "1" ],
- "I3": [ 4483 ],
- "O": [ 4484 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4483 ],
- "CO": [ 4485 ],
- "I0": [ 4446 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4449 ],
- "I2": [ "1" ],
- "I3": [ 4485 ],
- "O": [ 4486 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4485 ],
- "CO": [ 4487 ],
- "I0": [ 4449 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4452 ],
- "I2": [ "0" ],
- "I3": [ 4487 ],
- "O": [ 4488 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4487 ],
- "CO": [ 4489 ],
- "I0": [ 4452 ],
- "I1": [ "0" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4455 ],
- "I2": [ "1" ],
- "I3": [ 4489 ],
- "O": [ 4490 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3549 ],
- "I2": [ 3397 ],
- "I3": [ "1" ],
- "O": [ 4476 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4491 ],
- "I0": [ 3549 ],
- "I1": [ 3397 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3555 ],
- "I2": [ 3398 ],
- "I3": [ 4491 ],
- "O": [ 4492 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4491 ],
- "CO": [ 4493 ],
- "I0": [ 3555 ],
- "I1": [ 3398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3561 ],
- "I2": [ 3399 ],
- "I3": [ 4493 ],
- "O": [ 4494 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4493 ],
- "CO": [ 4495 ],
- "I0": [ 3561 ],
- "I1": [ 3399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3567 ],
- "I2": [ 3400 ],
- "I3": [ 4495 ],
- "O": [ 4496 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4495 ],
- "CO": [ 4497 ],
- "I0": [ 3567 ],
- "I1": [ 3400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3573 ],
- "I2": [ 3401 ],
- "I3": [ 4497 ],
- "O": [ 4498 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4497 ],
- "CO": [ 4499 ],
- "I0": [ 3573 ],
- "I1": [ 3401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3579 ],
- "I2": [ 3395 ],
- "I3": [ 4499 ],
- "O": [ 4500 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4499 ],
- "CO": [ 4501 ],
- "I0": [ 3579 ],
- "I1": [ 3395 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3585 ],
- "I2": [ 3396 ],
- "I3": [ 4501 ],
- "O": [ 1989 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4501 ],
- "CO": [ 4502 ],
- "I0": [ 3585 ],
- "I1": [ 3396 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3591 ],
- "I2": [ 3402 ],
- "I3": [ 4502 ],
- "O": [ 1990 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3666 ],
- "I2": [ 459 ],
- "I3": [ "1" ],
- "O": [ 1487 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4503 ],
- "I0": [ 3666 ],
- "I1": [ 459 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 457 ],
- "I3": [ 4504 ],
- "O": [ 1507 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3667 ],
- "I2": [ 460 ],
- "I3": [ 4503 ],
- "O": [ 1489 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4503 ],
- "CO": [ 4505 ],
- "I0": [ 3667 ],
- "I1": [ 460 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3662 ],
- "I2": [ 467 ],
- "I3": [ 4505 ],
- "O": [ 1491 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4505 ],
- "CO": [ 4506 ],
- "I0": [ 3662 ],
- "I1": [ 467 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1404 ],
- "I2": [ 468 ],
- "I3": [ 4506 ],
- "O": [ 1493 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4506 ],
- "CO": [ 4507 ],
- "I0": [ 1404 ],
- "I1": [ 468 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3528 ],
- "I2": [ 469 ],
- "I3": [ 4507 ],
- "O": [ 1495 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4507 ],
- "CO": [ 4508 ],
- "I0": [ 3528 ],
- "I1": [ 469 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3664 ],
- "I2": [ 470 ],
- "I3": [ 4508 ],
- "O": [ 1497 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4508 ],
- "CO": [ 4509 ],
- "I0": [ 3664 ],
- "I1": [ 470 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3525 ],
- "I2": [ 463 ],
- "I3": [ 4509 ],
- "O": [ 1499 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4509 ],
- "CO": [ 4510 ],
- "I0": [ 3525 ],
- "I1": [ 463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 464 ],
- "I3": [ 4510 ],
- "O": [ 1501 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4510 ],
- "CO": [ 4511 ],
- "I0": [ "0" ],
- "I1": [ 464 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 465 ],
- "I3": [ 4511 ],
- "O": [ 1503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4511 ],
- "CO": [ 4512 ],
- "I0": [ "0" ],
- "I1": [ 465 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 466 ],
- "I3": [ 4512 ],
- "O": [ 1505 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4512 ],
- "CO": [ 4504 ],
- "I0": [ "0" ],
- "I1": [ 466 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 471 ],
- "I3": [ "0" ],
- "O": [ 1509 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 690 ],
- "I3": [ 4513 ],
- "O": [ 1528 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4513 ],
- "CO": [ 4514 ],
- "I0": [ "0" ],
- "I1": [ 690 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 701 ],
- "I3": [ 4514 ],
- "O": [ 1530 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4514 ],
- "CO": [ 4515 ],
- "I0": [ "0" ],
- "I1": [ 701 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 716 ],
- "I3": [ 4515 ],
- "O": [ 1532 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 471 ],
- "CO": [ 4516 ],
- "I0": [ "0" ],
- "I1": [ 691 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 692 ],
- "I3": [ 4516 ],
- "O": [ 1512 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4516 ],
- "CO": [ 4517 ],
- "I0": [ "0" ],
- "I1": [ 692 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 686 ],
- "I3": [ 4517 ],
- "O": [ 1514 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4517 ],
- "CO": [ 4518 ],
- "I0": [ "0" ],
- "I1": [ 686 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 687 ],
- "I3": [ 4518 ],
- "O": [ 1516 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4518 ],
- "CO": [ 4519 ],
- "I0": [ "0" ],
- "I1": [ 687 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 688 ],
- "I3": [ 4519 ],
- "O": [ 1518 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4519 ],
- "CO": [ 4520 ],
- "I0": [ "0" ],
- "I1": [ 688 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 689 ],
- "I3": [ 4520 ],
- "O": [ 1520 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4520 ],
- "CO": [ 4521 ],
- "I0": [ "0" ],
- "I1": [ 689 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 683 ],
- "I3": [ 4521 ],
- "O": [ 1522 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4521 ],
- "CO": [ 4522 ],
- "I0": [ "0" ],
- "I1": [ 683 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 684 ],
- "I3": [ 4522 ],
- "O": [ 1524 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4522 ],
- "CO": [ 4523 ],
- "I0": [ "0" ],
- "I1": [ 684 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 685 ],
- "I3": [ 4523 ],
- "O": [ 1526 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4523 ],
- "CO": [ 4513 ],
- "I0": [ "0" ],
- "I1": [ 685 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2240.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:469|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 475 ],
- "I3": [ "0" ],
- "O": [ 1534 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2240.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:469|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 475 ],
- "CO": [ 4524 ],
- "I0": [ "0" ],
- "I1": [ 477 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2240.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:469|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 478 ],
- "I3": [ 4524 ],
- "O": [ 1537 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 719 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1793 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 719 ],
- "CO": [ 4525 ],
- "I0": [ 1378 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1373 ],
- "I2": [ "1" ],
- "I3": [ 4525 ],
- "O": [ 1796 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4525 ],
- "CO": [ 4526 ],
- "I0": [ 1373 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1358 ],
- "I2": [ "1" ],
- "I3": [ 4526 ],
- "O": [ 1798 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4526 ],
- "CO": [ 4527 ],
- "I0": [ 1358 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1366 ],
- "I2": [ "1" ],
- "I3": [ 4527 ],
- "O": [ 1800 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4527 ],
- "CO": [ 4528 ],
- "I0": [ 1366 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1356 ],
- "I2": [ "1" ],
- "I3": [ 4528 ],
- "O": [ 1802 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4528 ],
- "CO": [ 4529 ],
- "I0": [ 1356 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 854 ],
- "I2": [ "1" ],
- "I3": [ 4529 ],
- "O": [ 1804 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4529 ],
- "CO": [ 4530 ],
- "I0": [ 854 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 851 ],
- "I2": [ "1" ],
- "I3": [ 4530 ],
- "O": [ 1806 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 711 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1778 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 711 ],
- "CO": [ 4531 ],
- "I0": [ 1374 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1377 ],
- "I2": [ "1" ],
- "I3": [ 4531 ],
- "O": [ 1781 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4531 ],
- "CO": [ 4532 ],
- "I0": [ 1377 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1372 ],
- "I2": [ "1" ],
- "I3": [ 4532 ],
- "O": [ 1783 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4532 ],
- "CO": [ 4533 ],
- "I0": [ 1372 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1357 ],
- "I2": [ "1" ],
- "I3": [ 4533 ],
- "O": [ 1785 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4533 ],
- "CO": [ 4534 ],
- "I0": [ 1357 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1365 ],
- "I2": [ "1" ],
- "I3": [ 4534 ],
- "O": [ 1787 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4534 ],
- "CO": [ 4535 ],
- "I0": [ 1365 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1355 ],
- "I2": [ "1" ],
- "I3": [ 4535 ],
- "O": [ 1789 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4535 ],
- "CO": [ 4536 ],
- "I0": [ 1355 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 853 ],
- "I2": [ "1" ],
- "I3": [ 4536 ],
- "O": [ 1791 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 704 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1763 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 704 ],
- "CO": [ 4537 ],
- "I0": [ 1370 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1376 ],
- "I2": [ "1" ],
- "I3": [ 4537 ],
- "O": [ 1766 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4537 ],
- "CO": [ 4538 ],
- "I0": [ 1376 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1371 ],
- "I2": [ "1" ],
- "I3": [ 4538 ],
- "O": [ 1768 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4538 ],
- "CO": [ 4539 ],
- "I0": [ 1371 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1352 ],
- "I2": [ "1" ],
- "I3": [ 4539 ],
- "O": [ 1770 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4539 ],
- "CO": [ 4540 ],
- "I0": [ 1352 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1364 ],
- "I2": [ "1" ],
- "I3": [ 4540 ],
- "O": [ 1772 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4540 ],
- "CO": [ 4541 ],
- "I0": [ 1364 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1354 ],
- "I2": [ "1" ],
- "I3": [ 4541 ],
- "O": [ 1774 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4541 ],
- "CO": [ 4542 ],
- "I0": [ 1354 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1367 ],
- "I2": [ "1" ],
- "I3": [ 4542 ],
- "O": [ 1776 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 695 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 1747 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 695 ],
- "CO": [ 4543 ],
- "I0": [ 1749 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3494 ],
- "I2": [ "1" ],
- "I3": [ 4543 ],
- "O": [ 1751 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4543 ],
- "CO": [ 4544 ],
- "I0": [ 3494 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1381 ],
- "I2": [ "1" ],
- "I3": [ 4544 ],
- "O": [ 1753 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4544 ],
- "CO": [ 4545 ],
- "I0": [ 1381 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1382 ],
- "I2": [ "1" ],
- "I3": [ 4545 ],
- "O": [ 1755 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4545 ],
- "CO": [ 4546 ],
- "I0": [ 1382 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3490 ],
- "I2": [ "1" ],
- "I3": [ 4546 ],
- "O": [ 1757 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4546 ],
- "CO": [ 4547 ],
- "I0": [ 3490 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1379 ],
- "I2": [ "1" ],
- "I3": [ 4547 ],
- "O": [ 1759 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4547 ],
- "CO": [ 4548 ],
- "I0": [ 1379 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3487 ],
- "I2": [ "1" ],
- "I3": [ 4548 ],
- "O": [ 1761 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3593 ],
- "I2": [ 3397 ],
- "I3": [ "1" ],
- "O": [ 3431 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4549 ],
- "I0": [ 3593 ],
- "I1": [ 3397 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3595 ],
- "I2": [ 3398 ],
- "I3": [ 4549 ],
- "O": [ 3433 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4549 ],
- "CO": [ 4550 ],
- "I0": [ 3595 ],
- "I1": [ 3398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3597 ],
- "I2": [ 3399 ],
- "I3": [ 4550 ],
- "O": [ 3435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4550 ],
- "CO": [ 4551 ],
- "I0": [ 3597 ],
- "I1": [ 3399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3599 ],
- "I2": [ 3400 ],
- "I3": [ 4551 ],
- "O": [ 3437 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4551 ],
- "CO": [ 4552 ],
- "I0": [ 3599 ],
- "I1": [ 3400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3601 ],
- "I2": [ 3401 ],
- "I3": [ 4552 ],
- "O": [ 3439 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4552 ],
- "CO": [ 4553 ],
- "I0": [ 3601 ],
- "I1": [ 3401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3603 ],
- "I2": [ 3395 ],
- "I3": [ 4553 ],
- "O": [ 3441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4553 ],
- "CO": [ 4554 ],
- "I0": [ 3603 ],
- "I1": [ 3395 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3605 ],
- "I2": [ 3396 ],
- "I3": [ 4554 ],
- "O": [ 3443 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4554 ],
- "CO": [ 4555 ],
- "I0": [ 3605 ],
- "I1": [ 3396 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3607 ],
- "I2": [ 3402 ],
- "I3": [ 4555 ],
- "O": [ 3445 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2258.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2123 ],
- "I3": [ "1" ],
- "O": [ 3781 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 1399 ],
- "I3": [ "0" ],
- "O": [ 4556 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 922 ],
- "I3": [ 4557 ],
- "O": [ 4558 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4557 ],
- "CO": [ 4559 ],
- "I0": [ "0" ],
- "I1": [ 922 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 929 ],
- "I3": [ 4559 ],
- "O": [ 4560 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4559 ],
- "CO": [ 4561 ],
- "I0": [ "0" ],
- "I1": [ 929 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 936 ],
- "I3": [ 4561 ],
- "O": [ 4562 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1399 ],
- "CO": [ 4563 ],
- "I0": [ "0" ],
- "I1": [ 1398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1400 ],
- "I3": [ 4563 ],
- "O": [ 4564 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4563 ],
- "CO": [ 4565 ],
- "I0": [ "0" ],
- "I1": [ 1400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1390 ],
- "I3": [ 4565 ],
- "O": [ 4566 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4565 ],
- "CO": [ 4567 ],
- "I0": [ "0" ],
- "I1": [ 1390 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1391 ],
- "I3": [ 4567 ],
- "O": [ 4568 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4567 ],
- "CO": [ 4569 ],
- "I0": [ "0" ],
- "I1": [ 1391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1392 ],
- "I3": [ 4569 ],
- "O": [ 4570 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4569 ],
- "CO": [ 4571 ],
- "I0": [ "0" ],
- "I1": [ 1392 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1393 ],
- "I3": [ 4571 ],
- "O": [ 4572 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4571 ],
- "CO": [ 4573 ],
- "I0": [ "0" ],
- "I1": [ 1393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1396 ],
- "I3": [ 4573 ],
- "O": [ 4574 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4573 ],
- "CO": [ 4575 ],
- "I0": [ "0" ],
- "I1": [ 1396 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 904 ],
- "I3": [ 4575 ],
- "O": [ 4576 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4575 ],
- "CO": [ 4577 ],
- "I0": [ "0" ],
- "I1": [ 904 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 915 ],
- "I3": [ 4577 ],
- "O": [ 4578 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4577 ],
- "CO": [ 4557 ],
- "I0": [ "0" ],
- "I1": [ 915 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2264.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:351|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4476 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4579 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1902 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3215 ],
- "I2": [ 3190 ],
- "I3": [ 4580 ],
- "O": [ 1923 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4580 ],
- "CO": [ 4581 ],
- "I0": [ 3215 ],
- "I1": [ 3190 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3216 ],
- "I2": [ 3191 ],
- "I3": [ 4581 ],
- "O": [ 1925 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4581 ],
- "CO": [ 4582 ],
- "I0": [ 3216 ],
- "I1": [ 3191 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3217 ],
- "I2": [ 3192 ],
- "I3": [ 4582 ],
- "O": [ 1927 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4582 ],
- "CO": [ 4583 ],
- "I0": [ 3217 ],
- "I1": [ 3192 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3218 ],
- "I2": [ 3193 ],
- "I3": [ 4583 ],
- "O": [ 1929 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4583 ],
- "CO": [ 4584 ],
- "I0": [ 3218 ],
- "I1": [ 3193 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3219 ],
- "I2": [ 3194 ],
- "I3": [ 4584 ],
- "O": [ 1931 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4584 ],
- "CO": [ 4585 ],
- "I0": [ 3219 ],
- "I1": [ 3194 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3220 ],
- "I2": [ 3195 ],
- "I3": [ 4585 ],
- "O": [ 1933 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4585 ],
- "CO": [ 4586 ],
- "I0": [ 3220 ],
- "I1": [ 3195 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3221 ],
- "I2": [ 3196 ],
- "I3": [ 4586 ],
- "O": [ 1935 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4586 ],
- "CO": [ 4587 ],
- "I0": [ 3221 ],
- "I1": [ 3196 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3222 ],
- "I2": [ 3197 ],
- "I3": [ 4587 ],
- "O": [ 1937 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4587 ],
- "CO": [ 4588 ],
- "I0": [ 3222 ],
- "I1": [ 3197 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3223 ],
- "I2": [ 3198 ],
- "I3": [ 4588 ],
- "O": [ 1939 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4588 ],
- "CO": [ 4589 ],
- "I0": [ 3223 ],
- "I1": [ 3198 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3224 ],
- "I2": [ 3199 ],
- "I3": [ 4589 ],
- "O": [ 1941 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4589 ],
- "CO": [ 4590 ],
- "I0": [ 3224 ],
- "I1": [ 3199 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4591 ],
- "I0": [ 1905 ],
- "I1": [ 1904 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3225 ],
- "I2": [ 3200 ],
- "I3": [ 4590 ],
- "O": [ 1943 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4590 ],
- "CO": [ 4592 ],
- "I0": [ 3225 ],
- "I1": [ 3200 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3226 ],
- "I2": [ 3201 ],
- "I3": [ 4592 ],
- "O": [ 1945 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4592 ],
- "CO": [ 4593 ],
- "I0": [ 3226 ],
- "I1": [ 3201 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3227 ],
- "I2": [ 3202 ],
- "I3": [ 4593 ],
- "O": [ 1947 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4593 ],
- "CO": [ 4594 ],
- "I0": [ 3227 ],
- "I1": [ 3202 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3228 ],
- "I2": [ 3203 ],
- "I3": [ 4594 ],
- "O": [ 1949 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4594 ],
- "CO": [ 4595 ],
- "I0": [ 3228 ],
- "I1": [ 3203 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3229 ],
- "I2": [ 3204 ],
- "I3": [ 4595 ],
- "O": [ 1951 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4595 ],
- "CO": [ 4596 ],
- "I0": [ 3229 ],
- "I1": [ 3204 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3230 ],
- "I2": [ 3205 ],
- "I3": [ 4596 ],
- "O": [ 1953 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4596 ],
- "CO": [ 4597 ],
- "I0": [ 3230 ],
- "I1": [ 3205 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3231 ],
- "I2": [ 3206 ],
- "I3": [ 4597 ],
- "O": [ 1955 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4597 ],
- "CO": [ 4598 ],
- "I0": [ 3231 ],
- "I1": [ 3206 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3232 ],
- "I2": [ 3207 ],
- "I3": [ 4598 ],
- "O": [ 1957 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3209 ],
- "I2": [ 3184 ],
- "I3": [ 4591 ],
- "O": [ 1907 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4591 ],
- "CO": [ 4599 ],
- "I0": [ 3209 ],
- "I1": [ 3184 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3210 ],
- "I2": [ 3185 ],
- "I3": [ 4599 ],
- "O": [ 1909 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4599 ],
- "CO": [ 4600 ],
- "I0": [ 3210 ],
- "I1": [ 3185 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3182 ],
- "I2": [ 3186 ],
- "I3": [ 4600 ],
- "O": [ 1911 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4600 ],
- "CO": [ 4601 ],
- "I0": [ 3182 ],
- "I1": [ 3186 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3211 ],
- "I2": [ 3180 ],
- "I3": [ 4601 ],
- "O": [ 1913 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4601 ],
- "CO": [ 4602 ],
- "I0": [ 3211 ],
- "I1": [ 3180 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3183 ],
- "I2": [ 3187 ],
- "I3": [ 4602 ],
- "O": [ 1915 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4602 ],
- "CO": [ 4603 ],
- "I0": [ 3183 ],
- "I1": [ 3187 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3212 ],
- "I2": [ 3181 ],
- "I3": [ 4603 ],
- "O": [ 1917 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4603 ],
- "CO": [ 4604 ],
- "I0": [ 3212 ],
- "I1": [ 3181 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3213 ],
- "I2": [ 3188 ],
- "I3": [ 4604 ],
- "O": [ 1919 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4604 ],
- "CO": [ 4605 ],
- "I0": [ 3213 ],
- "I1": [ 3188 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3214 ],
- "I2": [ 3189 ],
- "I3": [ 4605 ],
- "O": [ 1921 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2820.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4605 ],
- "CO": [ 4580 ],
- "I0": [ 3214 ],
- "I1": [ 3189 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3165 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 413 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3174 ],
- "I2": [ 3159 ],
- "I3": [ 4606 ],
- "O": [ 412 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4606 ],
- "CO": [ 4607 ],
- "I0": [ 3174 ],
- "I1": [ 3159 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3175 ],
- "I2": [ 3160 ],
- "I3": [ 4607 ],
- "O": [ 409 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4607 ],
- "CO": [ 4608 ],
- "I0": [ 3175 ],
- "I1": [ 3160 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3176 ],
- "I2": [ 3161 ],
- "I3": [ 4608 ],
- "O": [ 410 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4608 ],
- "CO": [ 4609 ],
- "I0": [ 3176 ],
- "I1": [ 3161 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3177 ],
- "I2": [ 3162 ],
- "I3": [ 4609 ],
- "O": [ 405 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4609 ],
- "CO": [ 4610 ],
- "I0": [ 3177 ],
- "I1": [ 3162 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3178 ],
- "I2": [ 3163 ],
- "I3": [ 4610 ],
- "O": [ 406 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4610 ],
- "CO": [ 4611 ],
- "I0": [ 3178 ],
- "I1": [ 3163 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3179 ],
- "I2": [ 3164 ],
- "I3": [ 4611 ],
- "O": [ 407 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4612 ],
- "I0": [ 315 ],
- "I1": [ 314 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3166 ],
- "I2": [ 3151 ],
- "I3": [ 4612 ],
- "O": [ 414 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4612 ],
- "CO": [ 4613 ],
- "I0": [ 3166 ],
- "I1": [ 3151 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3167 ],
- "I2": [ 3152 ],
- "I3": [ 4613 ],
- "O": [ 415 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4613 ],
- "CO": [ 4614 ],
- "I0": [ 3167 ],
- "I1": [ 3152 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3168 ],
- "I2": [ 3153 ],
- "I3": [ 4614 ],
- "O": [ 402 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4614 ],
- "CO": [ 4615 ],
- "I0": [ 3168 ],
- "I1": [ 3153 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3169 ],
- "I2": [ 3154 ],
- "I3": [ 4615 ],
- "O": [ 417 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4615 ],
- "CO": [ 4616 ],
- "I0": [ 3169 ],
- "I1": [ 3154 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3170 ],
- "I2": [ 3155 ],
- "I3": [ 4616 ],
- "O": [ 418 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4616 ],
- "CO": [ 4617 ],
- "I0": [ 3170 ],
- "I1": [ 3155 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3171 ],
- "I2": [ 3156 ],
- "I3": [ 4617 ],
- "O": [ 419 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4617 ],
- "CO": [ 4618 ],
- "I0": [ 3171 ],
- "I1": [ 3156 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3172 ],
- "I2": [ 3157 ],
- "I3": [ 4618 ],
- "O": [ 420 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4618 ],
- "CO": [ 4619 ],
- "I0": [ 3172 ],
- "I1": [ 3157 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3173 ],
- "I2": [ 3158 ],
- "I3": [ 4619 ],
- "O": [ 411 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2827.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4619 ],
- "CO": [ 4606 ],
- "I0": [ 3173 ],
- "I1": [ 3158 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 1967 ],
- "I3": [ "0" ],
- "O": [ 4620 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1967 ],
- "CO": [ 4621 ],
- "I0": [ 1966 ],
- "I1": [ "0" ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1979 ],
- "I2": [ "0" ],
- "I3": [ 4621 ],
- "O": [ 4622 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4621 ],
- "CO": [ 4623 ],
- "I0": [ 1979 ],
- "I1": [ "0" ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4443 ],
- "I3": [ 4623 ],
- "O": [ 4624 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4623 ],
- "CO": [ 4625 ],
- "I0": [ "1" ],
- "I1": [ 4443 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4446 ],
- "I3": [ 4625 ],
- "O": [ 4626 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4625 ],
- "CO": [ 4627 ],
- "I0": [ "1" ],
- "I1": [ 4446 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4449 ],
- "I3": [ 4627 ],
- "O": [ 4628 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4627 ],
- "CO": [ 4629 ],
- "I0": [ "1" ],
- "I1": [ 4449 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 4452 ],
- "I3": [ 4629 ],
- "O": [ 4630 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4629 ],
- "CO": [ 4631 ],
- "I0": [ "1" ],
- "I1": [ 4452 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2831.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 4455 ],
- "I2": [ "0" ],
- "I3": [ 4631 ],
- "O": [ 4632 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3129 ],
- "I2": [ 2784 ],
- "I3": [ 4633 ],
- "O": [ 872 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4633 ],
- "CO": [ 4634 ],
- "I0": [ 3129 ],
- "I1": [ 2784 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3130 ],
- "I2": [ 2806 ],
- "I3": [ 4634 ],
- "O": [ 870 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4634 ],
- "CO": [ 4635 ],
- "I0": [ 3130 ],
- "I1": [ 2806 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3131 ],
- "I2": [ 2829 ],
- "I3": [ 4635 ],
- "O": [ 868 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4635 ],
- "CO": [ 4636 ],
- "I0": [ 3131 ],
- "I1": [ 2829 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3132 ],
- "I2": [ 2851 ],
- "I3": [ 4636 ],
- "O": [ 866 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4636 ],
- "CO": [ 4637 ],
- "I0": [ 3132 ],
- "I1": [ 2851 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3133 ],
- "I2": [ 2873 ],
- "I3": [ 4637 ],
- "O": [ 766 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4637 ],
- "CO": [ 4638 ],
- "I0": [ 3133 ],
- "I1": [ 2873 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3134 ],
- "I2": [ 2895 ],
- "I3": [ 4638 ],
- "O": [ 767 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4638 ],
- "CO": [ 4639 ],
- "I0": [ 3134 ],
- "I1": [ 2895 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3135 ],
- "I2": [ 2920 ],
- "I3": [ 4639 ],
- "O": [ 1891 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4639 ],
- "CO": [ 4640 ],
- "I0": [ 3135 ],
- "I1": [ 2920 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3136 ],
- "I2": [ 2944 ],
- "I3": [ 4640 ],
- "O": [ 1893 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4640 ],
- "CO": [ 4641 ],
- "I0": [ 3136 ],
- "I1": [ 2944 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3137 ],
- "I2": [ 2967 ],
- "I3": [ 4641 ],
- "O": [ 1895 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4641 ],
- "CO": [ 4642 ],
- "I0": [ 3137 ],
- "I1": [ 2967 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3138 ],
- "I2": [ 2990 ],
- "I3": [ 4642 ],
- "O": [ 3634 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4642 ],
- "CO": [ 4643 ],
- "I0": [ 3138 ],
- "I1": [ 2990 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3139 ],
- "I2": [ 3011 ],
- "I3": [ 4643 ],
- "O": [ 3633 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4643 ],
- "CO": [ 4644 ],
- "I0": [ 3139 ],
- "I1": [ 3011 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3140 ],
- "I2": [ 3031 ],
- "I3": [ 4644 ],
- "O": [ 3632 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4644 ],
- "CO": [ 4645 ],
- "I0": [ 3140 ],
- "I1": [ 3031 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3141 ],
- "I2": [ 3051 ],
- "I3": [ 4645 ],
- "O": [ 3630 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4645 ],
- "CO": [ 4646 ],
- "I0": [ 3141 ],
- "I1": [ 3051 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3142 ],
- "I2": [ 3069 ],
- "I3": [ 4646 ],
- "O": [ 3629 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4646 ],
- "CO": [ 4647 ],
- "I0": [ 3142 ],
- "I1": [ 3069 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3143 ],
- "I2": [ 3084 ],
- "I3": [ 4647 ],
- "O": [ 3628 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4647 ],
- "CO": [ 4648 ],
- "I0": [ 3143 ],
- "I1": [ 3084 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3144 ],
- "I2": [ 3097 ],
- "I3": [ 4648 ],
- "O": [ 3640 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4648 ],
- "CO": [ 4649 ],
- "I0": [ 3144 ],
- "I1": [ 3097 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3145 ],
- "I2": [ 3106 ],
- "I3": [ 4649 ],
- "O": [ 3639 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4649 ],
- "CO": [ 4650 ],
- "I0": [ 3145 ],
- "I1": [ 3106 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3147 ],
- "I2": [ 3112 ],
- "I3": [ 4650 ],
- "O": [ 3638 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4650 ],
- "CO": [ 4651 ],
- "I0": [ 3147 ],
- "I1": [ 3112 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3148 ],
- "I2": [ 3626 ],
- "I3": [ 4651 ],
- "O": [ 3636 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4651 ],
- "CO": [ 4652 ],
- "I0": [ 3148 ],
- "I1": [ 3626 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3149 ],
- "I2": [ 3124 ],
- "I3": [ 4652 ],
- "O": [ 865 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4653 ],
- "I0": [ 1985 ],
- "I1": [ 1868 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3125 ],
- "I2": [ 3150 ],
- "I3": [ 4653 ],
- "O": [ 880 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4653 ],
- "CO": [ 4654 ],
- "I0": [ 3125 ],
- "I1": [ 3150 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3126 ],
- "I2": [ 2728 ],
- "I3": [ 4654 ],
- "O": [ 878 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4654 ],
- "CO": [ 4655 ],
- "I0": [ 3126 ],
- "I1": [ 2728 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3127 ],
- "I2": [ 2745 ],
- "I3": [ 4655 ],
- "O": [ 876 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4655 ],
- "CO": [ 4656 ],
- "I0": [ 3127 ],
- "I1": [ 2745 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3128 ],
- "I2": [ 2764 ],
- "I3": [ 4656 ],
- "O": [ 874 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2909.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4656 ],
- "CO": [ 4633 ],
- "I0": [ 3128 ],
- "I1": [ 2764 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2701 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3415 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4657 ],
- "I0": [ 1973 ],
- "I1": [ 1977 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2719 ],
- "I2": [ 2703 ],
- "I3": [ 4657 ],
- "O": [ 3417 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4657 ],
- "CO": [ 4658 ],
- "I0": [ 2719 ],
- "I1": [ 2703 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2720 ],
- "I2": [ 2706 ],
- "I3": [ 4658 ],
- "O": [ 3419 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4658 ],
- "CO": [ 4659 ],
- "I0": [ 2720 ],
- "I1": [ 2706 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2702 ],
- "I2": [ 2710 ],
- "I3": [ 4659 ],
- "O": [ 3421 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4659 ],
- "CO": [ 4660 ],
- "I0": [ 2702 ],
- "I1": [ 2710 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2721 ],
- "I2": [ 2698 ],
- "I3": [ 4660 ],
- "O": [ 3423 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4660 ],
- "CO": [ 4661 ],
- "I0": [ 2721 ],
- "I1": [ 2698 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2722 ],
- "I2": [ 2713 ],
- "I3": [ 4661 ],
- "O": [ 3425 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4661 ],
- "CO": [ 4662 ],
- "I0": [ 2722 ],
- "I1": [ 2713 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2921.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2724 ],
- "I2": [ 2717 ],
- "I3": [ 4662 ],
- "O": [ 3427 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2671 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2678 ],
- "I2": [ 2653 ],
- "I3": [ 4663 ],
- "O": [ 1110 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4663 ],
- "CO": [ 4664 ],
- "I0": [ 2678 ],
- "I1": [ 2653 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2679 ],
- "I2": [ 2654 ],
- "I3": [ 4664 ],
- "O": [ 1117 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4664 ],
- "CO": [ 4665 ],
- "I0": [ 2679 ],
- "I1": [ 2654 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2680 ],
- "I2": [ 2655 ],
- "I3": [ 4665 ],
- "O": [ 1124 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4665 ],
- "CO": [ 4666 ],
- "I0": [ 2680 ],
- "I1": [ 2655 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2681 ],
- "I2": [ 2656 ],
- "I3": [ 4666 ],
- "O": [ 1131 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4666 ],
- "CO": [ 4667 ],
- "I0": [ 2681 ],
- "I1": [ 2656 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2682 ],
- "I2": [ 2657 ],
- "I3": [ 4667 ],
- "O": [ 1138 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4667 ],
- "CO": [ 4668 ],
- "I0": [ 2682 ],
- "I1": [ 2657 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2683 ],
- "I2": [ 2658 ],
- "I3": [ 4668 ],
- "O": [ 1145 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4668 ],
- "CO": [ 4669 ],
- "I0": [ 2683 ],
- "I1": [ 2658 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2684 ],
- "I2": [ 2659 ],
- "I3": [ 4669 ],
- "O": [ 1152 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4669 ],
- "CO": [ 4670 ],
- "I0": [ 2684 ],
- "I1": [ 2659 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2685 ],
- "I2": [ 2660 ],
- "I3": [ 4670 ],
- "O": [ 1159 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4670 ],
- "CO": [ 4671 ],
- "I0": [ 2685 ],
- "I1": [ 2660 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2686 ],
- "I2": [ 2661 ],
- "I3": [ 4671 ],
- "O": [ 1166 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4671 ],
- "CO": [ 4672 ],
- "I0": [ 2686 ],
- "I1": [ 2661 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2687 ],
- "I2": [ 2662 ],
- "I3": [ 4672 ],
- "O": [ 1173 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4672 ],
- "CO": [ 4673 ],
- "I0": [ 2687 ],
- "I1": [ 2662 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4674 ],
- "I0": [ 1047 ],
- "I1": [ 1046 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2688 ],
- "I2": [ 2663 ],
- "I3": [ 4673 ],
- "O": [ 1180 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4673 ],
- "CO": [ 4675 ],
- "I0": [ 2688 ],
- "I1": [ 2663 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2689 ],
- "I2": [ 2664 ],
- "I3": [ 4675 ],
- "O": [ 1187 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4675 ],
- "CO": [ 4676 ],
- "I0": [ 2689 ],
- "I1": [ 2664 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2690 ],
- "I2": [ 2665 ],
- "I3": [ 4676 ],
- "O": [ 1194 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4676 ],
- "CO": [ 4677 ],
- "I0": [ 2690 ],
- "I1": [ 2665 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2691 ],
- "I2": [ 2666 ],
- "I3": [ 4677 ],
- "O": [ 1201 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4677 ],
- "CO": [ 4678 ],
- "I0": [ 2691 ],
- "I1": [ 2666 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2692 ],
- "I2": [ 2667 ],
- "I3": [ 4678 ],
- "O": [ 1208 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4678 ],
- "CO": [ 4679 ],
- "I0": [ 2692 ],
- "I1": [ 2667 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2693 ],
- "I2": [ 2668 ],
- "I3": [ 4679 ],
- "O": [ 1215 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4679 ],
- "CO": [ 4680 ],
- "I0": [ 2693 ],
- "I1": [ 2668 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2694 ],
- "I2": [ 2669 ],
- "I3": [ 4680 ],
- "O": [ 1222 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4680 ],
- "CO": [ 4681 ],
- "I0": [ 2694 ],
- "I1": [ 2669 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2695 ],
- "I2": [ 2670 ],
- "I3": [ 4681 ],
- "O": [ 1229 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2672 ],
- "I2": [ 2647 ],
- "I3": [ 4674 ],
- "O": [ 1054 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4674 ],
- "CO": [ 4682 ],
- "I0": [ 2672 ],
- "I1": [ 2647 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2673 ],
- "I2": [ 2648 ],
- "I3": [ 4682 ],
- "O": [ 1061 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4682 ],
- "CO": [ 4683 ],
- "I0": [ 2673 ],
- "I1": [ 2648 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2645 ],
- "I2": [ 2649 ],
- "I3": [ 4683 ],
- "O": [ 1068 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4683 ],
- "CO": [ 4684 ],
- "I0": [ 2645 ],
- "I1": [ 2649 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2674 ],
- "I2": [ 2643 ],
- "I3": [ 4684 ],
- "O": [ 1075 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4684 ],
- "CO": [ 4685 ],
- "I0": [ 2674 ],
- "I1": [ 2643 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2646 ],
- "I2": [ 2650 ],
- "I3": [ 4685 ],
- "O": [ 1082 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4685 ],
- "CO": [ 4686 ],
- "I0": [ 2646 ],
- "I1": [ 2650 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2675 ],
- "I2": [ 2644 ],
- "I3": [ 4686 ],
- "O": [ 1089 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4686 ],
- "CO": [ 4687 ],
- "I0": [ 2675 ],
- "I1": [ 2644 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2676 ],
- "I2": [ 2651 ],
- "I3": [ 4687 ],
- "O": [ 1096 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4687 ],
- "CO": [ 4688 ],
- "I0": [ 2676 ],
- "I1": [ 2651 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2677 ],
- "I2": [ 2652 ],
- "I3": [ 4688 ],
- "O": [ 1103 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2928.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4688 ],
- "CO": [ 4663 ],
- "I0": [ 2677 ],
- "I1": [ 2652 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2622 ],
- "I2": [ 2279 ],
- "I3": [ 4689 ],
- "O": [ 789 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4689 ],
- "CO": [ 4690 ],
- "I0": [ 2622 ],
- "I1": [ 2279 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2623 ],
- "I2": [ 2301 ],
- "I3": [ 4690 ],
- "O": [ 787 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4690 ],
- "CO": [ 4691 ],
- "I0": [ 2623 ],
- "I1": [ 2301 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2624 ],
- "I2": [ 2324 ],
- "I3": [ 4691 ],
- "O": [ 785 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4691 ],
- "CO": [ 4692 ],
- "I0": [ 2624 ],
- "I1": [ 2324 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2625 ],
- "I2": [ 2346 ],
- "I3": [ 4692 ],
- "O": [ 783 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4692 ],
- "CO": [ 4693 ],
- "I0": [ 2625 ],
- "I1": [ 2346 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2626 ],
- "I2": [ 2368 ],
- "I3": [ 4693 ],
- "O": [ 776 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4693 ],
- "CO": [ 4694 ],
- "I0": [ 2626 ],
- "I1": [ 2368 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2627 ],
- "I2": [ 2390 ],
- "I3": [ 4694 ],
- "O": [ 777 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4694 ],
- "CO": [ 4695 ],
- "I0": [ 2627 ],
- "I1": [ 2390 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2628 ],
- "I2": [ 2415 ],
- "I3": [ 4695 ],
- "O": [ 1859 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4695 ],
- "CO": [ 4696 ],
- "I0": [ 2628 ],
- "I1": [ 2415 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2629 ],
- "I2": [ 2439 ],
- "I3": [ 4696 ],
- "O": [ 1861 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4696 ],
- "CO": [ 4697 ],
- "I0": [ 2629 ],
- "I1": [ 2439 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2630 ],
- "I2": [ 2462 ],
- "I3": [ 4697 ],
- "O": [ 1863 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4697 ],
- "CO": [ 4698 ],
- "I0": [ 2630 ],
- "I1": [ 2462 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2631 ],
- "I2": [ 2485 ],
- "I3": [ 4698 ],
- "O": [ 3648 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4698 ],
- "CO": [ 4699 ],
- "I0": [ 2631 ],
- "I1": [ 2485 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2632 ],
- "I2": [ 2506 ],
- "I3": [ 4699 ],
- "O": [ 3647 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4699 ],
- "CO": [ 4700 ],
- "I0": [ 2632 ],
- "I1": [ 2506 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2633 ],
- "I2": [ 2526 ],
- "I3": [ 4700 ],
- "O": [ 3646 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4700 ],
- "CO": [ 4701 ],
- "I0": [ 2633 ],
- "I1": [ 2526 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2634 ],
- "I2": [ 2546 ],
- "I3": [ 4701 ],
- "O": [ 3644 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4701 ],
- "CO": [ 4702 ],
- "I0": [ 2634 ],
- "I1": [ 2546 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2635 ],
- "I2": [ 2564 ],
- "I3": [ 4702 ],
- "O": [ 3643 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4702 ],
- "CO": [ 4703 ],
- "I0": [ 2635 ],
- "I1": [ 2564 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2636 ],
- "I2": [ 2579 ],
- "I3": [ 4703 ],
- "O": [ 3642 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4703 ],
- "CO": [ 4704 ],
- "I0": [ 2636 ],
- "I1": [ 2579 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2637 ],
- "I2": [ 2592 ],
- "I3": [ 4704 ],
- "O": [ 3654 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4704 ],
- "CO": [ 4705 ],
- "I0": [ 2637 ],
- "I1": [ 2592 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2638 ],
- "I2": [ 2600 ],
- "I3": [ 4705 ],
- "O": [ 3653 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4705 ],
- "CO": [ 4706 ],
- "I0": [ 2638 ],
- "I1": [ 2600 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2639 ],
- "I2": [ 2606 ],
- "I3": [ 4706 ],
- "O": [ 3652 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4706 ],
- "CO": [ 4707 ],
- "I0": [ 2639 ],
- "I1": [ 2606 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2640 ],
- "I2": [ 2612 ],
- "I3": [ 4707 ],
- "O": [ 3650 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4707 ],
- "CO": [ 4708 ],
- "I0": [ 2640 ],
- "I1": [ 2612 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2641 ],
- "I2": [ 2617 ],
- "I3": [ 4708 ],
- "O": [ 782 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4709 ],
- "I0": [ 1986 ],
- "I1": [ 1831 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2618 ],
- "I2": [ 2642 ],
- "I3": [ 4709 ],
- "O": [ 832 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4709 ],
- "CO": [ 4710 ],
- "I0": [ 2618 ],
- "I1": [ 2642 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2619 ],
- "I2": [ 2222 ],
- "I3": [ 4710 ],
- "O": [ 830 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4710 ],
- "CO": [ 4711 ],
- "I0": [ 2619 ],
- "I1": [ 2222 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2620 ],
- "I2": [ 2238 ],
- "I3": [ 4711 ],
- "O": [ 828 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4711 ],
- "CO": [ 4712 ],
- "I0": [ 2620 ],
- "I1": [ 2238 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2621 ],
- "I2": [ 2258 ],
- "I3": [ 4712 ],
- "O": [ 826 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3006.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4712 ],
- "CO": [ 4689 ],
- "I0": [ 2621 ],
- "I1": [ 2258 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1037 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2175 ],
- "I2": [ 2201 ],
- "I3": [ 4713 ],
- "O": [ 1115 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4713 ],
- "CO": [ 4714 ],
- "I0": [ 2175 ],
- "I1": [ 2201 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2176 ],
- "I2": [ 2202 ],
- "I3": [ 4714 ],
- "O": [ 1123 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4714 ],
- "CO": [ 4715 ],
- "I0": [ 2176 ],
- "I1": [ 2202 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2177 ],
- "I2": [ 2203 ],
- "I3": [ 4715 ],
- "O": [ 1129 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4715 ],
- "CO": [ 4716 ],
- "I0": [ 2177 ],
- "I1": [ 2203 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2178 ],
- "I2": [ 2204 ],
- "I3": [ 4716 ],
- "O": [ 1137 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4716 ],
- "CO": [ 4717 ],
- "I0": [ 2178 ],
- "I1": [ 2204 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2179 ],
- "I2": [ 2205 ],
- "I3": [ 4717 ],
- "O": [ 1143 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4717 ],
- "CO": [ 4718 ],
- "I0": [ 2179 ],
- "I1": [ 2205 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2180 ],
- "I2": [ 2206 ],
- "I3": [ 4718 ],
- "O": [ 1150 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4718 ],
- "CO": [ 4719 ],
- "I0": [ 2180 ],
- "I1": [ 2206 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2181 ],
- "I2": [ 2207 ],
- "I3": [ 4719 ],
- "O": [ 1157 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4719 ],
- "CO": [ 4720 ],
- "I0": [ 2181 ],
- "I1": [ 2207 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2182 ],
- "I2": [ 2208 ],
- "I3": [ 4720 ],
- "O": [ 1164 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4720 ],
- "CO": [ 4721 ],
- "I0": [ 2182 ],
- "I1": [ 2208 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2183 ],
- "I2": [ 2209 ],
- "I3": [ 4721 ],
- "O": [ 1171 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4721 ],
- "CO": [ 4722 ],
- "I0": [ 2183 ],
- "I1": [ 2209 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2184 ],
- "I2": [ 2210 ],
- "I3": [ 4722 ],
- "O": [ 1179 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4722 ],
- "CO": [ 4723 ],
- "I0": [ 2184 ],
- "I1": [ 2210 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4724 ],
- "I0": [ 3388 ],
- "I1": [ 1052 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2185 ],
- "I2": [ 2211 ],
- "I3": [ 4723 ],
- "O": [ 1185 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4723 ],
- "CO": [ 4725 ],
- "I0": [ 2185 ],
- "I1": [ 2211 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2186 ],
- "I2": [ 2212 ],
- "I3": [ 4725 ],
- "O": [ 1193 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4725 ],
- "CO": [ 4726 ],
- "I0": [ 2186 ],
- "I1": [ 2212 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2187 ],
- "I2": [ 2213 ],
- "I3": [ 4726 ],
- "O": [ 1200 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4726 ],
- "CO": [ 4727 ],
- "I0": [ 2187 ],
- "I1": [ 2213 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2188 ],
- "I2": [ 2214 ],
- "I3": [ 4727 ],
- "O": [ 1206 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4727 ],
- "CO": [ 4728 ],
- "I0": [ 2188 ],
- "I1": [ 2214 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2189 ],
- "I2": [ 2215 ],
- "I3": [ 4728 ],
- "O": [ 1213 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4728 ],
- "CO": [ 4729 ],
- "I0": [ 2189 ],
- "I1": [ 2215 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2190 ],
- "I2": [ 2216 ],
- "I3": [ 4729 ],
- "O": [ 1221 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4729 ],
- "CO": [ 4730 ],
- "I0": [ 2190 ],
- "I1": [ 2216 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2191 ],
- "I2": [ 2217 ],
- "I3": [ 4730 ],
- "O": [ 1227 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4730 ],
- "CO": [ 4731 ],
- "I0": [ 2191 ],
- "I1": [ 2217 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2192 ],
- "I2": [ 2218 ],
- "I3": [ 4731 ],
- "O": [ 1234 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2169 ],
- "I2": [ 2195 ],
- "I3": [ 4724 ],
- "O": [ 1060 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4724 ],
- "CO": [ 4732 ],
- "I0": [ 2169 ],
- "I1": [ 2195 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2170 ],
- "I2": [ 2196 ],
- "I3": [ 4732 ],
- "O": [ 1067 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4732 ],
- "CO": [ 4733 ],
- "I0": [ 2170 ],
- "I1": [ 2196 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2143 ],
- "I2": [ 2197 ],
- "I3": [ 4733 ],
- "O": [ 1073 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4733 ],
- "CO": [ 4734 ],
- "I0": [ 2143 ],
- "I1": [ 2197 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2171 ],
- "I2": [ 2193 ],
- "I3": [ 4734 ],
- "O": [ 1080 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4734 ],
- "CO": [ 4735 ],
- "I0": [ 2171 ],
- "I1": [ 2193 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2144 ],
- "I2": [ 2198 ],
- "I3": [ 4735 ],
- "O": [ 1087 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4735 ],
- "CO": [ 4736 ],
- "I0": [ 2144 ],
- "I1": [ 2198 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2172 ],
- "I2": [ 2194 ],
- "I3": [ 4736 ],
- "O": [ 1094 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4736 ],
- "CO": [ 4737 ],
- "I0": [ 2172 ],
- "I1": [ 2194 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2173 ],
- "I2": [ 2199 ],
- "I3": [ 4737 ],
- "O": [ 1102 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4737 ],
- "CO": [ 4738 ],
- "I0": [ 2173 ],
- "I1": [ 2199 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2174 ],
- "I2": [ 2200 ],
- "I3": [ 4738 ],
- "O": [ 1108 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3013.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4738 ],
- "CO": [ 4713 ],
- "I0": [ 2174 ],
- "I1": [ 2200 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1042 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3466 ],
- "I2": [ 2151 ],
- "I3": [ 4739 ],
- "O": [ 1116 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4739 ],
- "CO": [ 4740 ],
- "I0": [ 3466 ],
- "I1": [ 2151 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3467 ],
- "I2": [ 2152 ],
- "I3": [ 4740 ],
- "O": [ 1122 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4740 ],
- "CO": [ 4741 ],
- "I0": [ 3467 ],
- "I1": [ 2152 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3468 ],
- "I2": [ 2153 ],
- "I3": [ 4741 ],
- "O": [ 1130 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4741 ],
- "CO": [ 4742 ],
- "I0": [ 3468 ],
- "I1": [ 2153 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3469 ],
- "I2": [ 2154 ],
- "I3": [ 4742 ],
- "O": [ 1136 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4742 ],
- "CO": [ 4743 ],
- "I0": [ 3469 ],
- "I1": [ 2154 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3470 ],
- "I2": [ 2155 ],
- "I3": [ 4743 ],
- "O": [ 1144 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4743 ],
- "CO": [ 4744 ],
- "I0": [ 3470 ],
- "I1": [ 2155 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3471 ],
- "I2": [ 2156 ],
- "I3": [ 4744 ],
- "O": [ 1151 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4744 ],
- "CO": [ 4745 ],
- "I0": [ 3471 ],
- "I1": [ 2156 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3472 ],
- "I2": [ 2157 ],
- "I3": [ 4745 ],
- "O": [ 1158 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4745 ],
- "CO": [ 4746 ],
- "I0": [ 3472 ],
- "I1": [ 2157 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3473 ],
- "I2": [ 2158 ],
- "I3": [ 4746 ],
- "O": [ 1165 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4746 ],
- "CO": [ 4747 ],
- "I0": [ 3473 ],
- "I1": [ 2158 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3474 ],
- "I2": [ 2159 ],
- "I3": [ 4747 ],
- "O": [ 1172 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4747 ],
- "CO": [ 4748 ],
- "I0": [ 3474 ],
- "I1": [ 2159 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3475 ],
- "I2": [ 2160 ],
- "I3": [ 4748 ],
- "O": [ 1178 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4748 ],
- "CO": [ 4749 ],
- "I0": [ 3475 ],
- "I1": [ 2160 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 4750 ],
- "I0": [ 1051 ],
- "I1": [ 1053 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3476 ],
- "I2": [ 2161 ],
- "I3": [ 4749 ],
- "O": [ 1186 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4749 ],
- "CO": [ 4751 ],
- "I0": [ 3476 ],
- "I1": [ 2161 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3477 ],
- "I2": [ 2162 ],
- "I3": [ 4751 ],
- "O": [ 1192 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4751 ],
- "CO": [ 4752 ],
- "I0": [ 3477 ],
- "I1": [ 2162 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3478 ],
- "I2": [ 2163 ],
- "I3": [ 4752 ],
- "O": [ 1199 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4752 ],
- "CO": [ 4753 ],
- "I0": [ 3478 ],
- "I1": [ 2163 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3479 ],
- "I2": [ 2164 ],
- "I3": [ 4753 ],
- "O": [ 1207 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4753 ],
- "CO": [ 4754 ],
- "I0": [ 3479 ],
- "I1": [ 2164 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3480 ],
- "I2": [ 2165 ],
- "I3": [ 4754 ],
- "O": [ 1214 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4754 ],
- "CO": [ 4755 ],
- "I0": [ 3480 ],
- "I1": [ 2165 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3481 ],
- "I2": [ 2166 ],
- "I3": [ 4755 ],
- "O": [ 1220 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4755 ],
- "CO": [ 4756 ],
- "I0": [ 3481 ],
- "I1": [ 2166 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3482 ],
- "I2": [ 2167 ],
- "I3": [ 4756 ],
- "O": [ 1228 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4756 ],
- "CO": [ 4757 ],
- "I0": [ 3482 ],
- "I1": [ 2167 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3483 ],
- "I2": [ 2168 ],
- "I3": [ 4757 ],
- "O": [ 1235 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3460 ],
- "I2": [ 2145 ],
- "I3": [ 4750 ],
- "O": [ 1059 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4750 ],
- "CO": [ 4758 ],
- "I0": [ 3460 ],
- "I1": [ 2145 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3461 ],
- "I2": [ 2146 ],
- "I3": [ 4758 ],
- "O": [ 1066 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4758 ],
- "CO": [ 4759 ],
- "I0": [ 3461 ],
- "I1": [ 2146 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3458 ],
- "I2": [ 2147 ],
- "I3": [ 4759 ],
- "O": [ 1074 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4759 ],
- "CO": [ 4760 ],
- "I0": [ 3458 ],
- "I1": [ 2147 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3462 ],
- "I2": [ 2140 ],
- "I3": [ 4760 ],
- "O": [ 1081 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4760 ],
- "CO": [ 4761 ],
- "I0": [ 3462 ],
- "I1": [ 2140 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3459 ],
- "I2": [ 2148 ],
- "I3": [ 4761 ],
- "O": [ 1088 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4761 ],
- "CO": [ 4762 ],
- "I0": [ 3459 ],
- "I1": [ 2148 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3463 ],
- "I2": [ 2141 ],
- "I3": [ 4762 ],
- "O": [ 1095 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4762 ],
- "CO": [ 4763 ],
- "I0": [ 3463 ],
- "I1": [ 2141 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3464 ],
- "I2": [ 2149 ],
- "I3": [ 4763 ],
- "O": [ 1101 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4763 ],
- "CO": [ 4764 ],
- "I0": [ 3464 ],
- "I1": [ 2149 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 3465 ],
- "I2": [ 2150 ],
- "I3": [ 4764 ],
- "O": [ 1109 ]
- }
- },
- "$auto$maccmap.cc:240:synth$3022.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4764 ],
- "CO": [ 4739 ],
- "I0": [ 3465 ],
- "I1": [ 2150 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4765 ],
- "Q": [ 1454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:43|/home/uni-user/tools/yosys/share/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 27 ],
- "Q": [ 30 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2534": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:43|/home/uni-user/tools/yosys/share/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 32 ],
- "E": [ 261 ],
- "Q": [ 29 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:43|/home/uni-user/tools/yosys/share/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 34 ],
- "Q": [ 28 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:43|/home/uni-user/tools/yosys/share/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 36 ],
- "Q": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2537": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:43|/home/uni-user/tools/yosys/share/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 3365 ],
- "E": [ 25 ],
- "Q": [ 3364 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2816": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ "1" ],
- "E": [ 1455 ],
- "Q": [ 4765 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2427": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:166|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ "1" ],
- "Q": [ 306 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2463": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:141|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4766 ],
- "E": [ 1448 ],
- "Q": [ 12 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2464": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:141|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4767 ],
- "E": [ 1448 ],
- "Q": [ 13 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2465": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:141|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4768 ],
- "E": [ 1448 ],
- "Q": [ 14 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2482": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:151|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4766 ],
- "E": [ 905 ],
- "Q": [ 9 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2483": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:151|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4767 ],
- "E": [ 905 ],
- "Q": [ 10 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2484": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:151|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4768 ],
- "E": [ 905 ],
- "Q": [ 11 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2485": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4354 ],
- "Q": [ 279 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2486": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3378 ],
- "E": [ 279 ],
- "Q": [ 284 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2487": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4356 ],
- "Q": [ 286 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2488": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4358 ],
- "Q": [ 287 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2489": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4360 ],
- "Q": [ 288 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2490": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4362 ],
- "Q": [ 289 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2491": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4364 ],
- "Q": [ 283 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2492": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4372 ],
- "Q": [ 264 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2493": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3380 ],
- "E": [ 264 ],
- "Q": [ 267 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2494": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4374 ],
- "Q": [ 265 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2495": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4376 ],
- "Q": [ 268 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2496": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4378 ],
- "Q": [ 269 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2497": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4380 ],
- "Q": [ 270 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2498": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4382 ],
- "Q": [ 262 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2499": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:214|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4365 ],
- "E": [ 266 ],
- "Q": [ 271 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2500": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:214|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3379 ],
- "E": [ 272 ],
- "Q": [ 285 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2501": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:214|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4367 ],
- "E": [ 266 ],
- "Q": [ 280 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2502": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:214|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4369 ],
- "E": [ 266 ],
- "Q": [ 281 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2503": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:214|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4371 ],
- "E": [ 266 ],
- "Q": [ 282 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2504": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:226|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4349 ],
- "E": [ 273 ],
- "Q": [ 274 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2505": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:226|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3383 ],
- "E": [ 275 ],
- "Q": [ 916 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2506": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:226|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4351 ],
- "E": [ 273 ],
- "Q": [ 923 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2507": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:226|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4353 ],
- "E": [ 273 ],
- "Q": [ 930 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2508": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4383 ],
- "Q": [ 893 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2509": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3413 ],
- "E": [ 893 ],
- "Q": [ 892 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2510": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4385 ],
- "Q": [ 894 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2511": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4387 ],
- "Q": [ 896 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2512": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4389 ],
- "Q": [ 897 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2513": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4391 ],
- "Q": [ 898 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2514": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4393 ],
- "Q": [ 899 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2515": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4401 ],
- "Q": [ 292 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2516": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3381 ],
- "E": [ 292 ],
- "Q": [ 295 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2517": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4403 ],
- "Q": [ 293 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2518": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4405 ],
- "Q": [ 296 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2519": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4407 ],
- "Q": [ 297 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2520": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4409 ],
- "Q": [ 298 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2521": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4411 ],
- "Q": [ 290 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2522": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:328|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4394 ],
- "E": [ 294 ],
- "Q": [ 299 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2523": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:328|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3382 ],
- "E": [ 300 ],
- "Q": [ 1441 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2524": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:328|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4396 ],
- "E": [ 294 ],
- "Q": [ 1442 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2525": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:328|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4398 ],
- "E": [ 294 ],
- "Q": [ 1443 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2526": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:328|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4400 ],
- "E": [ 294 ],
- "Q": [ 1444 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2801": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:29|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1455 ],
- "Q": [ 7 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3026": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:572|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3609 ],
- "Q": [ 64 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3027": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:572|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1964 ],
- "Q": [ 63 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3028": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4270 ],
- "E": [ 3239 ],
- "Q": [ 129 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3029": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3375 ],
- "E": [ 302 ],
- "Q": [ 130 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3030": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4292 ],
- "E": [ 3239 ],
- "Q": [ 131 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3031": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4294 ],
- "E": [ 3239 ],
- "Q": [ 132 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3032": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4296 ],
- "E": [ 3239 ],
- "Q": [ 125 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3033": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4298 ],
- "E": [ 3239 ],
- "Q": [ 126 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3034": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4300 ],
- "E": [ 3239 ],
- "Q": [ 127 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3035": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4302 ],
- "E": [ 3239 ],
- "Q": [ 128 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3036": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4304 ],
- "E": [ 3239 ],
- "Q": [ 121 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3037": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4306 ],
- "E": [ 3239 ],
- "Q": [ 122 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3038": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4272 ],
- "E": [ 3239 ],
- "Q": [ 123 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3039": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4274 ],
- "E": [ 3239 ],
- "Q": [ 124 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3040": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4276 ],
- "E": [ 3239 ],
- "Q": [ 117 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3041": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4278 ],
- "E": [ 3239 ],
- "Q": [ 118 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3042": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4280 ],
- "E": [ 3239 ],
- "Q": [ 119 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3043": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4282 ],
- "E": [ 3239 ],
- "Q": [ 120 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3044": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4284 ],
- "E": [ 3239 ],
- "Q": [ 113 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3045": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4286 ],
- "E": [ 3239 ],
- "Q": [ 114 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3046": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4288 ],
- "E": [ 3239 ],
- "Q": [ 115 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$3047": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:96|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4290 ],
- "E": [ 3239 ],
- "Q": [ 116 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4815": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:122|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1477 ],
- "Q": [ 449 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4816": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1457 ],
- "E": [ 454 ],
- "Q": [ 303 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4817": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1459 ],
- "E": [ 307 ],
- "Q": [ 1458 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4818": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1461 ],
- "E": [ 454 ],
- "Q": [ 3526 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4819": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1463 ],
- "E": [ 454 ],
- "Q": [ 3666 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4820": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1465 ],
- "E": [ 454 ],
- "Q": [ 3667 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4821": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1467 ],
- "E": [ 454 ],
- "Q": [ 3662 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4822": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1469 ],
- "E": [ 454 ],
- "Q": [ 1404 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4823": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1471 ],
- "E": [ 454 ],
- "Q": [ 3528 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4824": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1473 ],
- "E": [ 454 ],
- "Q": [ 3664 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4825": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:112|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1475 ],
- "E": [ 454 ],
- "Q": [ 3525 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4826": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4620 ],
- "Q": [ 1967 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4827": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1968 ],
- "Q": [ 1966 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4828": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4622 ],
- "Q": [ 1979 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4829": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4624 ],
- "Q": [ 4443 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4830": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4626 ],
- "Q": [ 4446 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4831": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4628 ],
- "Q": [ 4449 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4832": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4630 ],
- "Q": [ 4452 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4833": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:232|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4632 ],
- "Q": [ 4455 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4834": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:291|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3240 ],
- "Q": [ 676 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4835": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:291|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2139 ],
- "Q": [ 677 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4836": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1488 ],
- "Q": [ 459 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4837": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1490 ],
- "Q": [ 460 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4838": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1492 ],
- "Q": [ 467 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4839": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1494 ],
- "Q": [ 468 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4840": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1496 ],
- "Q": [ 469 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4841": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1498 ],
- "Q": [ 470 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4842": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1500 ],
- "Q": [ 463 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4843": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1502 ],
- "Q": [ 464 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4844": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1504 ],
- "Q": [ 465 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4845": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1506 ],
- "Q": [ 466 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4846": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:317|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1508 ],
- "Q": [ 457 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4847": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1510 ],
- "E": [ 456 ],
- "Q": [ 471 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4848": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1511 ],
- "E": [ 472 ],
- "Q": [ 691 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4849": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1513 ],
- "E": [ 456 ],
- "Q": [ 692 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4850": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1515 ],
- "E": [ 456 ],
- "Q": [ 686 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4851": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1517 ],
- "E": [ 456 ],
- "Q": [ 687 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4852": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1519 ],
- "E": [ 456 ],
- "Q": [ 688 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4853": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1521 ],
- "E": [ 456 ],
- "Q": [ 689 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4854": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1523 ],
- "E": [ 456 ],
- "Q": [ 683 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4855": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1525 ],
- "E": [ 456 ],
- "Q": [ 684 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4856": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1527 ],
- "E": [ 456 ],
- "Q": [ 685 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4857": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1529 ],
- "E": [ 456 ],
- "Q": [ 690 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4858": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1531 ],
- "E": [ 456 ],
- "Q": [ 701 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4859": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:334|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1533 ],
- "E": [ 456 ],
- "Q": [ 716 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4860": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:420|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1535 ],
- "Q": [ 475 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4861": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:420|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1536 ],
- "E": [ 476 ],
- "Q": [ 477 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$4862": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:420|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1538 ],
- "Q": [ 478 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5186": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4243 ],
- "Q": [ 1423 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5187": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3374 ],
- "E": [ 1423 ],
- "Q": [ 1418 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5188": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4245 ],
- "Q": [ 1430 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5189": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4247 ],
- "Q": [ 1420 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5190": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4249 ],
- "Q": [ 1416 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5191": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4251 ],
- "Q": [ 901 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5192": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4253 ],
- "Q": [ 912 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5193": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4255 ],
- "Q": [ 919 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5194": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4257 ],
- "Q": [ 926 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5195": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4259 ],
- "Q": [ 933 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5235": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:142|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1541 ],
- "Q": [ 1386 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5236": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:142|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1575 ],
- "Q": [ 1410 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5237": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:142|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1577 ],
- "Q": [ 1415 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5238": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4556 ],
- "Q": [ 1399 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5239": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3386 ],
- "E": [ 1399 ],
- "Q": [ 1398 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5240": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4564 ],
- "Q": [ 1400 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5241": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4566 ],
- "Q": [ 1390 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5242": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4568 ],
- "Q": [ 1391 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5243": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4570 ],
- "Q": [ 1392 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5244": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4572 ],
- "Q": [ 1393 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5245": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4574 ],
- "Q": [ 1396 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5246": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4576 ],
- "Q": [ 904 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5247": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4578 ],
- "Q": [ 915 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5248": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4558 ],
- "Q": [ 922 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5249": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4560 ],
- "Q": [ 929 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5250": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4562 ],
- "Q": [ 936 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5251": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:188|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3370 ],
- "Q": [ 1539 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5252": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:188|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1959 ],
- "Q": [ 1574 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5253": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:188|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3371 ],
- "Q": [ 1576 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5255": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1810 ],
- "Q": [ 1850 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5256": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1821 ],
- "Q": [ 1848 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5257": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1822 ],
- "Q": [ 1841 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5258": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1823 ],
- "Q": [ 1846 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5259": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1824 ],
- "Q": [ 1844 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5260": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1825 ],
- "Q": [ 2226 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5261": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1826 ],
- "Q": [ 2252 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5262": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1827 ],
- "Q": [ 2275 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5268": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:379|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1808 ],
- "Q": [ 2394 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5475": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1581 ],
- "Q": [ 1609 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5476": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1620 ],
- "Q": [ 1611 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5477": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1622 ],
- "Q": [ 1615 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5478": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1624 ],
- "Q": [ 1613 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5479": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1626 ],
- "Q": [ 1612 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5480": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1628 ],
- "Q": [ 1614 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5481": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1630 ],
- "Q": [ 1616 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5482": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1632 ],
- "Q": [ 1610 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5483": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1635 ],
- "Q": [ 1384 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5484": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1637 ],
- "Q": [ 1408 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5485": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:63|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1639 ],
- "Q": [ 1412 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5486": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4415 ],
- "Q": [ 1603 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5487": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3384 ],
- "E": [ 1603 ],
- "Q": [ 1602 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5488": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4423 ],
- "Q": [ 1604 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5489": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4425 ],
- "Q": [ 1425 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5490": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4427 ],
- "Q": [ 1428 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5491": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4429 ],
- "Q": [ 1432 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5492": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4431 ],
- "Q": [ 1435 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5493": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4433 ],
- "Q": [ 1438 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5494": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4435 ],
- "Q": [ 903 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5495": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4437 ],
- "Q": [ 914 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5496": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4417 ],
- "Q": [ 921 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5497": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4419 ],
- "Q": [ 928 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5498": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4421 ],
- "Q": [ 935 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5611": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 156 ],
- "Q": [ 4769 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5612": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 151 ],
- "Q": [ 4770 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5613": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 146 ],
- "Q": [ 4771 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5614": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 100 ],
- "Q": [ 4772 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5615": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 140 ],
- "Q": [ 4773 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5616": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2137 ],
- "Q": [ 4774 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5617": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 39 ],
- "Q": [ 4775 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5619": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:77|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 100 ],
- "Q": [ 1900 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5620": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 158 ],
- "E": [ 3238 ],
- "Q": [ 155 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5621": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 153 ],
- "E": [ 3238 ],
- "Q": [ 150 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5622": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 147 ],
- "E": [ 3238 ],
- "Q": [ 145 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5623": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 144 ],
- "E": [ 3238 ],
- "Q": [ 142 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5624": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 141 ],
- "E": [ 3238 ],
- "Q": [ 138 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5625": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 103 ],
- "E": [ 3238 ],
- "Q": [ 137 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5626": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 40 ],
- "E": [ 3238 ],
- "Q": [ 38 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5628": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 885 ],
- "Q": [ 98 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5629": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:58|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 792 ],
- "Q": [ 97 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5632": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2128 ],
- "Q": [ 4776 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5633": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2130 ],
- "Q": [ 4777 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5634": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2131 ],
- "Q": [ 4778 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5635": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2133 ],
- "Q": [ 4779 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5636": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2134 ],
- "Q": [ 4780 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5637": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2135 ],
- "Q": [ 4781 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5638": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 157 ],
- "Q": [ 4782 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5639": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 152 ],
- "Q": [ 4783 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5640": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 143 ],
- "Q": [ 4784 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5641": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 143 ],
- "Q": [ 4785 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5642": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 139 ],
- "Q": [ 4786 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5643": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2136 ],
- "Q": [ 4787 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5644": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ "0" ],
- "Q": [ 4788 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5646": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:87|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 99 ],
- "Q": [ 1897 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5647": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 939 ],
- "Q": [ 1689 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5648": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 940 ],
- "Q": [ 1691 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5649": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 941 ],
- "Q": [ 1693 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5650": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 942 ],
- "Q": [ 1695 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5651": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 943 ],
- "Q": [ 1697 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5652": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 944 ],
- "Q": [ 1699 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5653": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 945 ],
- "Q": [ 1701 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5654": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 946 ],
- "Q": [ 1703 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5655": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 947 ],
- "Q": [ 53 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5656": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 948 ],
- "Q": [ 66 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5657": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 949 ],
- "Q": [ 56 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5658": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 950 ],
- "Q": [ 57 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5659": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 951 ],
- "Q": [ 59 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5660": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 952 ],
- "Q": [ 62 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5661": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 953 ],
- "Q": [ 61 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5662": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 2138 ],
- "E": [ 3238 ],
- "Q": [ 60 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5663": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 954 ],
- "Q": [ 96 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5664": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:196|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 955 ],
- "Q": [ 95 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5868": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1690 ],
- "E": [ 42 ],
- "Q": [ 1640 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5869": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1692 ],
- "E": [ 42 ],
- "Q": [ 1642 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5870": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1694 ],
- "E": [ 42 ],
- "Q": [ 1644 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5871": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1696 ],
- "E": [ 42 ],
- "Q": [ 1646 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5872": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1698 ],
- "E": [ 42 ],
- "Q": [ 1648 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5873": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1700 ],
- "E": [ 42 ],
- "Q": [ 1650 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5874": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1702 ],
- "E": [ 42 ],
- "Q": [ 1652 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5875": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:426|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1704 ],
- "E": [ 42 ],
- "Q": [ 1654 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5876": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1690 ],
- "E": [ 42 ],
- "Q": [ 1656 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5877": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1692 ],
- "E": [ 42 ],
- "Q": [ 1658 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5878": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1694 ],
- "E": [ 42 ],
- "Q": [ 1660 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5879": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1696 ],
- "E": [ 42 ],
- "Q": [ 1662 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5880": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1698 ],
- "E": [ 42 ],
- "Q": [ 1664 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5881": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1700 ],
- "E": [ 42 ],
- "Q": [ 1666 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5882": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1702 ],
- "E": [ 42 ],
- "Q": [ 1668 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5883": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:514|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1704 ],
- "E": [ 42 ],
- "Q": [ 1670 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5884": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1690 ],
- "E": [ 42 ],
- "Q": [ 1672 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5885": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1692 ],
- "E": [ 42 ],
- "Q": [ 1674 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5886": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1694 ],
- "E": [ 42 ],
- "Q": [ 1676 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5887": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1696 ],
- "E": [ 42 ],
- "Q": [ 1678 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5888": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1698 ],
- "E": [ 42 ],
- "Q": [ 1680 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5889": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1700 ],
- "E": [ 42 ],
- "Q": [ 1682 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5890": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1702 ],
- "E": [ 42 ],
- "Q": [ 1684 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5891": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:602|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1704 ],
- "E": [ 42 ],
- "Q": [ 1686 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5892": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1690 ],
- "E": [ 42 ],
- "Q": [ 1705 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5893": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1692 ],
- "E": [ 42 ],
- "Q": [ 1707 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5894": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1694 ],
- "E": [ 42 ],
- "Q": [ 1709 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5895": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1696 ],
- "E": [ 42 ],
- "Q": [ 1711 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5896": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1698 ],
- "E": [ 42 ],
- "Q": [ 1713 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5897": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1700 ],
- "E": [ 42 ],
- "Q": [ 1715 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5898": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1702 ],
- "E": [ 42 ],
- "Q": [ 1717 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$5899": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:690|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1704 ],
- "E": [ 42 ],
- "Q": [ 1719 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6141": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4192 ],
- "Q": [ 639 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6142": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3373 ],
- "E": [ 639 ],
- "Q": [ 640 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6143": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4194 ],
- "Q": [ 647 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6144": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4196 ],
- "Q": [ 648 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6145": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4198 ],
- "Q": [ 649 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6146": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4200 ],
- "Q": [ 650 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6147": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4202 ],
- "Q": [ 643 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6148": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4204 ],
- "Q": [ 644 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6149": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4206 ],
- "Q": [ 645 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6150": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4208 ],
- "Q": [ 646 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6151": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4147 ],
- "Q": [ 630 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6152": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3372 ],
- "E": [ 630 ],
- "Q": [ 631 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6153": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4177 ],
- "Q": [ 632 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6154": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4179 ],
- "Q": [ 633 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6155": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4181 ],
- "Q": [ 626 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6156": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4183 ],
- "Q": [ 627 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6157": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4185 ],
- "Q": [ 628 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6158": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4187 ],
- "Q": [ 629 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6159": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4189 ],
- "Q": [ 614 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6160": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4191 ],
- "Q": [ 615 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6161": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4149 ],
- "Q": [ 616 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6162": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4151 ],
- "Q": [ 617 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6163": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4153 ],
- "Q": [ 610 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6164": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4155 ],
- "Q": [ 611 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6165": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4157 ],
- "Q": [ 612 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6166": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4159 ],
- "Q": [ 613 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6167": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4161 ],
- "Q": [ 622 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6168": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4163 ],
- "Q": [ 623 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6169": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4165 ],
- "Q": [ 624 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6170": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4167 ],
- "Q": [ 625 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6171": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4170 ],
- "Q": [ 618 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6172": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4172 ],
- "Q": [ 619 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6173": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4174 ],
- "Q": [ 620 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6174": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4176 ],
- "Q": [ 621 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6175": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1903 ],
- "E": [ 3502 ],
- "Q": [ 514 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6176": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1906 ],
- "E": [ 3502 ],
- "Q": [ 515 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6177": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1908 ],
- "E": [ 3502 ],
- "Q": [ 516 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6178": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1910 ],
- "E": [ 3502 ],
- "Q": [ 517 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6179": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1912 ],
- "E": [ 3502 ],
- "Q": [ 510 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6180": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1914 ],
- "E": [ 3502 ],
- "Q": [ 511 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6181": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1916 ],
- "E": [ 3502 ],
- "Q": [ 512 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6182": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1918 ],
- "E": [ 3502 ],
- "Q": [ 513 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6183": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1920 ],
- "E": [ 3502 ],
- "Q": [ 506 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6184": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1922 ],
- "E": [ 3502 ],
- "Q": [ 507 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6185": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1924 ],
- "E": [ 3502 ],
- "Q": [ 508 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6186": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1926 ],
- "E": [ 3502 ],
- "Q": [ 509 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6187": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1928 ],
- "E": [ 3502 ],
- "Q": [ 524 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6188": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1930 ],
- "E": [ 3502 ],
- "Q": [ 525 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6189": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1932 ],
- "E": [ 3502 ],
- "Q": [ 526 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6190": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1934 ],
- "E": [ 3502 ],
- "Q": [ 527 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6191": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1936 ],
- "E": [ 3502 ],
- "Q": [ 520 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6192": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1938 ],
- "E": [ 3502 ],
- "Q": [ 521 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6193": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1940 ],
- "E": [ 3502 ],
- "Q": [ 522 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6194": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1942 ],
- "E": [ 3502 ],
- "Q": [ 523 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6195": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1944 ],
- "E": [ 3502 ],
- "Q": [ 530 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6196": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1946 ],
- "E": [ 3502 ],
- "Q": [ 531 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6197": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1948 ],
- "E": [ 3502 ],
- "Q": [ 532 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6198": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1950 ],
- "E": [ 3502 ],
- "Q": [ 496 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6199": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1952 ],
- "E": [ 3502 ],
- "Q": [ 533 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6200": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1954 ],
- "E": [ 3502 ],
- "Q": [ 534 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6201": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1956 ],
- "E": [ 3502 ],
- "Q": [ 535 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6202": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1958 ],
- "E": [ 3502 ],
- "Q": [ 536 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6219": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1035 ],
- "E": [ 654 ],
- "Q": [ 1036 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6220": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1045 ],
- "E": [ 654 ],
- "Q": [ 1043 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6221": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1057 ],
- "E": [ 654 ],
- "Q": [ 1058 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6222": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1064 ],
- "E": [ 654 ],
- "Q": [ 1065 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6223": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1071 ],
- "E": [ 654 ],
- "Q": [ 1072 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6224": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1078 ],
- "E": [ 654 ],
- "Q": [ 1079 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6225": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1085 ],
- "E": [ 654 ],
- "Q": [ 1086 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6226": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1092 ],
- "E": [ 654 ],
- "Q": [ 1093 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6227": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1099 ],
- "E": [ 654 ],
- "Q": [ 1100 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6228": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1106 ],
- "E": [ 654 ],
- "Q": [ 1107 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6229": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1113 ],
- "E": [ 654 ],
- "Q": [ 1114 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6230": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1120 ],
- "E": [ 654 ],
- "Q": [ 1121 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6231": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1127 ],
- "E": [ 654 ],
- "Q": [ 1128 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6232": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1134 ],
- "E": [ 654 ],
- "Q": [ 1135 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6233": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1141 ],
- "E": [ 654 ],
- "Q": [ 1142 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6234": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1148 ],
- "E": [ 654 ],
- "Q": [ 1149 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6235": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1155 ],
- "E": [ 654 ],
- "Q": [ 1156 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6236": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1162 ],
- "E": [ 654 ],
- "Q": [ 1163 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6237": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1169 ],
- "E": [ 654 ],
- "Q": [ 1170 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6238": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1176 ],
- "E": [ 654 ],
- "Q": [ 1177 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6239": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1183 ],
- "E": [ 654 ],
- "Q": [ 1184 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6240": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1190 ],
- "E": [ 654 ],
- "Q": [ 1191 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6241": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1197 ],
- "E": [ 654 ],
- "Q": [ 1198 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6242": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1204 ],
- "E": [ 654 ],
- "Q": [ 1205 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6243": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1211 ],
- "E": [ 654 ],
- "Q": [ 1212 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6244": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1218 ],
- "E": [ 654 ],
- "Q": [ 1219 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6245": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1225 ],
- "E": [ 654 ],
- "Q": [ 1226 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6246": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1232 ],
- "E": [ 654 ],
- "Q": [ 1233 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6247": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1730 ],
- "Q": [ 655 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6248": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3367 ],
- "E": [ 671 ],
- "Q": [ 664 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6249": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1732 ],
- "Q": [ 665 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6250": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1734 ],
- "Q": [ 666 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6251": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1736 ],
- "Q": [ 656 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6252": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1738 ],
- "Q": [ 659 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6253": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1740 ],
- "Q": [ 660 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6254": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1742 ],
- "Q": [ 661 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6255": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1744 ],
- "Q": [ 663 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6256": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:40|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1746 ],
- "Q": [ 662 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6327": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4478 ],
- "E": [ 675 ],
- "Q": [ 3545 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6328": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1968 ],
- "E": [ 675 ],
- "Q": [ 3551 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6329": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4480 ],
- "E": [ 675 ],
- "Q": [ 3557 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6330": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4482 ],
- "E": [ 675 ],
- "Q": [ 3563 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6331": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4484 ],
- "E": [ 675 ],
- "Q": [ 3569 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6332": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4486 ],
- "E": [ 675 ],
- "Q": [ 3575 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6333": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4488 ],
- "E": [ 675 ],
- "Q": [ 3581 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6334": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4490 ],
- "E": [ 675 ],
- "Q": [ 3587 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6335": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1748 ],
- "E": [ 679 ],
- "Q": [ 695 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6336": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1750 ],
- "E": [ 694 ],
- "Q": [ 1749 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6337": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1752 ],
- "E": [ 679 ],
- "Q": [ 3494 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6338": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1754 ],
- "E": [ 679 ],
- "Q": [ 1381 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6339": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1756 ],
- "E": [ 679 ],
- "Q": [ 1382 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6340": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1758 ],
- "E": [ 679 ],
- "Q": [ 3490 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6341": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1760 ],
- "E": [ 679 ],
- "Q": [ 1379 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6342": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1762 ],
- "E": [ 679 ],
- "Q": [ 3487 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6370": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4465 ],
- "E": [ 697 ],
- "Q": [ 3544 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6371": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3385 ],
- "E": [ 697 ],
- "Q": [ 3550 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6372": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1980 ],
- "E": [ 697 ],
- "Q": [ 3556 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6373": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4467 ],
- "E": [ 697 ],
- "Q": [ 3562 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6374": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4469 ],
- "E": [ 697 ],
- "Q": [ 3568 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6375": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4471 ],
- "E": [ 697 ],
- "Q": [ 3574 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6376": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4473 ],
- "E": [ 697 ],
- "Q": [ 3580 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6377": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4475 ],
- "E": [ 697 ],
- "Q": [ 3586 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6378": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1764 ],
- "E": [ 700 ],
- "Q": [ 704 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6379": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1765 ],
- "E": [ 703 ],
- "Q": [ 1370 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6380": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1767 ],
- "E": [ 700 ],
- "Q": [ 1376 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6381": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1769 ],
- "E": [ 700 ],
- "Q": [ 1371 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6382": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1771 ],
- "E": [ 700 ],
- "Q": [ 1352 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6383": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1773 ],
- "E": [ 700 ],
- "Q": [ 1364 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6384": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1775 ],
- "E": [ 700 ],
- "Q": [ 1354 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6385": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1777 ],
- "E": [ 700 ],
- "Q": [ 1367 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6424": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4441 ],
- "E": [ 706 ],
- "Q": [ 3548 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6425": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3385 ],
- "E": [ 706 ],
- "Q": [ 3554 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6426": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3390 ],
- "E": [ 706 ],
- "Q": [ 3560 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6427": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4444 ],
- "E": [ 706 ],
- "Q": [ 3566 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6428": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4447 ],
- "E": [ 706 ],
- "Q": [ 3572 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6429": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4450 ],
- "E": [ 706 ],
- "Q": [ 3578 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6430": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4453 ],
- "E": [ 706 ],
- "Q": [ 3584 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6431": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4456 ],
- "E": [ 706 ],
- "Q": [ 3590 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6432": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1779 ],
- "E": [ 708 ],
- "Q": [ 711 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6433": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1780 ],
- "E": [ 710 ],
- "Q": [ 1374 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6434": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1782 ],
- "E": [ 708 ],
- "Q": [ 1377 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6435": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1784 ],
- "E": [ 708 ],
- "Q": [ 1372 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6436": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1786 ],
- "E": [ 708 ],
- "Q": [ 1357 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6437": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1788 ],
- "E": [ 708 ],
- "Q": [ 1365 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6438": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1790 ],
- "E": [ 708 ],
- "Q": [ 1355 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6439": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1792 ],
- "E": [ 708 ],
- "Q": [ 853 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6473": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1967 ],
- "E": [ 713 ],
- "Q": [ 3547 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6474": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1966 ],
- "E": [ 713 ],
- "Q": [ 3553 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6475": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1979 ],
- "E": [ 713 ],
- "Q": [ 3559 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6476": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4443 ],
- "E": [ 713 ],
- "Q": [ 3565 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6477": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4446 ],
- "E": [ 713 ],
- "Q": [ 3571 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6478": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4449 ],
- "E": [ 713 ],
- "Q": [ 3577 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6479": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4452 ],
- "E": [ 713 ],
- "Q": [ 3583 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6480": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:86|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4455 ],
- "E": [ 713 ],
- "Q": [ 3589 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6481": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1794 ],
- "E": [ 715 ],
- "Q": [ 719 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6482": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1795 ],
- "E": [ 718 ],
- "Q": [ 1378 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6483": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1797 ],
- "E": [ 715 ],
- "Q": [ 1373 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6484": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1799 ],
- "E": [ 715 ],
- "Q": [ 1358 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6485": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1801 ],
- "E": [ 715 ],
- "Q": [ 1366 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6486": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1803 ],
- "E": [ 715 ],
- "Q": [ 1356 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6487": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1805 ],
- "E": [ 715 ],
- "Q": [ 854 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6488": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:76|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1807 ],
- "E": [ 715 ],
- "Q": [ 851 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6852": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4322 ],
- "Q": [ 727 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6853": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3377 ],
- "E": [ 727 ],
- "Q": [ 728 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6854": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4324 ],
- "Q": [ 729 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6855": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4326 ],
- "Q": [ 730 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6856": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4328 ],
- "Q": [ 723 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6857": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4330 ],
- "Q": [ 724 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6858": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4332 ],
- "Q": [ 725 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6859": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4334 ],
- "Q": [ 726 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6860": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:88|/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1030 ],
- "Q": [ 182 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6861": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ "0" ],
- "E": [ 732 ],
- "Q": [ 175 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6862": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ "0" ],
- "E": [ 732 ],
- "Q": [ 172 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6863": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4776 ],
- "E": [ 732 ],
- "Q": [ 169 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6864": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4777 ],
- "E": [ 732 ],
- "Q": [ 163 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6865": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4778 ],
- "E": [ 732 ],
- "Q": [ 961 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6866": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4779 ],
- "E": [ 732 ],
- "Q": [ 966 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6867": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4780 ],
- "E": [ 732 ],
- "Q": [ 972 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6868": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4781 ],
- "E": [ 732 ],
- "Q": [ 845 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6869": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4782 ],
- "E": [ 732 ],
- "Q": [ 985 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6870": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4783 ],
- "E": [ 732 ],
- "Q": [ 991 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6871": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4784 ],
- "E": [ 732 ],
- "Q": [ 997 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6872": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4785 ],
- "E": [ 732 ],
- "Q": [ 1003 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6873": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4786 ],
- "E": [ 732 ],
- "Q": [ 1009 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6874": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4787 ],
- "E": [ 732 ],
- "Q": [ 1015 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6875": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4788 ],
- "E": [ 732 ],
- "Q": [ 1020 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6877": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:293|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1898 ],
- "Q": [ 731 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6878": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 197 ],
- "E": [ 722 ],
- "Q": [ 194 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6879": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 193 ],
- "E": [ 722 ],
- "Q": [ 195 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6880": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 188 ],
- "E": [ 722 ],
- "Q": [ 189 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6881": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 180 ],
- "E": [ 722 ],
- "Q": [ 178 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6882": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 176 ],
- "E": [ 722 ],
- "Q": [ 174 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6883": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 173 ],
- "E": [ 722 ],
- "Q": [ 171 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6884": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 170 ],
- "E": [ 722 ],
- "Q": [ 168 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6885": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 164 ],
- "E": [ 722 ],
- "Q": [ 161 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6886": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 958 ],
- "E": [ 722 ],
- "Q": [ 803 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6887": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 965 ],
- "E": [ 722 ],
- "Q": [ 804 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6888": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 971 ],
- "E": [ 722 ],
- "Q": [ 805 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6889": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 839 ],
- "E": [ 722 ],
- "Q": [ 802 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6890": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 977 ],
- "E": [ 722 ],
- "Q": [ 806 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6891": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 980 ],
- "E": [ 722 ],
- "Q": [ 807 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6892": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 987 ],
- "E": [ 722 ],
- "Q": [ 810 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6893": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 995 ],
- "E": [ 722 ],
- "Q": [ 808 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6894": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1000 ],
- "E": [ 722 ],
- "Q": [ 811 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6895": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1007 ],
- "E": [ 722 ],
- "Q": [ 812 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6896": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1012 ],
- "E": [ 722 ],
- "Q": [ 809 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6897": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3514 ],
- "E": [ 722 ],
- "Q": [ 813 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6898": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3504 ],
- "E": [ 722 ],
- "Q": [ 167 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6899": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 818 ],
- "E": [ 722 ],
- "Q": [ 165 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$6900": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:303|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 888 ],
- "E": [ 722 ],
- "Q": [ 166 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7454": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4307 ],
- "Q": [ 740 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7455": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 3376 ],
- "E": [ 740 ],
- "Q": [ 741 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7456": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4309 ],
- "Q": [ 742 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7457": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4311 ],
- "Q": [ 743 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7458": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4313 ],
- "Q": [ 736 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7459": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4315 ],
- "Q": [ 737 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7460": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4317 ],
- "Q": [ 738 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7461": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4319 ],
- "Q": [ 739 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7462": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:80|/home/uni-user/tools/yosys/share/ice40/cells_map.v:28"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1899 ],
- "E": [ 745 ],
- "Q": [ 204 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7463": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4769 ],
- "E": [ 752 ],
- "Q": [ 242 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7464": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4770 ],
- "E": [ 752 ],
- "Q": [ 238 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7465": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4771 ],
- "E": [ 752 ],
- "Q": [ 234 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7466": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4772 ],
- "E": [ 752 ],
- "Q": [ 230 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7467": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4773 ],
- "E": [ 752 ],
- "Q": [ 226 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7468": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4774 ],
- "E": [ 752 ],
- "Q": [ 222 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7469": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 4775 ],
- "E": [ 752 ],
- "Q": [ 217 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7471": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:265|/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1901 ],
- "Q": [ 751 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7472": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 259 ],
- "E": [ 735 ],
- "Q": [ 212 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7473": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 257 ],
- "E": [ 735 ],
- "Q": [ 213 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7474": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 253 ],
- "E": [ 735 ],
- "Q": [ 214 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7475": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 249 ],
- "E": [ 735 ],
- "Q": [ 215 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7476": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 245 ],
- "E": [ 735 ],
- "Q": [ 243 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7477": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 241 ],
- "E": [ 735 ],
- "Q": [ 239 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7478": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 237 ],
- "E": [ 735 ],
- "Q": [ 235 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7479": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 233 ],
- "E": [ 735 ],
- "Q": [ 231 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7480": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 229 ],
- "E": [ 735 ],
- "Q": [ 227 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7481": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 225 ],
- "E": [ 735 ],
- "Q": [ 223 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7482": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 221 ],
- "E": [ 735 ],
- "Q": [ 219 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7483": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 202 ],
- "E": [ 735 ],
- "Q": [ 200 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7484": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 889 ],
- "E": [ 735 ],
- "Q": [ 209 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7485": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 746 ],
- "E": [ 735 ],
- "Q": [ 208 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7486": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:275|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 890 ],
- "E": [ 735 ],
- "Q": [ 207 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7731": {
- "hide_name": 1,
- "type": "SB_DFFS",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:18"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 795 ],
- "Q": [ 482 ],
- "S": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7732": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 848 ],
- "Q": [ 448 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7733": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 849 ],
- "Q": [ 592 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7804": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1706 ],
- "E": [ 757 ],
- "Q": [ 319 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7805": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1708 ],
- "E": [ 757 ],
- "Q": [ 332 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7806": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1710 ],
- "E": [ 757 ],
- "Q": [ 333 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7807": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1712 ],
- "E": [ 757 ],
- "Q": [ 334 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7808": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1714 ],
- "E": [ 757 ],
- "Q": [ 328 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7809": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1716 ],
- "E": [ 757 ],
- "Q": [ 329 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7810": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1718 ],
- "E": [ 757 ],
- "Q": [ 330 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7811": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1720 ],
- "E": [ 757 ],
- "Q": [ 331 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7812": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1721 ],
- "E": [ 757 ],
- "Q": [ 342 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7813": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1722 ],
- "E": [ 757 ],
- "Q": [ 343 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7814": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1723 ],
- "E": [ 757 ],
- "Q": [ 344 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7815": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1724 ],
- "E": [ 757 ],
- "Q": [ 345 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7816": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1725 ],
- "E": [ 757 ],
- "Q": [ 338 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7817": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1726 ],
- "E": [ 757 ],
- "Q": [ 339 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7818": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1727 ],
- "E": [ 757 ],
- "Q": [ 340 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7819": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1728 ],
- "E": [ 757 ],
- "Q": [ 341 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7864": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1673 ],
- "E": [ 761 ],
- "Q": [ 346 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7865": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1675 ],
- "E": [ 761 ],
- "Q": [ 359 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7866": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1677 ],
- "E": [ 761 ],
- "Q": [ 360 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7867": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1679 ],
- "E": [ 761 ],
- "Q": [ 361 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7868": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1681 ],
- "E": [ 761 ],
- "Q": [ 355 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7869": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1683 ],
- "E": [ 761 ],
- "Q": [ 356 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7870": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1685 ],
- "E": [ 761 ],
- "Q": [ 357 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7871": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1687 ],
- "E": [ 761 ],
- "Q": [ 358 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7872": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1721 ],
- "E": [ 761 ],
- "Q": [ 369 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7873": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1722 ],
- "E": [ 761 ],
- "Q": [ 370 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7874": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1723 ],
- "E": [ 761 ],
- "Q": [ 371 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7875": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1724 ],
- "E": [ 761 ],
- "Q": [ 372 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7876": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1725 ],
- "E": [ 761 ],
- "Q": [ 365 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7877": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1726 ],
- "E": [ 761 ],
- "Q": [ 366 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7878": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1727 ],
- "E": [ 761 ],
- "Q": [ 367 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7879": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1728 ],
- "E": [ 761 ],
- "Q": [ 368 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7913": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1657 ],
- "E": [ 763 ],
- "Q": [ 373 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7914": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1659 ],
- "E": [ 763 ],
- "Q": [ 388 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7915": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1661 ],
- "E": [ 763 ],
- "Q": [ 389 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7916": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1663 ],
- "E": [ 763 ],
- "Q": [ 390 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7917": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1665 ],
- "E": [ 763 ],
- "Q": [ 384 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7918": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1667 ],
- "E": [ 763 ],
- "Q": [ 385 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7919": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1669 ],
- "E": [ 763 ],
- "Q": [ 386 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7920": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1671 ],
- "E": [ 763 ],
- "Q": [ 387 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7921": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1721 ],
- "E": [ 763 ],
- "Q": [ 391 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7922": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1722 ],
- "E": [ 763 ],
- "Q": [ 392 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7923": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1723 ],
- "E": [ 763 ],
- "Q": [ 393 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7924": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1724 ],
- "E": [ 763 ],
- "Q": [ 394 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7925": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1725 ],
- "E": [ 763 ],
- "Q": [ 395 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7926": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1726 ],
- "E": [ 763 ],
- "Q": [ 396 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7927": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1727 ],
- "E": [ 763 ],
- "Q": [ 397 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7928": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:24|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1728 ],
- "E": [ 763 ],
- "Q": [ 398 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7980": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1641 ],
- "E": [ 764 ],
- "Q": [ 434 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7981": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1643 ],
- "E": [ 764 ],
- "Q": [ 435 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7982": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1645 ],
- "E": [ 764 ],
- "Q": [ 436 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7983": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1647 ],
- "E": [ 764 ],
- "Q": [ 437 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7984": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1649 ],
- "E": [ 764 ],
- "Q": [ 430 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7985": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1651 ],
- "E": [ 764 ],
- "Q": [ 431 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7986": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1653 ],
- "E": [ 764 ],
- "Q": [ 432 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7987": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1655 ],
- "E": [ 764 ],
- "Q": [ 433 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7988": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1721 ],
- "E": [ 764 ],
- "Q": [ 438 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7989": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1722 ],
- "E": [ 764 ],
- "Q": [ 439 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7990": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1723 ],
- "E": [ 764 ],
- "Q": [ 441 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7991": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1724 ],
- "E": [ 764 ],
- "Q": [ 442 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7992": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1725 ],
- "E": [ 764 ],
- "Q": [ 427 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7993": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1726 ],
- "E": [ 764 ],
- "Q": [ 443 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7994": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1727 ],
- "E": [ 764 ],
- "Q": [ 426 ],
- "R": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$7995": {
- "hide_name": 1,
- "type": "SB_DFFER",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:59|/home/uni-user/tools/yosys/share/ice40/cells_map.v:27"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3364 ],
- "D": [ 1728 ],
- "E": [ 764 ],
- "Q": [ 444 ],
- "R": [ 3233 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4789 ],
- "CO": [ 4790 ],
- "I0": [ "0" ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4790 ],
- "CO": [ 4791 ],
- "I0": [ "0" ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4791 ],
- "CO": [ 4792 ],
- "I0": [ "0" ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4792 ],
- "CO": [ 4793 ],
- "I0": [ "0" ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4793 ],
- "CO": [ 1282 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1241 ],
- "CO": [ 4789 ],
- "I0": [ "0" ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4794 ],
- "CO": [ 4795 ],
- "I0": [ "0" ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4795 ],
- "CO": [ 4796 ],
- "I0": [ "0" ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4796 ],
- "CO": [ 4797 ],
- "I0": [ "0" ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4797 ],
- "CO": [ 1270 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4798 ],
- "I0": [ 1287 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4798 ],
- "CO": [ 4799 ],
- "I0": [ "0" ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4799 ],
- "CO": [ 4794 ],
- "I0": [ "0" ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4800 ],
- "CO": [ 4801 ],
- "I0": [ "0" ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4801 ],
- "CO": [ 4802 ],
- "I0": [ "0" ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4802 ],
- "CO": [ 1260 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4803 ],
- "I0": [ 1286 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4803 ],
- "CO": [ 4804 ],
- "I0": [ 1281 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4804 ],
- "CO": [ 4805 ],
- "I0": [ "0" ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4805 ],
- "CO": [ 4800 ],
- "I0": [ "0" ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4806 ],
- "CO": [ 4807 ],
- "I0": [ "0" ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4807 ],
- "CO": [ 1252 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4808 ],
- "I0": [ 1285 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4808 ],
- "CO": [ 4809 ],
- "I0": [ 1269 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4809 ],
- "CO": [ 4810 ],
- "I0": [ 1279 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4810 ],
- "CO": [ 4811 ],
- "I0": [ "0" ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4811 ],
- "CO": [ 4806 ],
- "I0": [ "0" ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4812 ],
- "CO": [ 1245 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4813 ],
- "I0": [ 1251 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4813 ],
- "CO": [ 4814 ],
- "I0": [ 1259 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4814 ],
- "CO": [ 4815 ],
- "I0": [ 1267 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4815 ],
- "CO": [ 4816 ],
- "I0": [ 1277 ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4816 ],
- "CO": [ 4817 ],
- "I0": [ "0" ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4817 ],
- "CO": [ 4812 ],
- "I0": [ "0" ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4818 ],
- "I0": [ 1243 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4818 ],
- "CO": [ 4819 ],
- "I0": [ 1249 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4819 ],
- "CO": [ 4820 ],
- "I0": [ 1256 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4820 ],
- "CO": [ 4821 ],
- "I0": [ 1264 ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4821 ],
- "CO": [ 4822 ],
- "I0": [ 1274 ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4822 ],
- "CO": [ 4823 ],
- "I0": [ "0" ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4823 ],
- "CO": [ 1239 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4824 ],
- "I0": [ 1240 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4824 ],
- "CO": [ 4825 ],
- "I0": [ 1244 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4825 ],
- "CO": [ 4826 ],
- "I0": [ 1250 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4826 ],
- "CO": [ 4827 ],
- "I0": [ 1257 ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4827 ],
- "CO": [ 4828 ],
- "I0": [ 1265 ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4828 ],
- "CO": [ 4829 ],
- "I0": [ 1275 ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4829 ],
- "CO": [ 1237 ],
- "I0": [ "0" ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4830 ],
- "I0": [ 1238 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4830 ],
- "CO": [ 4831 ],
- "I0": [ 1242 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4831 ],
- "CO": [ 4832 ],
- "I0": [ 1247 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4832 ],
- "CO": [ 4833 ],
- "I0": [ 1254 ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4833 ],
- "CO": [ 4834 ],
- "I0": [ 1262 ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4834 ],
- "CO": [ 4835 ],
- "I0": [ 1272 ],
- "I1": [ 1599 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4835 ],
- "CO": [ 2114 ],
- "I0": [ 1284 ],
- "I1": [ 1598 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20347.$auto$alumacc.cc:474:replace_alu$20722.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4836 ],
- "I0": [ 1286 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20347.$auto$alumacc.cc:474:replace_alu$20722.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1281 ],
- "I2": [ 1597 ],
- "I3": [ 4836 ],
- "O": [ 1280 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4837 ],
- "I0": [ 1285 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1269 ],
- "I2": [ 1597 ],
- "I3": [ 4837 ],
- "O": [ 1268 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4837 ],
- "CO": [ 4838 ],
- "I0": [ 1269 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1279 ],
- "I2": [ 1596 ],
- "I3": [ 4838 ],
- "O": [ 1278 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4839 ],
- "I0": [ 1251 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1259 ],
- "I2": [ 1597 ],
- "I3": [ 4839 ],
- "O": [ 1258 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4839 ],
- "CO": [ 4840 ],
- "I0": [ 1259 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1267 ],
- "I2": [ 1596 ],
- "I3": [ 4840 ],
- "O": [ 1266 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4840 ],
- "CO": [ 4841 ],
- "I0": [ 1267 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1277 ],
- "I2": [ 1601 ],
- "I3": [ 4841 ],
- "O": [ 1276 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4842 ],
- "I0": [ 1243 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1249 ],
- "I2": [ 1597 ],
- "I3": [ 4842 ],
- "O": [ 1248 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4842 ],
- "CO": [ 4843 ],
- "I0": [ 1249 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1256 ],
- "I2": [ 1596 ],
- "I3": [ 4843 ],
- "O": [ 1255 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4843 ],
- "CO": [ 4844 ],
- "I0": [ 1256 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1264 ],
- "I2": [ 1601 ],
- "I3": [ 4844 ],
- "O": [ 1263 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4844 ],
- "CO": [ 4845 ],
- "I0": [ 1264 ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1274 ],
- "I2": [ 1600 ],
- "I3": [ 4845 ],
- "O": [ 1273 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4846 ],
- "I0": [ 1240 ],
- "I1": [ 1241 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1244 ],
- "I2": [ 1597 ],
- "I3": [ 4846 ],
- "O": [ 1246 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4846 ],
- "CO": [ 4847 ],
- "I0": [ 1244 ],
- "I1": [ 1597 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1250 ],
- "I2": [ 1596 ],
- "I3": [ 4847 ],
- "O": [ 1253 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4847 ],
- "CO": [ 4848 ],
- "I0": [ 1250 ],
- "I1": [ 1596 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1257 ],
- "I2": [ 1601 ],
- "I3": [ 4848 ],
- "O": [ 1261 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4848 ],
- "CO": [ 4849 ],
- "I0": [ 1257 ],
- "I1": [ 1601 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1265 ],
- "I2": [ 1600 ],
- "I3": [ 4849 ],
- "O": [ 1271 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4849 ],
- "CO": [ 4850 ],
- "I0": [ 1265 ],
- "I1": [ 1600 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1275 ],
- "I2": [ 1599 ],
- "I3": [ 4850 ],
- "O": [ 1283 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4851 ],
- "CO": [ 4852 ],
- "I0": [ "0" ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4852 ],
- "CO": [ 4853 ],
- "I0": [ "0" ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4853 ],
- "CO": [ 4854 ],
- "I0": [ "0" ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4854 ],
- "CO": [ 4855 ],
- "I0": [ "0" ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4855 ],
- "CO": [ 1333 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1293 ],
- "CO": [ 4851 ],
- "I0": [ "0" ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4856 ],
- "CO": [ 4857 ],
- "I0": [ "0" ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4857 ],
- "CO": [ 4858 ],
- "I0": [ "0" ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4858 ],
- "CO": [ 4859 ],
- "I0": [ "0" ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4859 ],
- "CO": [ 1321 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4860 ],
- "I0": [ 1339 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4860 ],
- "CO": [ 4861 ],
- "I0": [ "0" ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4861 ],
- "CO": [ 4856 ],
- "I0": [ "0" ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4862 ],
- "CO": [ 4863 ],
- "I0": [ "0" ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4863 ],
- "CO": [ 4864 ],
- "I0": [ "0" ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4864 ],
- "CO": [ 1311 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4865 ],
- "I0": [ 1338 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4865 ],
- "CO": [ 4866 ],
- "I0": [ 1332 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4866 ],
- "CO": [ 4867 ],
- "I0": [ "0" ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4867 ],
- "CO": [ 4862 ],
- "I0": [ "0" ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4868 ],
- "CO": [ 4869 ],
- "I0": [ "0" ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4869 ],
- "CO": [ 1303 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4870 ],
- "I0": [ 1337 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4870 ],
- "CO": [ 4871 ],
- "I0": [ 1320 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4871 ],
- "CO": [ 4872 ],
- "I0": [ 1330 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4872 ],
- "CO": [ 4873 ],
- "I0": [ "0" ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4873 ],
- "CO": [ 4868 ],
- "I0": [ "0" ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4874 ],
- "CO": [ 1297 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4875 ],
- "I0": [ 1336 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4875 ],
- "CO": [ 4876 ],
- "I0": [ 1310 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4876 ],
- "CO": [ 4877 ],
- "I0": [ 1318 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4877 ],
- "CO": [ 4878 ],
- "I0": [ 1328 ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4878 ],
- "CO": [ 4879 ],
- "I0": [ "0" ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4879 ],
- "CO": [ 4874 ],
- "I0": [ "0" ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4880 ],
- "I0": [ 1295 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4880 ],
- "CO": [ 4881 ],
- "I0": [ 1301 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4881 ],
- "CO": [ 4882 ],
- "I0": [ 1307 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4882 ],
- "CO": [ 4883 ],
- "I0": [ 1315 ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4883 ],
- "CO": [ 4884 ],
- "I0": [ 1325 ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4884 ],
- "CO": [ 4885 ],
- "I0": [ "0" ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4885 ],
- "CO": [ 1291 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4886 ],
- "I0": [ 1292 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4886 ],
- "CO": [ 4887 ],
- "I0": [ 1296 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4887 ],
- "CO": [ 4888 ],
- "I0": [ 1302 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4888 ],
- "CO": [ 4889 ],
- "I0": [ 1308 ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4889 ],
- "CO": [ 4890 ],
- "I0": [ 1316 ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4890 ],
- "CO": [ 4891 ],
- "I0": [ 1326 ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4891 ],
- "CO": [ 1289 ],
- "I0": [ "0" ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4892 ],
- "I0": [ 1290 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4892 ],
- "CO": [ 4893 ],
- "I0": [ 1294 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4893 ],
- "CO": [ 4894 ],
- "I0": [ 1299 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4894 ],
- "CO": [ 4895 ],
- "I0": [ 1305 ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4895 ],
- "CO": [ 4896 ],
- "I0": [ 1313 ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4896 ],
- "CO": [ 4897 ],
- "I0": [ 1323 ],
- "I1": [ 1484 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4897 ],
- "CO": [ 2124 ],
- "I0": [ 1335 ],
- "I1": [ 1485 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20347.$auto$alumacc.cc:474:replace_alu$20722.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4898 ],
- "I0": [ 1338 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20347.$auto$alumacc.cc:474:replace_alu$20722.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1332 ],
- "I2": [ 1483 ],
- "I3": [ 4898 ],
- "O": [ 1331 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4899 ],
- "I0": [ 1337 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1320 ],
- "I2": [ 1483 ],
- "I3": [ 4899 ],
- "O": [ 1319 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4899 ],
- "CO": [ 4900 ],
- "I0": [ 1320 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1330 ],
- "I2": [ 1480 ],
- "I3": [ 4900 ],
- "O": [ 1329 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4901 ],
- "I0": [ 1336 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1310 ],
- "I2": [ 1483 ],
- "I3": [ 4901 ],
- "O": [ 1309 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4901 ],
- "CO": [ 4902 ],
- "I0": [ 1310 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1318 ],
- "I2": [ 1480 ],
- "I3": [ 4902 ],
- "O": [ 1317 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4902 ],
- "CO": [ 4903 ],
- "I0": [ 1318 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1328 ],
- "I2": [ 1482 ],
- "I3": [ 4903 ],
- "O": [ 1327 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4904 ],
- "I0": [ 1295 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1301 ],
- "I2": [ 1483 ],
- "I3": [ 4904 ],
- "O": [ 1300 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4904 ],
- "CO": [ 4905 ],
- "I0": [ 1301 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1307 ],
- "I2": [ 1480 ],
- "I3": [ 4905 ],
- "O": [ 1306 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4905 ],
- "CO": [ 4906 ],
- "I0": [ 1307 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1315 ],
- "I2": [ 1482 ],
- "I3": [ 4906 ],
- "O": [ 1314 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4906 ],
- "CO": [ 4907 ],
- "I0": [ 1315 ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1325 ],
- "I2": [ 1481 ],
- "I3": [ 4907 ],
- "O": [ 1324 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 4908 ],
- "I0": [ 1292 ],
- "I1": [ 1293 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1296 ],
- "I2": [ 1483 ],
- "I3": [ 4908 ],
- "O": [ 1298 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4908 ],
- "CO": [ 4909 ],
- "I0": [ 1296 ],
- "I1": [ 1483 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1302 ],
- "I2": [ 1480 ],
- "I3": [ 4909 ],
- "O": [ 1304 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4909 ],
- "CO": [ 4910 ],
- "I0": [ 1302 ],
- "I1": [ 1480 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1308 ],
- "I2": [ 1482 ],
- "I3": [ 4910 ],
- "O": [ 1312 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4910 ],
- "CO": [ 4911 ],
- "I0": [ 1308 ],
- "I1": [ 1482 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1316 ],
- "I2": [ 1481 ],
- "I3": [ 4911 ],
- "O": [ 1322 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 4911 ],
- "CO": [ 4912 ],
- "I0": [ 1316 ],
- "I1": [ 1481 ]
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1326 ],
- "I2": [ 1484 ],
- "I3": [ 4912 ],
- "O": [ 1334 ]
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.RAM.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_1": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_2": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_3": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_4": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_5": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_6": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_7": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_8": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_9": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_A": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_B": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_C": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_D": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_E": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "INIT_F": "xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000xxxx000000000000",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/brams_map.v:277|/home/uni-user/tools/yosys/share/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 1449, 1450, 1451, 1452, 1453, 908, 917, 924, 931, 937, "0" ],
- "RCLK": [ 3364 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 4913, 4766, 4914, 4915, 4916, 4767, 4917, 4918, 4919, 4768, 4920, 4921, 4922, 4923, 4924, 4925 ],
- "RE": [ "1" ],
- "WADDR": [ 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934, "0" ],
- "WCLK": [ 3364 ],
- "WCLKE": [ "1" ],
- "WDATA": [ "x", 1343, "x", "x", "x", 1407, "x", "x", "x", 3671, "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.RAM.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "x000000000001011x000000000001010x000000000001010x000000000001001x000000000001000x000000000000111x000000000000111x000000000000110x000000000000101x000000000000100x000000000000011x000000000000011x000000000000010x000000000000001x000000000000000x000000000000000",
- "INIT_1": "x000000000010110x000000000010101x000000000010100x000000000010100x000000000010011x000000000010011x000000000010010x000000000010001x000000000010001x000000000010000x000000000001111x000000000001111x000000000001110x000000000001101x000000000001100x000000000001100",
- "INIT_2": "x000000000011101x000000000011100x000000000011100x000000000011100x000000000011011x000000000011011x000000000011011x000000000011010x000000000011010x000000000011001x000000000011001x000000000011000x000000000011000x000000000010111x000000000010111x000000000010110",
- "INIT_3": "x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011110x000000000011110x000000000011110x000000000011110x000000000011101x000000000011101",
- "INIT_4": "x000000000011101x000000000011110x000000000011110x000000000011110x000000000011110x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000100000",
- "INIT_5": "x000000000010111x000000000010111x000000000011000x000000000011000x000000000011001x000000000011001x000000000011010x000000000011010x000000000011011x000000000011011x000000000011011x000000000011100x000000000011100x000000000011100x000000000011101x000000000011101",
- "INIT_6": "x000000000001100x000000000001101x000000000001110x000000000001111x000000000001111x000000000010000x000000000010001x000000000010001x000000000010010x000000000010011x000000000010011x000000000010100x000000000010100x000000000010101x000000000010110x000000000010110",
- "INIT_7": "x000000000000000x000000000000001x000000000000010x000000000000011x000000000000011x000000000000100x000000000000101x000000000000110x000000000000111x000000000000111x000000000001000x000000000001001x000000000001010x000000000001010x000000000001011x000000000001100",
- "INIT_8": "x111111111110101x111111111110110x111111111110110x111111111110111x111111111111000x111111111111001x111111111111001x111111111111010x111111111111011x111111111111100x111111111111101x111111111111101x111111111111110x111111111111111x000000000000000x000000000000000",
- "INIT_9": "x111111111101010x111111111101011x111111111101100x111111111101100x111111111101101x111111111101101x111111111101110x111111111101111x111111111101111x111111111110000x111111111110001x111111111110001x111111111110010x111111111110011x111111111110100x111111111110100",
- "INIT_A": "x111111111100011x111111111100100x111111111100100x111111111100100x111111111100101x111111111100101x111111111100101x111111111100110x111111111100110x111111111100111x111111111100111x111111111101000x111111111101000x111111111101001x111111111101001x111111111101010",
- "INIT_B": "x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100010x111111111100010x111111111100010x111111111100010x111111111100011x111111111100011",
- "INIT_C": "x111111111100011x111111111100010x111111111100010x111111111100010x111111111100010x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100000",
- "INIT_D": "x111111111101001x111111111101001x111111111101000x111111111101000x111111111100111x111111111100111x111111111100110x111111111100110x111111111100101x111111111100101x111111111100101x111111111100100x111111111100100x111111111100100x111111111100011x111111111100011",
- "INIT_E": "x111111111110100x111111111110011x111111111110010x111111111110001x111111111110001x111111111110000x111111111101111x111111111101111x111111111101110x111111111101101x111111111101101x111111111101100x111111111101100x111111111101011x111111111101010x111111111101010",
- "INIT_F": "x000000000000000x111111111111111x111111111111110x111111111111101x111111111111101x111111111111100x111111111111011x111111111111010x111111111111001x111111111111001x111111111111000x111111111110111x111111111110110x111111111110110x111111111110101x111111111110100",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/brams_map.v:191|/home/uni-user/tools/yosys/share/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ],
- "RADDR": [ 4579, 4492, 4494, 4496, 4498, 4500, 1989, 3394, "0", "0", "0" ],
- "RCLK": [ 3364 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1879, 1880, 1877, 1881, 1882, 2739, 2758, 2778, 2802, 2820, 2843, 2865, 2887, 2914, 2938, 4926 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WE": [ "1" ]
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.RAM_0.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "x000000000001011x000000000001010x000000000001010x000000000001001x000000000001000x000000000000111x000000000000111x000000000000110x000000000000101x000000000000100x000000000000011x000000000000011x000000000000010x000000000000001x000000000000000x000000000000000",
- "INIT_1": "x000000000010110x000000000010101x000000000010100x000000000010100x000000000010011x000000000010011x000000000010010x000000000010001x000000000010001x000000000010000x000000000001111x000000000001111x000000000001110x000000000001101x000000000001100x000000000001100",
- "INIT_2": "x000000000011101x000000000011100x000000000011100x000000000011100x000000000011011x000000000011011x000000000011011x000000000011010x000000000011010x000000000011001x000000000011001x000000000011000x000000000011000x000000000010111x000000000010111x000000000010110",
- "INIT_3": "x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011110x000000000011110x000000000011110x000000000011110x000000000011101x000000000011101",
- "INIT_4": "x000000000011101x000000000011110x000000000011110x000000000011110x000000000011110x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000011111x000000000100000",
- "INIT_5": "x000000000010111x000000000010111x000000000011000x000000000011000x000000000011001x000000000011001x000000000011010x000000000011010x000000000011011x000000000011011x000000000011011x000000000011100x000000000011100x000000000011100x000000000011101x000000000011101",
- "INIT_6": "x000000000001100x000000000001101x000000000001110x000000000001111x000000000001111x000000000010000x000000000010001x000000000010001x000000000010010x000000000010011x000000000010011x000000000010100x000000000010100x000000000010101x000000000010110x000000000010110",
- "INIT_7": "x000000000000000x000000000000001x000000000000010x000000000000011x000000000000011x000000000000100x000000000000101x000000000000110x000000000000111x000000000000111x000000000001000x000000000001001x000000000001010x000000000001010x000000000001011x000000000001100",
- "INIT_8": "x111111111110101x111111111110110x111111111110110x111111111110111x111111111111000x111111111111001x111111111111001x111111111111010x111111111111011x111111111111100x111111111111101x111111111111101x111111111111110x111111111111111x000000000000000x000000000000000",
- "INIT_9": "x111111111101010x111111111101011x111111111101100x111111111101100x111111111101101x111111111101101x111111111101110x111111111101111x111111111101111x111111111110000x111111111110001x111111111110001x111111111110010x111111111110011x111111111110100x111111111110100",
- "INIT_A": "x111111111100011x111111111100100x111111111100100x111111111100100x111111111100101x111111111100101x111111111100101x111111111100110x111111111100110x111111111100111x111111111100111x111111111101000x111111111101000x111111111101001x111111111101001x111111111101010",
- "INIT_B": "x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100010x111111111100010x111111111100010x111111111100010x111111111100011x111111111100011",
- "INIT_C": "x111111111100011x111111111100010x111111111100010x111111111100010x111111111100010x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100001x111111111100000",
- "INIT_D": "x111111111101001x111111111101001x111111111101000x111111111101000x111111111100111x111111111100111x111111111100110x111111111100110x111111111100101x111111111100101x111111111100101x111111111100100x111111111100100x111111111100100x111111111100011x111111111100011",
- "INIT_E": "x111111111110100x111111111110011x111111111110010x111111111110001x111111111110001x111111111110000x111111111101111x111111111101111x111111111101110x111111111101101x111111111101101x111111111101100x111111111101100x111111111101011x111111111101010x111111111101010",
- "INIT_F": "x000000000000000x111111111111111x111111111111110x111111111111101x111111111111101x111111111111100x111111111111011x111111111111010x111111111111001x111111111111001x111111111111000x111111111110111x111111111110110x111111111110110x111111111110101x111111111110100",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/brams_map.v:191|/home/uni-user/tools/yosys/share/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1", "1" ],
- "RADDR": [ 4477, 4492, 4494, 4496, 4498, 4500, 3393, 1991, "0", "0", "0" ],
- "RCLK": [ 3364 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1843, 1845, 1840, 1847, 1849, 2235, 2251, 2272, 2297, 2315, 2338, 2360, 2382, 2409, 2434, 4927 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\DCLOCK[0:0]": {
- "hide_name": 1,
- "bits": [ 3365 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:43"
- }
- },
- "$abc$32408$n1": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$32408$n10": {
- "hide_name": 1,
- "bits": [ 29 ],
- "attributes": {
- }
- },
- "$abc$32408$n1161": {
- "hide_name": 1,
- "bits": [ 3241 ],
- "attributes": {
- }
- },
- "$abc$32408$n1163": {
- "hide_name": 1,
- "bits": [ 3242 ],
- "attributes": {
- }
- },
- "$abc$32408$n1164": {
- "hide_name": 1,
- "bits": [ 3243 ],
- "attributes": {
- }
- },
- "$abc$32408$n1167": {
- "hide_name": 1,
- "bits": [ 3244 ],
- "attributes": {
- }
- },
- "$abc$32408$n12": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$32408$n1262": {
- "hide_name": 1,
- "bits": [ 3245 ],
- "attributes": {
- }
- },
- "$abc$32408$n1263": {
- "hide_name": 1,
- "bits": [ 3246 ],
- "attributes": {
- }
- },
- "$abc$32408$n1265": {
- "hide_name": 1,
- "bits": [ 3247 ],
- "attributes": {
- }
- },
- "$abc$32408$n1266": {
- "hide_name": 1,
- "bits": [ 3248 ],
- "attributes": {
- }
- },
- "$abc$32408$n1268": {
- "hide_name": 1,
- "bits": [ 3249 ],
- "attributes": {
- }
- },
- "$abc$32408$n1269": {
- "hide_name": 1,
- "bits": [ 3250 ],
- "attributes": {
- }
- },
- "$abc$32408$n1271": {
- "hide_name": 1,
- "bits": [ 3251 ],
- "attributes": {
- }
- },
- "$abc$32408$n1272": {
- "hide_name": 1,
- "bits": [ 3252 ],
- "attributes": {
- }
- },
- "$abc$32408$n1274": {
- "hide_name": 1,
- "bits": [ 3253 ],
- "attributes": {
- }
- },
- "$abc$32408$n1275": {
- "hide_name": 1,
- "bits": [ 3254 ],
- "attributes": {
- }
- },
- "$abc$32408$n1277": {
- "hide_name": 1,
- "bits": [ 3255 ],
- "attributes": {
- }
- },
- "$abc$32408$n1278": {
- "hide_name": 1,
- "bits": [ 3256 ],
- "attributes": {
- }
- },
- "$abc$32408$n1280": {
- "hide_name": 1,
- "bits": [ 3257 ],
- "attributes": {
- }
- },
- "$abc$32408$n1281": {
- "hide_name": 1,
- "bits": [ 3258 ],
- "attributes": {
- }
- },
- "$abc$32408$n1283": {
- "hide_name": 1,
- "bits": [ 3259 ],
- "attributes": {
- }
- },
- "$abc$32408$n1284": {
- "hide_name": 1,
- "bits": [ 3260 ],
- "attributes": {
- }
- },
- "$abc$32408$n1293": {
- "hide_name": 1,
- "bits": [ 3261 ],
- "attributes": {
- }
- },
- "$abc$32408$n1294": {
- "hide_name": 1,
- "bits": [ 3262 ],
- "attributes": {
- }
- },
- "$abc$32408$n1296": {
- "hide_name": 1,
- "bits": [ 3263 ],
- "attributes": {
- }
- },
- "$abc$32408$n1297": {
- "hide_name": 1,
- "bits": [ 3264 ],
- "attributes": {
- }
- },
- "$abc$32408$n1299": {
- "hide_name": 1,
- "bits": [ 3265 ],
- "attributes": {
- }
- },
- "$abc$32408$n1305": {
- "hide_name": 1,
- "bits": [ 3266 ],
- "attributes": {
- }
- },
- "$abc$32408$n1306": {
- "hide_name": 1,
- "bits": [ 3267 ],
- "attributes": {
- }
- },
- "$abc$32408$n1308": {
- "hide_name": 1,
- "bits": [ 3268 ],
- "attributes": {
- }
- },
- "$abc$32408$n1309": {
- "hide_name": 1,
- "bits": [ 3269 ],
- "attributes": {
- }
- },
- "$abc$32408$n1311": {
- "hide_name": 1,
- "bits": [ 3270 ],
- "attributes": {
- }
- },
- "$abc$32408$n1312": {
- "hide_name": 1,
- "bits": [ 3271 ],
- "attributes": {
- }
- },
- "$abc$32408$n1314": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$32408$n1315": {
- "hide_name": 1,
- "bits": [ 3272 ],
- "attributes": {
- }
- },
- "$abc$32408$n1324": {
- "hide_name": 1,
- "bits": [ 3273 ],
- "attributes": {
- }
- },
- "$abc$32408$n1325": {
- "hide_name": 1,
- "bits": [ 3274 ],
- "attributes": {
- }
- },
- "$abc$32408$n1327": {
- "hide_name": 1,
- "bits": [ 3275 ],
- "attributes": {
- }
- },
- "$abc$32408$n1328": {
- "hide_name": 1,
- "bits": [ 3276 ],
- "attributes": {
- }
- },
- "$abc$32408$n1330": {
- "hide_name": 1,
- "bits": [ 3277 ],
- "attributes": {
- }
- },
- "$abc$32408$n1331": {
- "hide_name": 1,
- "bits": [ 3278 ],
- "attributes": {
- }
- },
- "$abc$32408$n1333": {
- "hide_name": 1,
- "bits": [ 3279 ],
- "attributes": {
- }
- },
- "$abc$32408$n1334": {
- "hide_name": 1,
- "bits": [ 3280 ],
- "attributes": {
- }
- },
- "$abc$32408$n1336": {
- "hide_name": 1,
- "bits": [ 3281 ],
- "attributes": {
- }
- },
- "$abc$32408$n1337": {
- "hide_name": 1,
- "bits": [ 3282 ],
- "attributes": {
- }
- },
- "$abc$32408$n1339": {
- "hide_name": 1,
- "bits": [ 3283 ],
- "attributes": {
- }
- },
- "$abc$32408$n1340": {
- "hide_name": 1,
- "bits": [ 3284 ],
- "attributes": {
- }
- },
- "$abc$32408$n1342": {
- "hide_name": 1,
- "bits": [ 3285 ],
- "attributes": {
- }
- },
- "$abc$32408$n1343": {
- "hide_name": 1,
- "bits": [ 3286 ],
- "attributes": {
- }
- },
- "$abc$32408$n1345": {
- "hide_name": 1,
- "bits": [ 3287 ],
- "attributes": {
- }
- },
- "$abc$32408$n1346": {
- "hide_name": 1,
- "bits": [ 3288 ],
- "attributes": {
- }
- },
- "$abc$32408$n1355": {
- "hide_name": 1,
- "bits": [ 3289 ],
- "attributes": {
- }
- },
- "$abc$32408$n1356": {
- "hide_name": 1,
- "bits": [ 3290 ],
- "attributes": {
- }
- },
- "$abc$32408$n1358": {
- "hide_name": 1,
- "bits": [ 3291 ],
- "attributes": {
- }
- },
- "$abc$32408$n1359": {
- "hide_name": 1,
- "bits": [ 3292 ],
- "attributes": {
- }
- },
- "$abc$32408$n1361": {
- "hide_name": 1,
- "bits": [ 3293 ],
- "attributes": {
- }
- },
- "$abc$32408$n1362": {
- "hide_name": 1,
- "bits": [ 3294 ],
- "attributes": {
- }
- },
- "$abc$32408$n1364": {
- "hide_name": 1,
- "bits": [ 3295 ],
- "attributes": {
- }
- },
- "$abc$32408$n1365": {
- "hide_name": 1,
- "bits": [ 3296 ],
- "attributes": {
- }
- },
- "$abc$32408$n1367": {
- "hide_name": 1,
- "bits": [ 3297 ],
- "attributes": {
- }
- },
- "$abc$32408$n1368": {
- "hide_name": 1,
- "bits": [ 3298 ],
- "attributes": {
- }
- },
- "$abc$32408$n1370": {
- "hide_name": 1,
- "bits": [ 3299 ],
- "attributes": {
- }
- },
- "$abc$32408$n1371": {
- "hide_name": 1,
- "bits": [ 3300 ],
- "attributes": {
- }
- },
- "$abc$32408$n1373": {
- "hide_name": 1,
- "bits": [ 3301 ],
- "attributes": {
- }
- },
- "$abc$32408$n1374": {
- "hide_name": 1,
- "bits": [ 3302 ],
- "attributes": {
- }
- },
- "$abc$32408$n1376": {
- "hide_name": 1,
- "bits": [ 3303 ],
- "attributes": {
- }
- },
- "$abc$32408$n1377": {
- "hide_name": 1,
- "bits": [ 3304 ],
- "attributes": {
- }
- },
- "$abc$32408$n14": {
- "hide_name": 1,
- "bits": [ 31 ],
- "attributes": {
- }
- },
- "$abc$32408$n1428": {
- "hide_name": 1,
- "bits": [ 819 ],
- "attributes": {
- }
- },
- "$abc$32408$n1429": {
- "hide_name": 1,
- "bits": [ 821 ],
- "attributes": {
- }
- },
- "$abc$32408$n1431": {
- "hide_name": 1,
- "bits": [ 823 ],
- "attributes": {
- }
- },
- "$abc$32408$n1432": {
- "hide_name": 1,
- "bits": [ 825 ],
- "attributes": {
- }
- },
- "$abc$32408$n1442": {
- "hide_name": 1,
- "bits": [ 827 ],
- "attributes": {
- }
- },
- "$abc$32408$n1443": {
- "hide_name": 1,
- "bits": [ 829 ],
- "attributes": {
- }
- },
- "$abc$32408$n1444": {
- "hide_name": 1,
- "bits": [ 831 ],
- "attributes": {
- }
- },
- "$abc$32408$n1445": {
- "hide_name": 1,
- "bits": [ 833 ],
- "attributes": {
- }
- },
- "$abc$32408$n1449": {
- "hide_name": 1,
- "bits": [ 835 ],
- "attributes": {
- }
- },
- "$abc$32408$n1452": {
- "hide_name": 1,
- "bits": [ 3305 ],
- "attributes": {
- }
- },
- "$abc$32408$n1453": {
- "hide_name": 1,
- "bits": [ 3306 ],
- "attributes": {
- }
- },
- "$abc$32408$n1455": {
- "hide_name": 1,
- "bits": [ 3307 ],
- "attributes": {
- }
- },
- "$abc$32408$n1456": {
- "hide_name": 1,
- "bits": [ 3308 ],
- "attributes": {
- }
- },
- "$abc$32408$n1458": {
- "hide_name": 1,
- "bits": [ 3309 ],
- "attributes": {
- }
- },
- "$abc$32408$n1459": {
- "hide_name": 1,
- "bits": [ 3310 ],
- "attributes": {
- }
- },
- "$abc$32408$n1461": {
- "hide_name": 1,
- "bits": [ 3311 ],
- "attributes": {
- }
- },
- "$abc$32408$n1462": {
- "hide_name": 1,
- "bits": [ 3312 ],
- "attributes": {
- }
- },
- "$abc$32408$n1464": {
- "hide_name": 1,
- "bits": [ 3313 ],
- "attributes": {
- }
- },
- "$abc$32408$n1465": {
- "hide_name": 1,
- "bits": [ 3314 ],
- "attributes": {
- }
- },
- "$abc$32408$n1467": {
- "hide_name": 1,
- "bits": [ 3315 ],
- "attributes": {
- }
- },
- "$abc$32408$n1468": {
- "hide_name": 1,
- "bits": [ 3316 ],
- "attributes": {
- }
- },
- "$abc$32408$n1470": {
- "hide_name": 1,
- "bits": [ 3317 ],
- "attributes": {
- }
- },
- "$abc$32408$n1471": {
- "hide_name": 1,
- "bits": [ 3318 ],
- "attributes": {
- }
- },
- "$abc$32408$n1473": {
- "hide_name": 1,
- "bits": [ 3319 ],
- "attributes": {
- }
- },
- "$abc$32408$n1474": {
- "hide_name": 1,
- "bits": [ 3320 ],
- "attributes": {
- }
- },
- "$abc$32408$n1487": {
- "hide_name": 1,
- "bits": [ 3321 ],
- "attributes": {
- }
- },
- "$abc$32408$n1496": {
- "hide_name": 1,
- "bits": [ 3322 ],
- "attributes": {
- }
- },
- "$abc$32408$n1497": {
- "hide_name": 1,
- "bits": [ 3323 ],
- "attributes": {
- }
- },
- "$abc$32408$n1499": {
- "hide_name": 1,
- "bits": [ 3324 ],
- "attributes": {
- }
- },
- "$abc$32408$n1501": {
- "hide_name": 1,
- "bits": [ 3325 ],
- "attributes": {
- }
- },
- "$abc$32408$n1502": {
- "hide_name": 1,
- "bits": [ 3326 ],
- "attributes": {
- }
- },
- "$abc$32408$n1504": {
- "hide_name": 1,
- "bits": [ 3327 ],
- "attributes": {
- }
- },
- "$abc$32408$n1505": {
- "hide_name": 1,
- "bits": [ 3328 ],
- "attributes": {
- }
- },
- "$abc$32408$n1507": {
- "hide_name": 1,
- "bits": [ 3329 ],
- "attributes": {
- }
- },
- "$abc$32408$n1508": {
- "hide_name": 1,
- "bits": [ 3330 ],
- "attributes": {
- }
- },
- "$abc$32408$n1510": {
- "hide_name": 1,
- "bits": [ 3331 ],
- "attributes": {
- }
- },
- "$abc$32408$n1511": {
- "hide_name": 1,
- "bits": [ 3332 ],
- "attributes": {
- }
- },
- "$abc$32408$n1513": {
- "hide_name": 1,
- "bits": [ 3333 ],
- "attributes": {
- }
- },
- "$abc$32408$n1514": {
- "hide_name": 1,
- "bits": [ 3334 ],
- "attributes": {
- }
- },
- "$abc$32408$n1516": {
- "hide_name": 1,
- "bits": [ 3335 ],
- "attributes": {
- }
- },
- "$abc$32408$n1517": {
- "hide_name": 1,
- "bits": [ 3336 ],
- "attributes": {
- }
- },
- "$abc$32408$n1519": {
- "hide_name": 1,
- "bits": [ 3337 ],
- "attributes": {
- }
- },
- "$abc$32408$n1520": {
- "hide_name": 1,
- "bits": [ 3338 ],
- "attributes": {
- }
- },
- "$abc$32408$n1522": {
- "hide_name": 1,
- "bits": [ 3339 ],
- "attributes": {
- }
- },
- "$abc$32408$n1523": {
- "hide_name": 1,
- "bits": [ 3340 ],
- "attributes": {
- }
- },
- "$abc$32408$n1525": {
- "hide_name": 1,
- "bits": [ 3341 ],
- "attributes": {
- }
- },
- "$abc$32408$n1526": {
- "hide_name": 1,
- "bits": [ 3342 ],
- "attributes": {
- }
- },
- "$abc$32408$n1528": {
- "hide_name": 1,
- "bits": [ 3343 ],
- "attributes": {
- }
- },
- "$abc$32408$n1529": {
- "hide_name": 1,
- "bits": [ 3344 ],
- "attributes": {
- }
- },
- "$abc$32408$n1531": {
- "hide_name": 1,
- "bits": [ 3345 ],
- "attributes": {
- }
- },
- "$abc$32408$n1532": {
- "hide_name": 1,
- "bits": [ 3346 ],
- "attributes": {
- }
- },
- "$abc$32408$n1534": {
- "hide_name": 1,
- "bits": [ 3347 ],
- "attributes": {
- }
- },
- "$abc$32408$n1535": {
- "hide_name": 1,
- "bits": [ 3348 ],
- "attributes": {
- }
- },
- "$abc$32408$n1547": {
- "hide_name": 1,
- "bits": [ 560 ],
- "attributes": {
- }
- },
- "$abc$32408$n1548": {
- "hide_name": 1,
- "bits": [ 583 ],
- "attributes": {
- }
- },
- "$abc$32408$n1550": {
- "hide_name": 1,
- "bits": [ 490 ],
- "attributes": {
- }
- },
- "$abc$32408$n1551": {
- "hide_name": 1,
- "bits": [ 489 ],
- "attributes": {
- }
- },
- "$abc$32408$n1553": {
- "hide_name": 1,
- "bits": [ 492 ],
- "attributes": {
- }
- },
- "$abc$32408$n1554": {
- "hide_name": 1,
- "bits": [ 568 ],
- "attributes": {
- }
- },
- "$abc$32408$n1556": {
- "hide_name": 1,
- "bits": [ 558 ],
- "attributes": {
- }
- },
- "$abc$32408$n1557": {
- "hide_name": 1,
- "bits": [ 561 ],
- "attributes": {
- }
- },
- "$abc$32408$n1559": {
- "hide_name": 1,
- "bits": [ 491 ],
- "attributes": {
- }
- },
- "$abc$32408$n1560": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$32408$n1562": {
- "hide_name": 1,
- "bits": [ 574 ],
- "attributes": {
- }
- },
- "$abc$32408$n1563": {
- "hide_name": 1,
- "bits": [ 575 ],
- "attributes": {
- }
- },
- "$abc$32408$n1565": {
- "hide_name": 1,
- "bits": [ 494 ],
- "attributes": {
- }
- },
- "$abc$32408$n1566": {
- "hide_name": 1,
- "bits": [ 577 ],
- "attributes": {
- }
- },
- "$abc$32408$n1568": {
- "hide_name": 1,
- "bits": [ 584 ],
- "attributes": {
- }
- },
- "$abc$32408$n1569": {
- "hide_name": 1,
- "bits": [ 553 ],
- "attributes": {
- }
- },
- "$abc$32408$n1571": {
- "hide_name": 1,
- "bits": [ 493 ],
- "attributes": {
- }
- },
- "$abc$32408$n1572": {
- "hide_name": 1,
- "bits": [ 582 ],
- "attributes": {
- }
- },
- "$abc$32408$n1574": {
- "hide_name": 1,
- "bits": [ 495 ],
- "attributes": {
- }
- },
- "$abc$32408$n1577": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$32408$n1578": {
- "hide_name": 1,
- "bits": [ 551 ],
- "attributes": {
- }
- },
- "$abc$32408$n1580": {
- "hide_name": 1,
- "bits": [ 552 ],
- "attributes": {
- }
- },
- "$abc$32408$n1581": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$32408$n1583": {
- "hide_name": 1,
- "bits": [ 559 ],
- "attributes": {
- }
- },
- "$abc$32408$n1584": {
- "hide_name": 1,
- "bits": [ 576 ],
- "attributes": {
- }
- },
- "$abc$32408$n1586": {
- "hide_name": 1,
- "bits": [ 549 ],
- "attributes": {
- }
- },
- "$abc$32408$n1587": {
- "hide_name": 1,
- "bits": [ 566 ],
- "attributes": {
- }
- },
- "$abc$32408$n1637": {
- "hide_name": 1,
- "bits": [ 3349 ],
- "attributes": {
- }
- },
- "$abc$32408$n1638": {
- "hide_name": 1,
- "bits": [ 3350 ],
- "attributes": {
- }
- },
- "$abc$32408$n1640": {
- "hide_name": 1,
- "bits": [ 3351 ],
- "attributes": {
- }
- },
- "$abc$32408$n1641": {
- "hide_name": 1,
- "bits": [ 3352 ],
- "attributes": {
- }
- },
- "$abc$32408$n1647": {
- "hide_name": 1,
- "bits": [ 3353 ],
- "attributes": {
- }
- },
- "$abc$32408$n1704": {
- "hide_name": 1,
- "bits": [ 848 ],
- "attributes": {
- }
- },
- "$abc$32408$n1726": {
- "hide_name": 1,
- "bits": [ 3362 ],
- "attributes": {
- }
- },
- "$abc$32408$n1744": {
- "hide_name": 1,
- "bits": [ 852 ],
- "attributes": {
- }
- },
- "$abc$32408$n1745": {
- "hide_name": 1,
- "bits": [ 855 ],
- "attributes": {
- }
- },
- "$abc$32408$n1775": {
- "hide_name": 1,
- "bits": [ 3363 ],
- "attributes": {
- }
- },
- "$abc$32408$n1791": {
- "hide_name": 1,
- "bits": [ 856 ],
- "attributes": {
- }
- },
- "$abc$32408$n1792": {
- "hide_name": 1,
- "bits": [ 858 ],
- "attributes": {
- }
- },
- "$abc$32408$n1794": {
- "hide_name": 1,
- "bits": [ 860 ],
- "attributes": {
- }
- },
- "$abc$32408$n1795": {
- "hide_name": 1,
- "bits": [ 862 ],
- "attributes": {
- }
- },
- "$abc$32408$n1797": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$32408$n1799": {
- "hide_name": 1,
- "bits": [ 867 ],
- "attributes": {
- }
- },
- "$abc$32408$n1800": {
- "hide_name": 1,
- "bits": [ 869 ],
- "attributes": {
- }
- },
- "$abc$32408$n1802": {
- "hide_name": 1,
- "bits": [ 871 ],
- "attributes": {
- }
- },
- "$abc$32408$n1803": {
- "hide_name": 1,
- "bits": [ 873 ],
- "attributes": {
- }
- },
- "$abc$32408$n1805": {
- "hide_name": 1,
- "bits": [ 875 ],
- "attributes": {
- }
- },
- "$abc$32408$n1806": {
- "hide_name": 1,
- "bits": [ 877 ],
- "attributes": {
- }
- },
- "$abc$32408$n1807": {
- "hide_name": 1,
- "bits": [ 879 ],
- "attributes": {
- }
- },
- "$abc$32408$n1808": {
- "hide_name": 1,
- "bits": [ 881 ],
- "attributes": {
- }
- },
- "$abc$32408$n1812": {
- "hide_name": 1,
- "bits": [ 883 ],
- "attributes": {
- }
- },
- "$abc$32408$n2313_1": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$32408$n2314_1": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$32408$n2315_1": {
- "hide_name": 1,
- "bits": [ 48 ],
- "attributes": {
- }
- },
- "$abc$32408$n2316_1": {
- "hide_name": 1,
- "bits": [ 51 ],
- "attributes": {
- }
- },
- "$abc$32408$n2317_1": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$32408$n2318_1": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$32408$n2319": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$32408$n2320_1": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$32408$n2321": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$32408$n2322_1": {
- "hide_name": 1,
- "bits": [ 65 ],
- "attributes": {
- }
- },
- "$abc$32408$n2323": {
- "hide_name": 1,
- "bits": [ 50 ],
- "attributes": {
- }
- },
- "$abc$32408$n2324_1": {
- "hide_name": 1,
- "bits": [ 46 ],
- "attributes": {
- }
- },
- "$abc$32408$n2325": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$32408$n2326_1": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$32408$n2327": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$32408$n2328_1": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$32408$n2329": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$32408$n2330_1": {
- "hide_name": 1,
- "bits": [ 67 ],
- "attributes": {
- }
- },
- "$abc$32408$n2331": {
- "hide_name": 1,
- "bits": [ 68 ],
- "attributes": {
- }
- },
- "$abc$32408$n2332_1": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$32408$n2333": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$32408$n2334": {
- "hide_name": 1,
- "bits": [ 77 ],
- "attributes": {
- }
- },
- "$abc$32408$n2335": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$32408$n2336": {
- "hide_name": 1,
- "bits": [ 81 ],
- "attributes": {
- }
- },
- "$abc$32408$n2337": {
- "hide_name": 1,
- "bits": [ 80 ],
- "attributes": {
- }
- },
- "$abc$32408$n2338_1": {
- "hide_name": 1,
- "bits": [ 78 ],
- "attributes": {
- }
- },
- "$abc$32408$n2339": {
- "hide_name": 1,
- "bits": [ 76 ],
- "attributes": {
- }
- },
- "$abc$32408$n2340": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$32408$n2341": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$32408$n2342_1": {
- "hide_name": 1,
- "bits": [ 75 ],
- "attributes": {
- }
- },
- "$abc$32408$n2343": {
- "hide_name": 1,
- "bits": [ 84 ],
- "attributes": {
- }
- },
- "$abc$32408$n2345": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$32408$n2346_1": {
- "hide_name": 1,
- "bits": [ 88 ],
- "attributes": {
- }
- },
- "$abc$32408$n2347": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$32408$n2348_1": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$32408$n2349": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$32408$n2350_1": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$32408$n2352_1": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$32408$n2356_1": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$32408$n2357_1": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$32408$n2358_1": {
- "hide_name": 1,
- "bits": [ 94 ],
- "attributes": {
- }
- },
- "$abc$32408$n2359_1": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$32408$n2360_1": {
- "hide_name": 1,
- "bits": [ 37 ],
- "attributes": {
- }
- },
- "$abc$32408$n2364_1": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$32408$n2365_1": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$32408$n2366_1": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$32408$n2367_1": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$32408$n2368_1": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$32408$n2369_1": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$32408$n2370_1": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$32408$n2371": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$32408$n2372_1": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$32408$n2373": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$32408$n2374_1": {
- "hide_name": 1,
- "bits": [ 133 ],
- "attributes": {
- }
- },
- "$abc$32408$n2375_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$32408$n2377": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$32408$n2378": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$32408$n2379": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$32408$n2387_1": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$32408$n2388_1": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$32408$n2391_1": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$32408$n2403_1": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$32408$n2404_1": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$32408$n2405": {
- "hide_name": 1,
- "bits": [ 1016 ],
- "attributes": {
- }
- },
- "$abc$32408$n2409_1": {
- "hide_name": 1,
- "bits": [ 179 ],
- "attributes": {
- }
- },
- "$abc$32408$n2410_1": {
- "hide_name": 1,
- "bits": [ 183 ],
- "attributes": {
- }
- },
- "$abc$32408$n2411_1": {
- "hide_name": 1,
- "bits": [ 177 ],
- "attributes": {
- }
- },
- "$abc$32408$n2412_1": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$32408$n2413_1": {
- "hide_name": 1,
- "bits": [ 185 ],
- "attributes": {
- }
- },
- "$abc$32408$n2415": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$32408$n2416": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$32408$n2418_1": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$32408$n2419": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$32408$n2421": {
- "hide_name": 1,
- "bits": [ 196 ],
- "attributes": {
- }
- },
- "$abc$32408$n2423_1": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$32408$n2424_1": {
- "hide_name": 1,
- "bits": [ 206 ],
- "attributes": {
- }
- },
- "$abc$32408$n2425_1": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$32408$n2426": {
- "hide_name": 1,
- "bits": [ 211 ],
- "attributes": {
- }
- },
- "$abc$32408$n2427": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$32408$n2428_1": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$32408$n2429_1": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$32408$n2430_1": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$32408$n2431": {
- "hide_name": 1,
- "bits": [ 216 ],
- "attributes": {
- }
- },
- "$abc$32408$n2433_1": {
- "hide_name": 1,
- "bits": [ 220 ],
- "attributes": {
- }
- },
- "$abc$32408$n2435_1": {
- "hide_name": 1,
- "bits": [ 224 ],
- "attributes": {
- }
- },
- "$abc$32408$n2437_1": {
- "hide_name": 1,
- "bits": [ 228 ],
- "attributes": {
- }
- },
- "$abc$32408$n2439_1": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$32408$n2441_1": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$32408$n2443_1": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$32408$n2445_1": {
- "hide_name": 1,
- "bits": [ 244 ],
- "attributes": {
- }
- },
- "$abc$32408$n2447_1": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$32408$n2448_1": {
- "hide_name": 1,
- "bits": [ 246 ],
- "attributes": {
- }
- },
- "$abc$32408$n2449_1": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$32408$n2450_1": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$32408$n2452_1": {
- "hide_name": 1,
- "bits": [ 252 ],
- "attributes": {
- }
- },
- "$abc$32408$n2454_1": {
- "hide_name": 1,
- "bits": [ 256 ],
- "attributes": {
- }
- },
- "$abc$32408$n2455_1": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$32408$n2457_1": {
- "hide_name": 1,
- "bits": [ 258 ],
- "attributes": {
- }
- },
- "$abc$32408$n2460_1": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$32408$n2464_1": {
- "hide_name": 1,
- "bits": [ 276 ],
- "attributes": {
- }
- },
- "$abc$32408$n2465_1": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$32408$n2466_1": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$32408$n2485": {
- "hide_name": 1,
- "bits": [ 1236 ],
- "attributes": {
- }
- },
- "$abc$32408$n2486": {
- "hide_name": 1,
- "bits": [ 1237 ],
- "attributes": {
- }
- },
- "$abc$32408$n2487": {
- "hide_name": 1,
- "bits": [ 1238 ],
- "attributes": {
- }
- },
- "$abc$32408$n2488": {
- "hide_name": 1,
- "bits": [ 1240 ],
- "attributes": {
- }
- },
- "$abc$32408$n2490": {
- "hide_name": 1,
- "bits": [ 1242 ],
- "attributes": {
- }
- },
- "$abc$32408$n2491": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$32408$n2492": {
- "hide_name": 1,
- "bits": [ 1246 ],
- "attributes": {
- }
- },
- "$abc$32408$n2492_1": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$32408$n2493": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$32408$n2494": {
- "hide_name": 1,
- "bits": [ 1250 ],
- "attributes": {
- }
- },
- "$abc$32408$n2495": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$32408$n2496": {
- "hide_name": 1,
- "bits": [ 1254 ],
- "attributes": {
- }
- },
- "$abc$32408$n2496_1": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$32408$n2497": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$32408$n2497_1": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$32408$n2498": {
- "hide_name": 1,
- "bits": [ 1261 ],
- "attributes": {
- }
- },
- "$abc$32408$n2499": {
- "hide_name": 1,
- "bits": [ 1262 ],
- "attributes": {
- }
- },
- "$abc$32408$n2500": {
- "hide_name": 1,
- "bits": [ 1265 ],
- "attributes": {
- }
- },
- "$abc$32408$n2501": {
- "hide_name": 1,
- "bits": [ 1271 ],
- "attributes": {
- }
- },
- "$abc$32408$n2501_1": {
- "hide_name": 1,
- "bits": [ 321 ],
- "attributes": {
- }
- },
- "$abc$32408$n2502": {
- "hide_name": 1,
- "bits": [ 1272 ],
- "attributes": {
- }
- },
- "$abc$32408$n2502_1": {
- "hide_name": 1,
- "bits": [ 323 ],
- "attributes": {
- }
- },
- "$abc$32408$n2503": {
- "hide_name": 1,
- "bits": [ 1275 ],
- "attributes": {
- }
- },
- "$abc$32408$n2503_1": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$32408$n2504": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$32408$n2504_1": {
- "hide_name": 1,
- "bits": [ 327 ],
- "attributes": {
- }
- },
- "$abc$32408$n2505": {
- "hide_name": 1,
- "bits": [ 1284 ],
- "attributes": {
- }
- },
- "$abc$32408$n2505_1": {
- "hide_name": 1,
- "bits": [ 325 ],
- "attributes": {
- }
- },
- "$abc$32408$n2506": {
- "hide_name": 1,
- "bits": [ 1239 ],
- "attributes": {
- }
- },
- "$abc$32408$n2506_1": {
- "hide_name": 1,
- "bits": [ 324 ],
- "attributes": {
- }
- },
- "$abc$32408$n2507": {
- "hide_name": 1,
- "bits": [ 1243 ],
- "attributes": {
- }
- },
- "$abc$32408$n2507_1": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$32408$n2509": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$32408$n2509_1": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$32408$n2510": {
- "hide_name": 1,
- "bits": [ 1248 ],
- "attributes": {
- }
- },
- "$abc$32408$n2510_1": {
- "hide_name": 1,
- "bits": [ 350 ],
- "attributes": {
- }
- },
- "$abc$32408$n2511": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$32408$n2511_1": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$32408$n2512": {
- "hide_name": 1,
- "bits": [ 1255 ],
- "attributes": {
- }
- },
- "$abc$32408$n2512_1": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$32408$n2513": {
- "hide_name": 1,
- "bits": [ 1264 ],
- "attributes": {
- }
- },
- "$abc$32408$n2513_1": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$32408$n2514": {
- "hide_name": 1,
- "bits": [ 1263 ],
- "attributes": {
- }
- },
- "$abc$32408$n2514_1": {
- "hide_name": 1,
- "bits": [ 351 ],
- "attributes": {
- }
- },
- "$abc$32408$n2515": {
- "hide_name": 1,
- "bits": [ 1274 ],
- "attributes": {
- }
- },
- "$abc$32408$n2515_1": {
- "hide_name": 1,
- "bits": [ 349 ],
- "attributes": {
- }
- },
- "$abc$32408$n2516": {
- "hide_name": 1,
- "bits": [ 1273 ],
- "attributes": {
- }
- },
- "$abc$32408$n2517": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$32408$n2517_1": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$32408$n2518": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$32408$n2518_1": {
- "hide_name": 1,
- "bits": [ 376 ],
- "attributes": {
- }
- },
- "$abc$32408$n2519": {
- "hide_name": 1,
- "bits": [ 380 ],
- "attributes": {
- }
- },
- "$abc$32408$n2520": {
- "hide_name": 1,
- "bits": [ 1259 ],
- "attributes": {
- }
- },
- "$abc$32408$n2520_1": {
- "hide_name": 1,
- "bits": [ 381 ],
- "attributes": {
- }
- },
- "$abc$32408$n2521": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$32408$n2521_1": {
- "hide_name": 1,
- "bits": [ 382 ],
- "attributes": {
- }
- },
- "$abc$32408$n2522": {
- "hide_name": 1,
- "bits": [ 1267 ],
- "attributes": {
- }
- },
- "$abc$32408$n2522_1": {
- "hide_name": 1,
- "bits": [ 383 ],
- "attributes": {
- }
- },
- "$abc$32408$n2523": {
- "hide_name": 1,
- "bits": [ 1266 ],
- "attributes": {
- }
- },
- "$abc$32408$n2524": {
- "hide_name": 1,
- "bits": [ 1277 ],
- "attributes": {
- }
- },
- "$abc$32408$n2525": {
- "hide_name": 1,
- "bits": [ 1276 ],
- "attributes": {
- }
- },
- "$abc$32408$n2526": {
- "hide_name": 1,
- "bits": [ 1252 ],
- "attributes": {
- }
- },
- "$abc$32408$n2527": {
- "hide_name": 1,
- "bits": [ 1285 ],
- "attributes": {
- }
- },
- "$abc$32408$n2527_1": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$32408$n2528": {
- "hide_name": 1,
- "bits": [ 404 ],
- "attributes": {
- }
- },
- "$abc$32408$n2529": {
- "hide_name": 1,
- "bits": [ 1269 ],
- "attributes": {
- }
- },
- "$abc$32408$n2529_1": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$32408$n2530": {
- "hide_name": 1,
- "bits": [ 1268 ],
- "attributes": {
- }
- },
- "$abc$32408$n2530_1": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$32408$n2531": {
- "hide_name": 1,
- "bits": [ 1279 ],
- "attributes": {
- }
- },
- "$abc$32408$n2531_1": {
- "hide_name": 1,
- "bits": [ 416 ],
- "attributes": {
- }
- },
- "$abc$32408$n2532": {
- "hide_name": 1,
- "bits": [ 1278 ],
- "attributes": {
- }
- },
- "$abc$32408$n2532_1": {
- "hide_name": 1,
- "bits": [ 313 ],
- "attributes": {
- }
- },
- "$abc$32408$n2533": {
- "hide_name": 1,
- "bits": [ 1260 ],
- "attributes": {
- }
- },
- "$abc$32408$n2533_1": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$32408$n2534": {
- "hide_name": 1,
- "bits": [ 1286 ],
- "attributes": {
- }
- },
- "$abc$32408$n2534_1": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$32408$n2535": {
- "hide_name": 1,
- "bits": [ 428 ],
- "attributes": {
- }
- },
- "$abc$32408$n2536": {
- "hide_name": 1,
- "bits": [ 1281 ],
- "attributes": {
- }
- },
- "$abc$32408$n2536_1": {
- "hide_name": 1,
- "bits": [ 429 ],
- "attributes": {
- }
- },
- "$abc$32408$n2537": {
- "hide_name": 1,
- "bits": [ 1280 ],
- "attributes": {
- }
- },
- "$abc$32408$n2537_1": {
- "hide_name": 1,
- "bits": [ 425 ],
- "attributes": {
- }
- },
- "$abc$32408$n2538": {
- "hide_name": 1,
- "bits": [ 1270 ],
- "attributes": {
- }
- },
- "$abc$32408$n2538_1": {
- "hide_name": 1,
- "bits": [ 440 ],
- "attributes": {
- }
- },
- "$abc$32408$n2539": {
- "hide_name": 1,
- "bits": [ 1287 ],
- "attributes": {
- }
- },
- "$abc$32408$n2539_1": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$32408$n2540": {
- "hide_name": 1,
- "bits": [ 304 ],
- "attributes": {
- }
- },
- "$abc$32408$n2541": {
- "hide_name": 1,
- "bits": [ 1282 ],
- "attributes": {
- }
- },
- "$abc$32408$n2541_1": {
- "hide_name": 1,
- "bits": [ 450 ],
- "attributes": {
- }
- },
- "$abc$32408$n2542": {
- "hide_name": 1,
- "bits": [ 1288 ],
- "attributes": {
- }
- },
- "$abc$32408$n2543": {
- "hide_name": 1,
- "bits": [ 1289 ],
- "attributes": {
- }
- },
- "$abc$32408$n2544": {
- "hide_name": 1,
- "bits": [ 1290 ],
- "attributes": {
- }
- },
- "$abc$32408$n2544_1": {
- "hide_name": 1,
- "bits": [ 455 ],
- "attributes": {
- }
- },
- "$abc$32408$n2545": {
- "hide_name": 1,
- "bits": [ 1292 ],
- "attributes": {
- }
- },
- "$abc$32408$n2545_1": {
- "hide_name": 1,
- "bits": [ 458 ],
- "attributes": {
- }
- },
- "$abc$32408$n2546": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$32408$n2547": {
- "hide_name": 1,
- "bits": [ 1294 ],
- "attributes": {
- }
- },
- "$abc$32408$n2547_1": {
- "hide_name": 1,
- "bits": [ 462 ],
- "attributes": {
- }
- },
- "$abc$32408$n2548": {
- "hide_name": 1,
- "bits": [ 1296 ],
- "attributes": {
- }
- },
- "$abc$32408$n2549": {
- "hide_name": 1,
- "bits": [ 1298 ],
- "attributes": {
- }
- },
- "$abc$32408$n2550": {
- "hide_name": 1,
- "bits": [ 1299 ],
- "attributes": {
- }
- },
- "$abc$32408$n2550_1": {
- "hide_name": 1,
- "bits": [ 474 ],
- "attributes": {
- }
- },
- "$abc$32408$n2551": {
- "hide_name": 1,
- "bits": [ 1302 ],
- "attributes": {
- }
- },
- "$abc$32408$n2551_1": {
- "hide_name": 1,
- "bits": [ 473 ],
- "attributes": {
- }
- },
- "$abc$32408$n2552": {
- "hide_name": 1,
- "bits": [ 1304 ],
- "attributes": {
- }
- },
- "$abc$32408$n2553": {
- "hide_name": 1,
- "bits": [ 1305 ],
- "attributes": {
- }
- },
- "$abc$32408$n2553_1": {
- "hide_name": 1,
- "bits": [ 487 ],
- "attributes": {
- }
- },
- "$abc$32408$n2554": {
- "hide_name": 1,
- "bits": [ 1308 ],
- "attributes": {
- }
- },
- "$abc$32408$n2554_1": {
- "hide_name": 1,
- "bits": [ 483 ],
- "attributes": {
- }
- },
- "$abc$32408$n2555": {
- "hide_name": 1,
- "bits": [ 1312 ],
- "attributes": {
- }
- },
- "$abc$32408$n2555_1": {
- "hide_name": 1,
- "bits": [ 488 ],
- "attributes": {
- }
- },
- "$abc$32408$n2556": {
- "hide_name": 1,
- "bits": [ 1313 ],
- "attributes": {
- }
- },
- "$abc$32408$n2557": {
- "hide_name": 1,
- "bits": [ 1316 ],
- "attributes": {
- }
- },
- "$abc$32408$n2557_1": {
- "hide_name": 1,
- "bits": [ 498 ],
- "attributes": {
- }
- },
- "$abc$32408$n2558": {
- "hide_name": 1,
- "bits": [ 1322 ],
- "attributes": {
- }
- },
- "$abc$32408$n2558_1": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$32408$n2559": {
- "hide_name": 1,
- "bits": [ 1323 ],
- "attributes": {
- }
- },
- "$abc$32408$n2559_1": {
- "hide_name": 1,
- "bits": [ 503 ],
- "attributes": {
- }
- },
- "$abc$32408$n2560": {
- "hide_name": 1,
- "bits": [ 1326 ],
- "attributes": {
- }
- },
- "$abc$32408$n2560_1": {
- "hide_name": 1,
- "bits": [ 504 ],
- "attributes": {
- }
- },
- "$abc$32408$n2561": {
- "hide_name": 1,
- "bits": [ 1334 ],
- "attributes": {
- }
- },
- "$abc$32408$n2561_1": {
- "hide_name": 1,
- "bits": [ 505 ],
- "attributes": {
- }
- },
- "$abc$32408$n2562": {
- "hide_name": 1,
- "bits": [ 1335 ],
- "attributes": {
- }
- },
- "$abc$32408$n2562_1": {
- "hide_name": 1,
- "bits": [ 500 ],
- "attributes": {
- }
- },
- "$abc$32408$n2563": {
- "hide_name": 1,
- "bits": [ 1291 ],
- "attributes": {
- }
- },
- "$abc$32408$n2563_1": {
- "hide_name": 1,
- "bits": [ 518 ],
- "attributes": {
- }
- },
- "$abc$32408$n2564": {
- "hide_name": 1,
- "bits": [ 1295 ],
- "attributes": {
- }
- },
- "$abc$32408$n2564_1": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$32408$n2565": {
- "hide_name": 1,
- "bits": [ 501 ],
- "attributes": {
- }
- },
- "$abc$32408$n2566": {
- "hide_name": 1,
- "bits": [ 1301 ],
- "attributes": {
- }
- },
- "$abc$32408$n2566_1": {
- "hide_name": 1,
- "bits": [ 528 ],
- "attributes": {
- }
- },
- "$abc$32408$n2567": {
- "hide_name": 1,
- "bits": [ 1300 ],
- "attributes": {
- }
- },
- "$abc$32408$n2567_1": {
- "hide_name": 1,
- "bits": [ 529 ],
- "attributes": {
- }
- },
- "$abc$32408$n2568": {
- "hide_name": 1,
- "bits": [ 1307 ],
- "attributes": {
- }
- },
- "$abc$32408$n2568_1": {
- "hide_name": 1,
- "bits": [ 502 ],
- "attributes": {
- }
- },
- "$abc$32408$n2569": {
- "hide_name": 1,
- "bits": [ 1306 ],
- "attributes": {
- }
- },
- "$abc$32408$n2570": {
- "hide_name": 1,
- "bits": [ 1315 ],
- "attributes": {
- }
- },
- "$abc$32408$n2571": {
- "hide_name": 1,
- "bits": [ 1314 ],
- "attributes": {
- }
- },
- "$abc$32408$n2572": {
- "hide_name": 1,
- "bits": [ 1325 ],
- "attributes": {
- }
- },
- "$abc$32408$n2573": {
- "hide_name": 1,
- "bits": [ 1324 ],
- "attributes": {
- }
- },
- "$abc$32408$n2574": {
- "hide_name": 1,
- "bits": [ 1297 ],
- "attributes": {
- }
- },
- "$abc$32408$n2575": {
- "hide_name": 1,
- "bits": [ 1336 ],
- "attributes": {
- }
- },
- "$abc$32408$n2575_1": {
- "hide_name": 1,
- "bits": [ 484 ],
- "attributes": {
- }
- },
- "$abc$32408$n2576": {
- "hide_name": 1,
- "bits": [ 546 ],
- "attributes": {
- }
- },
- "$abc$32408$n2577": {
- "hide_name": 1,
- "bits": [ 1310 ],
- "attributes": {
- }
- },
- "$abc$32408$n2578": {
- "hide_name": 1,
- "bits": [ 1309 ],
- "attributes": {
- }
- },
- "$abc$32408$n2579": {
- "hide_name": 1,
- "bits": [ 1318 ],
- "attributes": {
- }
- },
- "$abc$32408$n2580": {
- "hide_name": 1,
- "bits": [ 1317 ],
- "attributes": {
- }
- },
- "$abc$32408$n2581": {
- "hide_name": 1,
- "bits": [ 1328 ],
- "attributes": {
- }
- },
- "$abc$32408$n2581_1": {
- "hide_name": 1,
- "bits": [ 547 ],
- "attributes": {
- }
- },
- "$abc$32408$n2582": {
- "hide_name": 1,
- "bits": [ 1327 ],
- "attributes": {
- }
- },
- "$abc$32408$n2583": {
- "hide_name": 1,
- "bits": [ 1303 ],
- "attributes": {
- }
- },
- "$abc$32408$n2584": {
- "hide_name": 1,
- "bits": [ 1337 ],
- "attributes": {
- }
- },
- "$abc$32408$n2586": {
- "hide_name": 1,
- "bits": [ 1320 ],
- "attributes": {
- }
- },
- "$abc$32408$n2586_1": {
- "hide_name": 1,
- "bits": [ 548 ],
- "attributes": {
- }
- },
- "$abc$32408$n2587": {
- "hide_name": 1,
- "bits": [ 1319 ],
- "attributes": {
- }
- },
- "$abc$32408$n2588": {
- "hide_name": 1,
- "bits": [ 1330 ],
- "attributes": {
- }
- },
- "$abc$32408$n2589": {
- "hide_name": 1,
- "bits": [ 1329 ],
- "attributes": {
- }
- },
- "$abc$32408$n2590": {
- "hide_name": 1,
- "bits": [ 1311 ],
- "attributes": {
- }
- },
- "$abc$32408$n2591": {
- "hide_name": 1,
- "bits": [ 1338 ],
- "attributes": {
- }
- },
- "$abc$32408$n2592": {
- "hide_name": 1,
- "bits": [ 485 ],
- "attributes": {
- }
- },
- "$abc$32408$n2593": {
- "hide_name": 1,
- "bits": [ 1332 ],
- "attributes": {
- }
- },
- "$abc$32408$n2594": {
- "hide_name": 1,
- "bits": [ 1331 ],
- "attributes": {
- }
- },
- "$abc$32408$n2595": {
- "hide_name": 1,
- "bits": [ 1321 ],
- "attributes": {
- }
- },
- "$abc$32408$n2596": {
- "hide_name": 1,
- "bits": [ 1339 ],
- "attributes": {
- }
- },
- "$abc$32408$n2597": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$32408$n2598": {
- "hide_name": 1,
- "bits": [ 1333 ],
- "attributes": {
- }
- },
- "$abc$32408$n2603": {
- "hide_name": 1,
- "bits": [ 593 ],
- "attributes": {
- }
- },
- "$abc$32408$n2604_1": {
- "hide_name": 1,
- "bits": [ 590 ],
- "attributes": {
- }
- },
- "$abc$32408$n2605": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$32408$n2606_1": {
- "hide_name": 1,
- "bits": [ 598 ],
- "attributes": {
- }
- },
- "$abc$32408$n2607_1": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$32408$n2608_1": {
- "hide_name": 1,
- "bits": [ 605 ],
- "attributes": {
- }
- },
- "$abc$32408$n2609_1": {
- "hide_name": 1,
- "bits": [ 602 ],
- "attributes": {
- }
- },
- "$abc$32408$n2610_1": {
- "hide_name": 1,
- "bits": [ 606 ],
- "attributes": {
- }
- },
- "$abc$32408$n2611_1": {
- "hide_name": 1,
- "bits": [ 607 ],
- "attributes": {
- }
- },
- "$abc$32408$n2612_1": {
- "hide_name": 1,
- "bits": [ 608 ],
- "attributes": {
- }
- },
- "$abc$32408$n2613_1": {
- "hide_name": 1,
- "bits": [ 609 ],
- "attributes": {
- }
- },
- "$abc$32408$n2614_1": {
- "hide_name": 1,
- "bits": [ 603 ],
- "attributes": {
- }
- },
- "$abc$32408$n2615_1": {
- "hide_name": 1,
- "bits": [ 604 ],
- "attributes": {
- }
- },
- "$abc$32408$n2616_1": {
- "hide_name": 1,
- "bits": [ 638 ],
- "attributes": {
- }
- },
- "$abc$32408$n2617_1": {
- "hide_name": 1,
- "bits": [ 637 ],
- "attributes": {
- }
- },
- "$abc$32408$n2618_1": {
- "hide_name": 1,
- "bits": [ 641 ],
- "attributes": {
- }
- },
- "$abc$32408$n2619_1": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$32408$n2622": {
- "hide_name": 1,
- "bits": [ 26 ],
- "attributes": {
- }
- },
- "$abc$32408$n2622_1": {
- "hide_name": 1,
- "bits": [ 653 ],
- "attributes": {
- }
- },
- "$abc$32408$n2623": {
- "hide_name": 1,
- "bits": [ 33 ],
- "attributes": {
- }
- },
- "$abc$32408$n2623_1": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$32408$n2624": {
- "hide_name": 1,
- "bits": [ 35 ],
- "attributes": {
- }
- },
- "$abc$32408$n2624_1": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$32408$n2625_1": {
- "hide_name": 1,
- "bits": [ 652 ],
- "attributes": {
- }
- },
- "$abc$32408$n2626_1": {
- "hide_name": 1,
- "bits": [ 651 ],
- "attributes": {
- }
- },
- "$abc$32408$n2627": {
- "hide_name": 1,
- "bits": [ 1447 ],
- "attributes": {
- }
- },
- "$abc$32408$n2628_1": {
- "hide_name": 1,
- "bits": [ 670 ],
- "attributes": {
- }
- },
- "$abc$32408$n2629_1": {
- "hide_name": 1,
- "bits": [ 672 ],
- "attributes": {
- }
- },
- "$abc$32408$n2630_1": {
- "hide_name": 1,
- "bits": [ 673 ],
- "attributes": {
- }
- },
- "$abc$32408$n2632_1": {
- "hide_name": 1,
- "bits": [ 674 ],
- "attributes": {
- }
- },
- "$abc$32408$n2634_1": {
- "hide_name": 1,
- "bits": [ 678 ],
- "attributes": {
- }
- },
- "$abc$32408$n2635_1": {
- "hide_name": 1,
- "bits": [ 680 ],
- "attributes": {
- }
- },
- "$abc$32408$n2636_1": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$32408$n2637_1": {
- "hide_name": 1,
- "bits": [ 682 ],
- "attributes": {
- }
- },
- "$abc$32408$n2639_1": {
- "hide_name": 1,
- "bits": [ 693 ],
- "attributes": {
- }
- },
- "$abc$32408$n2641": {
- "hide_name": 1,
- "bits": [ 696 ],
- "attributes": {
- }
- },
- "$abc$32408$n2642": {
- "hide_name": 1,
- "bits": [ 698 ],
- "attributes": {
- }
- },
- "$abc$32408$n2644": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$32408$n2646": {
- "hide_name": 1,
- "bits": [ 702 ],
- "attributes": {
- }
- },
- "$abc$32408$n2648": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$32408$n2649": {
- "hide_name": 1,
- "bits": [ 707 ],
- "attributes": {
- }
- },
- "$abc$32408$n2652": {
- "hide_name": 1,
- "bits": [ 709 ],
- "attributes": {
- }
- },
- "$abc$32408$n2654": {
- "hide_name": 1,
- "bits": [ 712 ],
- "attributes": {
- }
- },
- "$abc$32408$n2656": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$32408$n2658": {
- "hide_name": 1,
- "bits": [ 717 ],
- "attributes": {
- }
- },
- "$abc$32408$n2660": {
- "hide_name": 1,
- "bits": [ 720 ],
- "attributes": {
- }
- },
- "$abc$32408$n2661": {
- "hide_name": 1,
- "bits": [ 721 ],
- "attributes": {
- }
- },
- "$abc$32408$n2664": {
- "hide_name": 1,
- "bits": [ 733 ],
- "attributes": {
- }
- },
- "$abc$32408$n2665": {
- "hide_name": 1,
- "bits": [ 734 ],
- "attributes": {
- }
- },
- "$abc$32408$n2667": {
- "hide_name": 1,
- "bits": [ 744 ],
- "attributes": {
- }
- },
- "$abc$32408$n2669": {
- "hide_name": 1,
- "bits": [ 749 ],
- "attributes": {
- }
- },
- "$abc$32408$n2670": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$32408$n2671": {
- "hide_name": 1,
- "bits": [ 750 ],
- "attributes": {
- }
- },
- "$abc$32408$n2672": {
- "hide_name": 1,
- "bits": [ 748 ],
- "attributes": {
- }
- },
- "$abc$32408$n2675": {
- "hide_name": 1,
- "bits": [ 755 ],
- "attributes": {
- }
- },
- "$abc$32408$n2676": {
- "hide_name": 1,
- "bits": [ 754 ],
- "attributes": {
- }
- },
- "$abc$32408$n2677": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$32408$n2679": {
- "hide_name": 1,
- "bits": [ 758 ],
- "attributes": {
- }
- },
- "$abc$32408$n2680": {
- "hide_name": 1,
- "bits": [ 759 ],
- "attributes": {
- }
- },
- "$abc$32408$n2681": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$32408$n2682": {
- "hide_name": 1,
- "bits": [ 756 ],
- "attributes": {
- }
- },
- "$abc$32408$n2685": {
- "hide_name": 1,
- "bits": [ 760 ],
- "attributes": {
- }
- },
- "$abc$32408$n2689": {
- "hide_name": 1,
- "bits": [ 762 ],
- "attributes": {
- }
- },
- "$abc$32408$n2701": {
- "hide_name": 1,
- "bits": [ 768 ],
- "attributes": {
- }
- },
- "$abc$32408$n2703": {
- "hide_name": 1,
- "bits": [ 772 ],
- "attributes": {
- }
- },
- "$abc$32408$n2712": {
- "hide_name": 1,
- "bits": [ 773 ],
- "attributes": {
- }
- },
- "$abc$32408$n2720": {
- "hide_name": 1,
- "bits": [ 791 ],
- "attributes": {
- }
- },
- "$abc$32408$n2721": {
- "hide_name": 1,
- "bits": [ 793 ],
- "attributes": {
- }
- },
- "$abc$32408$n2723": {
- "hide_name": 1,
- "bits": [ 794 ],
- "attributes": {
- }
- },
- "$abc$32408$n2726": {
- "hide_name": 1,
- "bits": [ 798 ],
- "attributes": {
- }
- },
- "$abc$32408$n2727": {
- "hide_name": 1,
- "bits": [ 797 ],
- "attributes": {
- }
- },
- "$abc$32408$n2728": {
- "hide_name": 1,
- "bits": [ 796 ],
- "attributes": {
- }
- },
- "$abc$32408$n2729": {
- "hide_name": 1,
- "bits": [ 799 ],
- "attributes": {
- }
- },
- "$abc$32408$n2730": {
- "hide_name": 1,
- "bits": [ 800 ],
- "attributes": {
- }
- },
- "$abc$32408$n2731": {
- "hide_name": 1,
- "bits": [ 801 ],
- "attributes": {
- }
- },
- "$abc$32408$n2732": {
- "hide_name": 1,
- "bits": [ 814 ],
- "attributes": {
- }
- },
- "$abc$32408$n2734": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$32408$n2735": {
- "hide_name": 1,
- "bits": [ 815 ],
- "attributes": {
- }
- },
- "$abc$32408$n2737": {
- "hide_name": 1,
- "bits": [ 817 ],
- "attributes": {
- }
- },
- "$abc$32408$n2748": {
- "hide_name": 1,
- "bits": [ 837 ],
- "attributes": {
- }
- },
- "$abc$32408$n2749": {
- "hide_name": 1,
- "bits": [ 841 ],
- "attributes": {
- }
- },
- "$abc$32408$n2750": {
- "hide_name": 1,
- "bits": [ 844 ],
- "attributes": {
- }
- },
- "$abc$32408$n2751": {
- "hide_name": 1,
- "bits": [ 843 ],
- "attributes": {
- }
- },
- "$abc$32408$n2752": {
- "hide_name": 1,
- "bits": [ 842 ],
- "attributes": {
- }
- },
- "$abc$32408$n2753": {
- "hide_name": 1,
- "bits": [ 838 ],
- "attributes": {
- }
- },
- "$abc$32408$n2754": {
- "hide_name": 1,
- "bits": [ 847 ],
- "attributes": {
- }
- },
- "$abc$32408$n2755": {
- "hide_name": 1,
- "bits": [ 846 ],
- "attributes": {
- }
- },
- "$abc$32408$n2808": {
- "hide_name": 1,
- "bits": [ 884 ],
- "attributes": {
- }
- },
- "$abc$32408$n2809": {
- "hide_name": 1,
- "bits": [ 886 ],
- "attributes": {
- }
- },
- "$abc$32408$n2810": {
- "hide_name": 1,
- "bits": [ 887 ],
- "attributes": {
- }
- },
- "$abc$32408$n2815": {
- "hide_name": 1,
- "bits": [ 891 ],
- "attributes": {
- }
- },
- "$abc$32408$n2816": {
- "hide_name": 1,
- "bits": [ 895 ],
- "attributes": {
- }
- },
- "$abc$32408$n2818": {
- "hide_name": 1,
- "bits": [ 900 ],
- "attributes": {
- }
- },
- "$abc$32408$n2821": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$32408$n2822": {
- "hide_name": 1,
- "bits": [ 910 ],
- "attributes": {
- }
- },
- "$abc$32408$n2823": {
- "hide_name": 1,
- "bits": [ 907 ],
- "attributes": {
- }
- },
- "$abc$32408$n2825": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$32408$n2828": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$32408$n2831": {
- "hide_name": 1,
- "bits": [ 925 ],
- "attributes": {
- }
- },
- "$abc$32408$n2834": {
- "hide_name": 1,
- "bits": [ 932 ],
- "attributes": {
- }
- },
- "$abc$32408$n2837": {
- "hide_name": 1,
- "bits": [ 938 ],
- "attributes": {
- }
- },
- "$abc$32408$n2855": {
- "hide_name": 1,
- "bits": [ 956 ],
- "attributes": {
- }
- },
- "$abc$32408$n2856": {
- "hide_name": 1,
- "bits": [ 957 ],
- "attributes": {
- }
- },
- "$abc$32408$n2858": {
- "hide_name": 1,
- "bits": [ 962 ],
- "attributes": {
- }
- },
- "$abc$32408$n2859": {
- "hide_name": 1,
- "bits": [ 963 ],
- "attributes": {
- }
- },
- "$abc$32408$n2860": {
- "hide_name": 1,
- "bits": [ 964 ],
- "attributes": {
- }
- },
- "$abc$32408$n2861": {
- "hide_name": 1,
- "bits": [ 967 ],
- "attributes": {
- }
- },
- "$abc$32408$n2863": {
- "hide_name": 1,
- "bits": [ 970 ],
- "attributes": {
- }
- },
- "$abc$32408$n2864": {
- "hide_name": 1,
- "bits": [ 973 ],
- "attributes": {
- }
- },
- "$abc$32408$n2865": {
- "hide_name": 1,
- "bits": [ 969 ],
- "attributes": {
- }
- },
- "$abc$32408$n2868": {
- "hide_name": 1,
- "bits": [ 975 ],
- "attributes": {
- }
- },
- "$abc$32408$n2869": {
- "hide_name": 1,
- "bits": [ 976 ],
- "attributes": {
- }
- },
- "$abc$32408$n2871": {
- "hide_name": 1,
- "bits": [ 979 ],
- "attributes": {
- }
- },
- "$abc$32408$n2872": {
- "hide_name": 1,
- "bits": [ 982 ],
- "attributes": {
- }
- },
- "$abc$32408$n2873": {
- "hide_name": 1,
- "bits": [ 984 ],
- "attributes": {
- }
- },
- "$abc$32408$n2874": {
- "hide_name": 1,
- "bits": [ 983 ],
- "attributes": {
- }
- },
- "$abc$32408$n2876": {
- "hide_name": 1,
- "bits": [ 986 ],
- "attributes": {
- }
- },
- "$abc$32408$n2877": {
- "hide_name": 1,
- "bits": [ 989 ],
- "attributes": {
- }
- },
- "$abc$32408$n2878": {
- "hide_name": 1,
- "bits": [ 990 ],
- "attributes": {
- }
- },
- "$abc$32408$n2880": {
- "hide_name": 1,
- "bits": [ 994 ],
- "attributes": {
- }
- },
- "$abc$32408$n2881": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$32408$n2882": {
- "hide_name": 1,
- "bits": [ 993 ],
- "attributes": {
- }
- },
- "$abc$32408$n2884": {
- "hide_name": 1,
- "bits": [ 998 ],
- "attributes": {
- }
- },
- "$abc$32408$n2885": {
- "hide_name": 1,
- "bits": [ 999 ],
- "attributes": {
- }
- },
- "$abc$32408$n2886": {
- "hide_name": 1,
- "bits": [ 1002 ],
- "attributes": {
- }
- },
- "$abc$32408$n2888": {
- "hide_name": 1,
- "bits": [ 1006 ],
- "attributes": {
- }
- },
- "$abc$32408$n2889": {
- "hide_name": 1,
- "bits": [ 1008 ],
- "attributes": {
- }
- },
- "$abc$32408$n2890": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$32408$n2892": {
- "hide_name": 1,
- "bits": [ 1011 ],
- "attributes": {
- }
- },
- "$abc$32408$n2893": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$32408$n2894": {
- "hide_name": 1,
- "bits": [ 1010 ],
- "attributes": {
- }
- },
- "$abc$32408$n2896": {
- "hide_name": 1,
- "bits": [ 1019 ],
- "attributes": {
- }
- },
- "$abc$32408$n2897": {
- "hide_name": 1,
- "bits": [ 1018 ],
- "attributes": {
- }
- },
- "$abc$32408$n2898": {
- "hide_name": 1,
- "bits": [ 1017 ],
- "attributes": {
- }
- },
- "$abc$32408$n2899": {
- "hide_name": 1,
- "bits": [ 1022 ],
- "attributes": {
- }
- },
- "$abc$32408$n2900": {
- "hide_name": 1,
- "bits": [ 1021 ],
- "attributes": {
- }
- },
- "$abc$32408$n2905": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$32408$n2906": {
- "hide_name": 1,
- "bits": [ 1025 ],
- "attributes": {
- }
- },
- "$abc$32408$n2907": {
- "hide_name": 1,
- "bits": [ 1024 ],
- "attributes": {
- }
- },
- "$abc$32408$n2908": {
- "hide_name": 1,
- "bits": [ 1026 ],
- "attributes": {
- }
- },
- "$abc$32408$n2910": {
- "hide_name": 1,
- "bits": [ 1027 ],
- "attributes": {
- }
- },
- "$abc$32408$n2911": {
- "hide_name": 1,
- "bits": [ 1028 ],
- "attributes": {
- }
- },
- "$abc$32408$n2914": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$32408$n2915": {
- "hide_name": 1,
- "bits": [ 1031 ],
- "attributes": {
- }
- },
- "$abc$32408$n2917": {
- "hide_name": 1,
- "bits": [ 1033 ],
- "attributes": {
- }
- },
- "$abc$32408$n2918": {
- "hide_name": 1,
- "bits": [ 1038 ],
- "attributes": {
- }
- },
- "$abc$32408$n2919": {
- "hide_name": 1,
- "bits": [ 1034 ],
- "attributes": {
- }
- },
- "$abc$32408$n2921": {
- "hide_name": 1,
- "bits": [ 1044 ],
- "attributes": {
- }
- },
- "$abc$32408$n2922": {
- "hide_name": 1,
- "bits": [ 1048 ],
- "attributes": {
- }
- },
- "$abc$32408$n2923": {
- "hide_name": 1,
- "bits": [ 1049 ],
- "attributes": {
- }
- },
- "$abc$32408$n2926": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$32408$n2931": {
- "hide_name": 1,
- "bits": [ 1055 ],
- "attributes": {
- }
- },
- "$abc$32408$n2932": {
- "hide_name": 1,
- "bits": [ 1056 ],
- "attributes": {
- }
- },
- "$abc$32408$n2934": {
- "hide_name": 1,
- "bits": [ 1062 ],
- "attributes": {
- }
- },
- "$abc$32408$n2935": {
- "hide_name": 1,
- "bits": [ 1063 ],
- "attributes": {
- }
- },
- "$abc$32408$n2937": {
- "hide_name": 1,
- "bits": [ 1069 ],
- "attributes": {
- }
- },
- "$abc$32408$n2938": {
- "hide_name": 1,
- "bits": [ 1070 ],
- "attributes": {
- }
- },
- "$abc$32408$n2940": {
- "hide_name": 1,
- "bits": [ 1076 ],
- "attributes": {
- }
- },
- "$abc$32408$n2941": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$32408$n2943": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$32408$n2944": {
- "hide_name": 1,
- "bits": [ 1084 ],
- "attributes": {
- }
- },
- "$abc$32408$n2946": {
- "hide_name": 1,
- "bits": [ 1090 ],
- "attributes": {
- }
- },
- "$abc$32408$n2947": {
- "hide_name": 1,
- "bits": [ 1091 ],
- "attributes": {
- }
- },
- "$abc$32408$n2949": {
- "hide_name": 1,
- "bits": [ 1097 ],
- "attributes": {
- }
- },
- "$abc$32408$n2950": {
- "hide_name": 1,
- "bits": [ 1098 ],
- "attributes": {
- }
- },
- "$abc$32408$n2952": {
- "hide_name": 1,
- "bits": [ 1104 ],
- "attributes": {
- }
- },
- "$abc$32408$n2953": {
- "hide_name": 1,
- "bits": [ 1105 ],
- "attributes": {
- }
- },
- "$abc$32408$n2955": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$32408$n2956": {
- "hide_name": 1,
- "bits": [ 1112 ],
- "attributes": {
- }
- },
- "$abc$32408$n2958": {
- "hide_name": 1,
- "bits": [ 1118 ],
- "attributes": {
- }
- },
- "$abc$32408$n2959": {
- "hide_name": 1,
- "bits": [ 1119 ],
- "attributes": {
- }
- },
- "$abc$32408$n2961": {
- "hide_name": 1,
- "bits": [ 1125 ],
- "attributes": {
- }
- },
- "$abc$32408$n2962": {
- "hide_name": 1,
- "bits": [ 1126 ],
- "attributes": {
- }
- },
- "$abc$32408$n2964": {
- "hide_name": 1,
- "bits": [ 1132 ],
- "attributes": {
- }
- },
- "$abc$32408$n2965": {
- "hide_name": 1,
- "bits": [ 1133 ],
- "attributes": {
- }
- },
- "$abc$32408$n2967": {
- "hide_name": 1,
- "bits": [ 1139 ],
- "attributes": {
- }
- },
- "$abc$32408$n2968": {
- "hide_name": 1,
- "bits": [ 1140 ],
- "attributes": {
- }
- },
- "$abc$32408$n2970": {
- "hide_name": 1,
- "bits": [ 1146 ],
- "attributes": {
- }
- },
- "$abc$32408$n2971": {
- "hide_name": 1,
- "bits": [ 1147 ],
- "attributes": {
- }
- },
- "$abc$32408$n2973": {
- "hide_name": 1,
- "bits": [ 1153 ],
- "attributes": {
- }
- },
- "$abc$32408$n2974": {
- "hide_name": 1,
- "bits": [ 1154 ],
- "attributes": {
- }
- },
- "$abc$32408$n2976": {
- "hide_name": 1,
- "bits": [ 1160 ],
- "attributes": {
- }
- },
- "$abc$32408$n2977": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$32408$n2979": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$32408$n2980": {
- "hide_name": 1,
- "bits": [ 1168 ],
- "attributes": {
- }
- },
- "$abc$32408$n2982": {
- "hide_name": 1,
- "bits": [ 1174 ],
- "attributes": {
- }
- },
- "$abc$32408$n2983": {
- "hide_name": 1,
- "bits": [ 1175 ],
- "attributes": {
- }
- },
- "$abc$32408$n2985": {
- "hide_name": 1,
- "bits": [ 1181 ],
- "attributes": {
- }
- },
- "$abc$32408$n2986": {
- "hide_name": 1,
- "bits": [ 1182 ],
- "attributes": {
- }
- },
- "$abc$32408$n2988": {
- "hide_name": 1,
- "bits": [ 1188 ],
- "attributes": {
- }
- },
- "$abc$32408$n2989": {
- "hide_name": 1,
- "bits": [ 1189 ],
- "attributes": {
- }
- },
- "$abc$32408$n2991": {
- "hide_name": 1,
- "bits": [ 1195 ],
- "attributes": {
- }
- },
- "$abc$32408$n2992": {
- "hide_name": 1,
- "bits": [ 1196 ],
- "attributes": {
- }
- },
- "$abc$32408$n2994": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$32408$n2995": {
- "hide_name": 1,
- "bits": [ 1203 ],
- "attributes": {
- }
- },
- "$abc$32408$n2997": {
- "hide_name": 1,
- "bits": [ 1209 ],
- "attributes": {
- }
- },
- "$abc$32408$n2998": {
- "hide_name": 1,
- "bits": [ 1210 ],
- "attributes": {
- }
- },
- "$abc$32408$n3": {
- "hide_name": 1,
- "bits": [ 32 ],
- "attributes": {
- }
- },
- "$abc$32408$n3000": {
- "hide_name": 1,
- "bits": [ 1216 ],
- "attributes": {
- }
- },
- "$abc$32408$n3001": {
- "hide_name": 1,
- "bits": [ 1217 ],
- "attributes": {
- }
- },
- "$abc$32408$n3003": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$32408$n3004": {
- "hide_name": 1,
- "bits": [ 1224 ],
- "attributes": {
- }
- },
- "$abc$32408$n3006": {
- "hide_name": 1,
- "bits": [ 1230 ],
- "attributes": {
- }
- },
- "$abc$32408$n3007": {
- "hide_name": 1,
- "bits": [ 1231 ],
- "attributes": {
- }
- },
- "$abc$32408$n3071": {
- "hide_name": 1,
- "bits": [ 1341 ],
- "attributes": {
- }
- },
- "$abc$32408$n3072": {
- "hide_name": 1,
- "bits": [ 1345 ],
- "attributes": {
- }
- },
- "$abc$32408$n3073": {
- "hide_name": 1,
- "bits": [ 1347 ],
- "attributes": {
- }
- },
- "$abc$32408$n3074": {
- "hide_name": 1,
- "bits": [ 1350 ],
- "attributes": {
- }
- },
- "$abc$32408$n3077": {
- "hide_name": 1,
- "bits": [ 1346 ],
- "attributes": {
- }
- },
- "$abc$32408$n3078": {
- "hide_name": 1,
- "bits": [ 1359 ],
- "attributes": {
- }
- },
- "$abc$32408$n3080": {
- "hide_name": 1,
- "bits": [ 1360 ],
- "attributes": {
- }
- },
- "$abc$32408$n3081": {
- "hide_name": 1,
- "bits": [ 1362 ],
- "attributes": {
- }
- },
- "$abc$32408$n3084": {
- "hide_name": 1,
- "bits": [ 1361 ],
- "attributes": {
- }
- },
- "$abc$32408$n3097": {
- "hide_name": 1,
- "bits": [ 1380 ],
- "attributes": {
- }
- },
- "$abc$32408$n3101": {
- "hide_name": 1,
- "bits": [ 1383 ],
- "attributes": {
- }
- },
- "$abc$32408$n3102": {
- "hide_name": 1,
- "bits": [ 1340 ],
- "attributes": {
- }
- },
- "$abc$32408$n3103": {
- "hide_name": 1,
- "bits": [ 1385 ],
- "attributes": {
- }
- },
- "$abc$32408$n3106": {
- "hide_name": 1,
- "bits": [ 1389 ],
- "attributes": {
- }
- },
- "$abc$32408$n3110": {
- "hide_name": 1,
- "bits": [ 1394 ],
- "attributes": {
- }
- },
- "$abc$32408$n3111": {
- "hide_name": 1,
- "bits": [ 1388 ],
- "attributes": {
- }
- },
- "$abc$32408$n3112": {
- "hide_name": 1,
- "bits": [ 1395 ],
- "attributes": {
- }
- },
- "$abc$32408$n3113": {
- "hide_name": 1,
- "bits": [ 1397 ],
- "attributes": {
- }
- },
- "$abc$32408$n3114": {
- "hide_name": 1,
- "bits": [ 1342 ],
- "attributes": {
- }
- },
- "$abc$32408$n3115": {
- "hide_name": 1,
- "bits": [ 1402 ],
- "attributes": {
- }
- },
- "$abc$32408$n3124": {
- "hide_name": 1,
- "bits": [ 1401 ],
- "attributes": {
- }
- },
- "$abc$32408$n3126": {
- "hide_name": 1,
- "bits": [ 1406 ],
- "attributes": {
- }
- },
- "$abc$32408$n3127": {
- "hide_name": 1,
- "bits": [ 1409 ],
- "attributes": {
- }
- },
- "$abc$32408$n3129": {
- "hide_name": 1,
- "bits": [ 1411 ],
- "attributes": {
- }
- },
- "$abc$32408$n3131": {
- "hide_name": 1,
- "bits": [ 1414 ],
- "attributes": {
- }
- },
- "$abc$32408$n3132": {
- "hide_name": 1,
- "bits": [ 1413 ],
- "attributes": {
- }
- },
- "$abc$32408$n3137": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$32408$n3138": {
- "hide_name": 1,
- "bits": [ 1419 ],
- "attributes": {
- }
- },
- "$abc$32408$n3141": {
- "hide_name": 1,
- "bits": [ 1421 ],
- "attributes": {
- }
- },
- "$abc$32408$n3143": {
- "hide_name": 1,
- "bits": [ 1422 ],
- "attributes": {
- }
- },
- "$abc$32408$n3145": {
- "hide_name": 1,
- "bits": [ 1426 ],
- "attributes": {
- }
- },
- "$abc$32408$n3147": {
- "hide_name": 1,
- "bits": [ 1429 ],
- "attributes": {
- }
- },
- "$abc$32408$n3149": {
- "hide_name": 1,
- "bits": [ 1433 ],
- "attributes": {
- }
- },
- "$abc$32408$n3151": {
- "hide_name": 1,
- "bits": [ 1436 ],
- "attributes": {
- }
- },
- "$abc$32408$n3153": {
- "hide_name": 1,
- "bits": [ 1439 ],
- "attributes": {
- }
- },
- "$abc$32408$n3154": {
- "hide_name": 1,
- "bits": [ 1440 ],
- "attributes": {
- }
- },
- "$abc$32408$n3174": {
- "hide_name": 1,
- "bits": [ 1476 ],
- "attributes": {
- }
- },
- "$abc$32408$n3175": {
- "hide_name": 1,
- "bits": [ 1479 ],
- "attributes": {
- }
- },
- "$abc$32408$n3182": {
- "hide_name": 1,
- "bits": [ 1993 ],
- "attributes": {
- }
- },
- "$abc$32408$n3189": {
- "hide_name": 1,
- "bits": [ 2001 ],
- "attributes": {
- }
- },
- "$abc$32408$n3191": {
- "hide_name": 1,
- "bits": [ 1478 ],
- "attributes": {
- }
- },
- "$abc$32408$n3192": {
- "hide_name": 1,
- "bits": [ 2003 ],
- "attributes": {
- }
- },
- "$abc$32408$n3195": {
- "hide_name": 1,
- "bits": [ 2005 ],
- "attributes": {
- }
- },
- "$abc$32408$n3198": {
- "hide_name": 1,
- "bits": [ 2007 ],
- "attributes": {
- }
- },
- "$abc$32408$n3201": {
- "hide_name": 1,
- "bits": [ 2009 ],
- "attributes": {
- }
- },
- "$abc$32408$n3201_1": {
- "hide_name": 1,
- "bits": [ 1486 ],
- "attributes": {
- }
- },
- "$abc$32408$n3204": {
- "hide_name": 1,
- "bits": [ 2011 ],
- "attributes": {
- }
- },
- "$abc$32408$n3207": {
- "hide_name": 1,
- "bits": [ 2013 ],
- "attributes": {
- }
- },
- "$abc$32408$n3210": {
- "hide_name": 1,
- "bits": [ 2015 ],
- "attributes": {
- }
- },
- "$abc$32408$n3213": {
- "hide_name": 1,
- "bits": [ 2017 ],
- "attributes": {
- }
- },
- "$abc$32408$n3216": {
- "hide_name": 1,
- "bits": [ 2019 ],
- "attributes": {
- }
- },
- "$abc$32408$n3219": {
- "hide_name": 1,
- "bits": [ 2021 ],
- "attributes": {
- }
- },
- "$abc$32408$n3221": {
- "hide_name": 1,
- "bits": [ 2023 ],
- "attributes": {
- }
- },
- "$abc$32408$n3224": {
- "hide_name": 1,
- "bits": [ 2025 ],
- "attributes": {
- }
- },
- "$abc$32408$n3226": {
- "hide_name": 1,
- "bits": [ 2027 ],
- "attributes": {
- }
- },
- "$abc$32408$n3229": {
- "hide_name": 1,
- "bits": [ 347 ],
- "attributes": {
- }
- },
- "$abc$32408$n3235": {
- "hide_name": 1,
- "bits": [ 1542 ],
- "attributes": {
- }
- },
- "$abc$32408$n3236": {
- "hide_name": 1,
- "bits": [ 2029 ],
- "attributes": {
- }
- },
- "$abc$32408$n3236_1": {
- "hide_name": 1,
- "bits": [ 1543 ],
- "attributes": {
- }
- },
- "$abc$32408$n3238": {
- "hide_name": 1,
- "bits": [ 1547 ],
- "attributes": {
- }
- },
- "$abc$32408$n3239": {
- "hide_name": 1,
- "bits": [ 2031 ],
- "attributes": {
- }
- },
- "$abc$32408$n3239_1": {
- "hide_name": 1,
- "bits": [ 1545 ],
- "attributes": {
- }
- },
- "$abc$32408$n3240_1": {
- "hide_name": 1,
- "bits": [ 1548 ],
- "attributes": {
- }
- },
- "$abc$32408$n3241": {
- "hide_name": 1,
- "bits": [ 1549 ],
- "attributes": {
- }
- },
- "$abc$32408$n3242": {
- "hide_name": 1,
- "bits": [ 2033 ],
- "attributes": {
- }
- },
- "$abc$32408$n3242_1": {
- "hide_name": 1,
- "bits": [ 1544 ],
- "attributes": {
- }
- },
- "$abc$32408$n3243_1": {
- "hide_name": 1,
- "bits": [ 1550 ],
- "attributes": {
- }
- },
- "$abc$32408$n3244": {
- "hide_name": 1,
- "bits": [ 1551 ],
- "attributes": {
- }
- },
- "$abc$32408$n3245": {
- "hide_name": 1,
- "bits": [ 2035 ],
- "attributes": {
- }
- },
- "$abc$32408$n3245_1": {
- "hide_name": 1,
- "bits": [ 1552 ],
- "attributes": {
- }
- },
- "$abc$32408$n3246_1": {
- "hide_name": 1,
- "bits": [ 1546 ],
- "attributes": {
- }
- },
- "$abc$32408$n3248": {
- "hide_name": 1,
- "bits": [ 2037 ],
- "attributes": {
- }
- },
- "$abc$32408$n3250": {
- "hide_name": 1,
- "bits": [ 1559 ],
- "attributes": {
- }
- },
- "$abc$32408$n3251": {
- "hide_name": 1,
- "bits": [ 2039 ],
- "attributes": {
- }
- },
- "$abc$32408$n3253": {
- "hide_name": 1,
- "bits": [ 1560 ],
- "attributes": {
- }
- },
- "$abc$32408$n3254": {
- "hide_name": 1,
- "bits": [ 2041 ],
- "attributes": {
- }
- },
- "$abc$32408$n3254_1": {
- "hide_name": 1,
- "bits": [ 1564 ],
- "attributes": {
- }
- },
- "$abc$32408$n3257": {
- "hide_name": 1,
- "bits": [ 2043 ],
- "attributes": {
- }
- },
- "$abc$32408$n3259": {
- "hide_name": 1,
- "bits": [ 1565 ],
- "attributes": {
- }
- },
- "$abc$32408$n3260": {
- "hide_name": 1,
- "bits": [ 2045 ],
- "attributes": {
- }
- },
- "$abc$32408$n3260_1": {
- "hide_name": 1,
- "bits": [ 1569 ],
- "attributes": {
- }
- },
- "$abc$32408$n3261_1": {
- "hide_name": 1,
- "bits": [ 1572 ],
- "attributes": {
- }
- },
- "$abc$32408$n3262": {
- "hide_name": 1,
- "bits": [ 1570 ],
- "attributes": {
- }
- },
- "$abc$32408$n3263": {
- "hide_name": 1,
- "bits": [ 2047 ],
- "attributes": {
- }
- },
- "$abc$32408$n3263_1": {
- "hide_name": 1,
- "bits": [ 1571 ],
- "attributes": {
- }
- },
- "$abc$32408$n3264_1": {
- "hide_name": 1,
- "bits": [ 1573 ],
- "attributes": {
- }
- },
- "$abc$32408$n3266": {
- "hide_name": 1,
- "bits": [ 2049 ],
- "attributes": {
- }
- },
- "$abc$32408$n3269": {
- "hide_name": 1,
- "bits": [ 2051 ],
- "attributes": {
- }
- },
- "$abc$32408$n3269_1": {
- "hide_name": 1,
- "bits": [ 1580 ],
- "attributes": {
- }
- },
- "$abc$32408$n3270_1": {
- "hide_name": 1,
- "bits": [ 1584 ],
- "attributes": {
- }
- },
- "$abc$32408$n3271": {
- "hide_name": 1,
- "bits": [ 1588 ],
- "attributes": {
- }
- },
- "$abc$32408$n3272": {
- "hide_name": 1,
- "bits": [ 2053 ],
- "attributes": {
- }
- },
- "$abc$32408$n3272_1": {
- "hide_name": 1,
- "bits": [ 1591 ],
- "attributes": {
- }
- },
- "$abc$32408$n3273_1": {
- "hide_name": 1,
- "bits": [ 1594 ],
- "attributes": {
- }
- },
- "$abc$32408$n3274": {
- "hide_name": 1,
- "bits": [ 1593 ],
- "attributes": {
- }
- },
- "$abc$32408$n3275": {
- "hide_name": 1,
- "bits": [ 2055 ],
- "attributes": {
- }
- },
- "$abc$32408$n3275_1": {
- "hide_name": 1,
- "bits": [ 1587 ],
- "attributes": {
- }
- },
- "$abc$32408$n3276_1": {
- "hide_name": 1,
- "bits": [ 1586 ],
- "attributes": {
- }
- },
- "$abc$32408$n3277": {
- "hide_name": 1,
- "bits": [ 1605 ],
- "attributes": {
- }
- },
- "$abc$32408$n3278_1": {
- "hide_name": 1,
- "bits": [ 1606 ],
- "attributes": {
- }
- },
- "$abc$32408$n3279_1": {
- "hide_name": 1,
- "bits": [ 1607 ],
- "attributes": {
- }
- },
- "$abc$32408$n3280": {
- "hide_name": 1,
- "bits": [ 1608 ],
- "attributes": {
- }
- },
- "$abc$32408$n3281_1": {
- "hide_name": 1,
- "bits": [ 1578 ],
- "attributes": {
- }
- },
- "$abc$32408$n3282_1": {
- "hide_name": 1,
- "bits": [ 1579 ],
- "attributes": {
- }
- },
- "$abc$32408$n3283_1": {
- "hide_name": 1,
- "bits": [ 1617 ],
- "attributes": {
- }
- },
- "$abc$32408$n3284_1": {
- "hide_name": 1,
- "bits": [ 1618 ],
- "attributes": {
- }
- },
- "$abc$32408$n3286_1": {
- "hide_name": 1,
- "bits": [ 1619 ],
- "attributes": {
- }
- },
- "$abc$32408$n3288_1": {
- "hide_name": 1,
- "bits": [ 1621 ],
- "attributes": {
- }
- },
- "$abc$32408$n3290_1": {
- "hide_name": 1,
- "bits": [ 1623 ],
- "attributes": {
- }
- },
- "$abc$32408$n3292_1": {
- "hide_name": 1,
- "bits": [ 1625 ],
- "attributes": {
- }
- },
- "$abc$32408$n3294_1": {
- "hide_name": 1,
- "bits": [ 1627 ],
- "attributes": {
- }
- },
- "$abc$32408$n3296_1": {
- "hide_name": 1,
- "bits": [ 1629 ],
- "attributes": {
- }
- },
- "$abc$32408$n3298": {
- "hide_name": 1,
- "bits": [ 1631 ],
- "attributes": {
- }
- },
- "$abc$32408$n3300": {
- "hide_name": 1,
- "bits": [ 1633 ],
- "attributes": {
- }
- },
- "$abc$32408$n3301": {
- "hide_name": 1,
- "bits": [ 1634 ],
- "attributes": {
- }
- },
- "$abc$32408$n3303": {
- "hide_name": 1,
- "bits": [ 1487 ],
- "attributes": {
- }
- },
- "$abc$32408$n3303_1": {
- "hide_name": 1,
- "bits": [ 1636 ],
- "attributes": {
- }
- },
- "$abc$32408$n3305": {
- "hide_name": 1,
- "bits": [ 1489 ],
- "attributes": {
- }
- },
- "$abc$32408$n3305_1": {
- "hide_name": 1,
- "bits": [ 1638 ],
- "attributes": {
- }
- },
- "$abc$32408$n3307": {
- "hide_name": 1,
- "bits": [ 1491 ],
- "attributes": {
- }
- },
- "$abc$32408$n3309": {
- "hide_name": 1,
- "bits": [ 1493 ],
- "attributes": {
- }
- },
- "$abc$32408$n3311": {
- "hide_name": 1,
- "bits": [ 1495 ],
- "attributes": {
- }
- },
- "$abc$32408$n3313": {
- "hide_name": 1,
- "bits": [ 1497 ],
- "attributes": {
- }
- },
- "$abc$32408$n3315": {
- "hide_name": 1,
- "bits": [ 1499 ],
- "attributes": {
- }
- },
- "$abc$32408$n3317": {
- "hide_name": 1,
- "bits": [ 1501 ],
- "attributes": {
- }
- },
- "$abc$32408$n3319": {
- "hide_name": 1,
- "bits": [ 1503 ],
- "attributes": {
- }
- },
- "$abc$32408$n3321": {
- "hide_name": 1,
- "bits": [ 1505 ],
- "attributes": {
- }
- },
- "$abc$32408$n3323": {
- "hide_name": 1,
- "bits": [ 1507 ],
- "attributes": {
- }
- },
- "$abc$32408$n3331_1": {
- "hide_name": 1,
- "bits": [ 1688 ],
- "attributes": {
- }
- },
- "$abc$32408$n3359": {
- "hide_name": 1,
- "bits": [ 1534 ],
- "attributes": {
- }
- },
- "$abc$32408$n3360": {
- "hide_name": 1,
- "bits": [ 3366 ],
- "attributes": {
- }
- },
- "$abc$32408$n3361": {
- "hide_name": 1,
- "bits": [ 1537 ],
- "attributes": {
- }
- },
- "$abc$32408$n3398": {
- "hide_name": 1,
- "bits": [ 1812 ],
- "attributes": {
- }
- },
- "$abc$32408$n3399_1": {
- "hide_name": 1,
- "bits": [ 1811 ],
- "attributes": {
- }
- },
- "$abc$32408$n3408_1": {
- "hide_name": 1,
- "bits": [ 1828 ],
- "attributes": {
- }
- },
- "$abc$32408$n3409": {
- "hide_name": 1,
- "bits": [ 1832 ],
- "attributes": {
- }
- },
- "$abc$32408$n3410": {
- "hide_name": 1,
- "bits": [ 1833 ],
- "attributes": {
- }
- },
- "$abc$32408$n3411_1": {
- "hide_name": 1,
- "bits": [ 1835 ],
- "attributes": {
- }
- },
- "$abc$32408$n3412": {
- "hide_name": 1,
- "bits": [ 1837 ],
- "attributes": {
- }
- },
- "$abc$32408$n3413": {
- "hide_name": 1,
- "bits": [ 1842 ],
- "attributes": {
- }
- },
- "$abc$32408$n3414_1": {
- "hide_name": 1,
- "bits": [ 1838 ],
- "attributes": {
- }
- },
- "$abc$32408$n3415": {
- "hide_name": 1,
- "bits": [ 1839 ],
- "attributes": {
- }
- },
- "$abc$32408$n3416": {
- "hide_name": 1,
- "bits": [ 1836 ],
- "attributes": {
- }
- },
- "$abc$32408$n3417_1": {
- "hide_name": 1,
- "bits": [ 1851 ],
- "attributes": {
- }
- },
- "$abc$32408$n3418": {
- "hide_name": 1,
- "bits": [ 1852 ],
- "attributes": {
- }
- },
- "$abc$32408$n3419": {
- "hide_name": 1,
- "bits": [ 1834 ],
- "attributes": {
- }
- },
- "$abc$32408$n3420_1": {
- "hide_name": 1,
- "bits": [ 1853 ],
- "attributes": {
- }
- },
- "$abc$32408$n3421": {
- "hide_name": 1,
- "bits": [ 1855 ],
- "attributes": {
- }
- },
- "$abc$32408$n3422": {
- "hide_name": 1,
- "bits": [ 1856 ],
- "attributes": {
- }
- },
- "$abc$32408$n3423_1": {
- "hide_name": 1,
- "bits": [ 1854 ],
- "attributes": {
- }
- },
- "$abc$32408$n3424": {
- "hide_name": 1,
- "bits": [ 1830 ],
- "attributes": {
- }
- },
- "$abc$32408$n3425": {
- "hide_name": 1,
- "bits": [ 1857 ],
- "attributes": {
- }
- },
- "$abc$32408$n3434": {
- "hide_name": 1,
- "bits": [ 1865 ],
- "attributes": {
- }
- },
- "$abc$32408$n3435": {
- "hide_name": 1,
- "bits": [ 1869 ],
- "attributes": {
- }
- },
- "$abc$32408$n3436": {
- "hide_name": 1,
- "bits": [ 1870 ],
- "attributes": {
- }
- },
- "$abc$32408$n3437": {
- "hide_name": 1,
- "bits": [ 1872 ],
- "attributes": {
- }
- },
- "$abc$32408$n3438": {
- "hide_name": 1,
- "bits": [ 1874 ],
- "attributes": {
- }
- },
- "$abc$32408$n3439": {
- "hide_name": 1,
- "bits": [ 1878 ],
- "attributes": {
- }
- },
- "$abc$32408$n3440": {
- "hide_name": 1,
- "bits": [ 1875 ],
- "attributes": {
- }
- },
- "$abc$32408$n3441": {
- "hide_name": 1,
- "bits": [ 1876 ],
- "attributes": {
- }
- },
- "$abc$32408$n3442": {
- "hide_name": 1,
- "bits": [ 1873 ],
- "attributes": {
- }
- },
- "$abc$32408$n3443": {
- "hide_name": 1,
- "bits": [ 1883 ],
- "attributes": {
- }
- },
- "$abc$32408$n3444": {
- "hide_name": 1,
- "bits": [ 1884 ],
- "attributes": {
- }
- },
- "$abc$32408$n3445": {
- "hide_name": 1,
- "bits": [ 1871 ],
- "attributes": {
- }
- },
- "$abc$32408$n3446": {
- "hide_name": 1,
- "bits": [ 1885 ],
- "attributes": {
- }
- },
- "$abc$32408$n3447": {
- "hide_name": 1,
- "bits": [ 1887 ],
- "attributes": {
- }
- },
- "$abc$32408$n3448": {
- "hide_name": 1,
- "bits": [ 1888 ],
- "attributes": {
- }
- },
- "$abc$32408$n3449": {
- "hide_name": 1,
- "bits": [ 1886 ],
- "attributes": {
- }
- },
- "$abc$32408$n3450": {
- "hide_name": 1,
- "bits": [ 1867 ],
- "attributes": {
- }
- },
- "$abc$32408$n3451": {
- "hide_name": 1,
- "bits": [ 1889 ],
- "attributes": {
- }
- },
- "$abc$32408$n3545_1": {
- "hide_name": 1,
- "bits": [ 1960 ],
- "attributes": {
- }
- },
- "$abc$32408$n3547_1": {
- "hide_name": 1,
- "bits": [ 1961 ],
- "attributes": {
- }
- },
- "$abc$32408$n3550": {
- "hide_name": 1,
- "bits": [ 1963 ],
- "attributes": {
- }
- },
- "$abc$32408$n3552": {
- "hide_name": 1,
- "bits": [ 1962 ],
- "attributes": {
- }
- },
- "$abc$32408$n3558_1": {
- "hide_name": 1,
- "bits": [ 1971 ],
- "attributes": {
- }
- },
- "$abc$32408$n3562_1": {
- "hide_name": 1,
- "bits": [ 1972 ],
- "attributes": {
- }
- },
- "$abc$32408$n3567": {
- "hide_name": 1,
- "bits": [ 1976 ],
- "attributes": {
- }
- },
- "$abc$32408$n3580": {
- "hide_name": 1,
- "bits": [ 1994 ],
- "attributes": {
- }
- },
- "$abc$32408$n3581": {
- "hide_name": 1,
- "bits": [ 1996 ],
- "attributes": {
- }
- },
- "$abc$32408$n3602": {
- "hide_name": 1,
- "bits": [ 1729 ],
- "attributes": {
- }
- },
- "$abc$32408$n3606": {
- "hide_name": 1,
- "bits": [ 1731 ],
- "attributes": {
- }
- },
- "$abc$32408$n3608": {
- "hide_name": 1,
- "bits": [ 1733 ],
- "attributes": {
- }
- },
- "$abc$32408$n3610": {
- "hide_name": 1,
- "bits": [ 1735 ],
- "attributes": {
- }
- },
- "$abc$32408$n3612": {
- "hide_name": 1,
- "bits": [ 1737 ],
- "attributes": {
- }
- },
- "$abc$32408$n3614": {
- "hide_name": 1,
- "bits": [ 1739 ],
- "attributes": {
- }
- },
- "$abc$32408$n3616": {
- "hide_name": 1,
- "bits": [ 1741 ],
- "attributes": {
- }
- },
- "$abc$32408$n3618": {
- "hide_name": 1,
- "bits": [ 1743 ],
- "attributes": {
- }
- },
- "$abc$32408$n3620": {
- "hide_name": 1,
- "bits": [ 1745 ],
- "attributes": {
- }
- },
- "$abc$32408$n3623": {
- "hide_name": 1,
- "bits": [ 453 ],
- "attributes": {
- }
- },
- "$abc$32408$n3642_1": {
- "hide_name": 1,
- "bits": [ 2113 ],
- "attributes": {
- }
- },
- "$abc$32408$n3646_1": {
- "hide_name": 1,
- "bits": [ 2115 ],
- "attributes": {
- }
- },
- "$abc$32408$n3658": {
- "hide_name": 1,
- "bits": [ 2122 ],
- "attributes": {
- }
- },
- "$abc$32408$n3662_1": {
- "hide_name": 1,
- "bits": [ 2127 ],
- "attributes": {
- }
- },
- "$abc$32408$n3664_1": {
- "hide_name": 1,
- "bits": [ 2129 ],
- "attributes": {
- }
- },
- "$abc$32408$n3667_1": {
- "hide_name": 1,
- "bits": [ 2132 ],
- "attributes": {
- }
- },
- "$abc$32408$n3754": {
- "hide_name": 1,
- "bits": [ 2219 ],
- "attributes": {
- }
- },
- "$abc$32408$n3755_1": {
- "hide_name": 1,
- "bits": [ 2223 ],
- "attributes": {
- }
- },
- "$abc$32408$n3756": {
- "hide_name": 1,
- "bits": [ 2227 ],
- "attributes": {
- }
- },
- "$abc$32408$n3757_1": {
- "hide_name": 1,
- "bits": [ 2228 ],
- "attributes": {
- }
- },
- "$abc$32408$n3758": {
- "hide_name": 1,
- "bits": [ 2229 ],
- "attributes": {
- }
- },
- "$abc$32408$n3759_1": {
- "hide_name": 1,
- "bits": [ 2230 ],
- "attributes": {
- }
- },
- "$abc$32408$n3760_1": {
- "hide_name": 1,
- "bits": [ 2233 ],
- "attributes": {
- }
- },
- "$abc$32408$n3761_1": {
- "hide_name": 1,
- "bits": [ 2231 ],
- "attributes": {
- }
- },
- "$abc$32408$n3762_1": {
- "hide_name": 1,
- "bits": [ 2234 ],
- "attributes": {
- }
- },
- "$abc$32408$n3763_1": {
- "hide_name": 1,
- "bits": [ 2232 ],
- "attributes": {
- }
- },
- "$abc$32408$n3764_1": {
- "hide_name": 1,
- "bits": [ 2224 ],
- "attributes": {
- }
- },
- "$abc$32408$n3765_1": {
- "hide_name": 1,
- "bits": [ 2225 ],
- "attributes": {
- }
- },
- "$abc$32408$n3766_1": {
- "hide_name": 1,
- "bits": [ 2220 ],
- "attributes": {
- }
- },
- "$abc$32408$n3767_1": {
- "hide_name": 1,
- "bits": [ 2221 ],
- "attributes": {
- }
- },
- "$abc$32408$n3769_1": {
- "hide_name": 1,
- "bits": [ 2237 ],
- "attributes": {
- }
- },
- "$abc$32408$n3770_1": {
- "hide_name": 1,
- "bits": [ 2239 ],
- "attributes": {
- }
- },
- "$abc$32408$n3771": {
- "hide_name": 1,
- "bits": [ 2240 ],
- "attributes": {
- }
- },
- "$abc$32408$n3772": {
- "hide_name": 1,
- "bits": [ 2242 ],
- "attributes": {
- }
- },
- "$abc$32408$n3773": {
- "hide_name": 1,
- "bits": [ 2244 ],
- "attributes": {
- }
- },
- "$abc$32408$n3774": {
- "hide_name": 1,
- "bits": [ 2245 ],
- "attributes": {
- }
- },
- "$abc$32408$n3775": {
- "hide_name": 1,
- "bits": [ 2246 ],
- "attributes": {
- }
- },
- "$abc$32408$n3776": {
- "hide_name": 1,
- "bits": [ 2249 ],
- "attributes": {
- }
- },
- "$abc$32408$n3777": {
- "hide_name": 1,
- "bits": [ 2247 ],
- "attributes": {
- }
- },
- "$abc$32408$n3778": {
- "hide_name": 1,
- "bits": [ 2250 ],
- "attributes": {
- }
- },
- "$abc$32408$n3779": {
- "hide_name": 1,
- "bits": [ 2248 ],
- "attributes": {
- }
- },
- "$abc$32408$n3780": {
- "hide_name": 1,
- "bits": [ 2243 ],
- "attributes": {
- }
- },
- "$abc$32408$n3781": {
- "hide_name": 1,
- "bits": [ 2241 ],
- "attributes": {
- }
- },
- "$abc$32408$n3782": {
- "hide_name": 1,
- "bits": [ 2253 ],
- "attributes": {
- }
- },
- "$abc$32408$n3783": {
- "hide_name": 1,
- "bits": [ 2254 ],
- "attributes": {
- }
- },
- "$abc$32408$n3784": {
- "hide_name": 1,
- "bits": [ 2236 ],
- "attributes": {
- }
- },
- "$abc$32408$n3786": {
- "hide_name": 1,
- "bits": [ 2255 ],
- "attributes": {
- }
- },
- "$abc$32408$n3787_1": {
- "hide_name": 1,
- "bits": [ 2256 ],
- "attributes": {
- }
- },
- "$abc$32408$n3788": {
- "hide_name": 1,
- "bits": [ 2259 ],
- "attributes": {
- }
- },
- "$abc$32408$n3789_1": {
- "hide_name": 1,
- "bits": [ 2260 ],
- "attributes": {
- }
- },
- "$abc$32408$n3790": {
- "hide_name": 1,
- "bits": [ 2263 ],
- "attributes": {
- }
- },
- "$abc$32408$n3791_1": {
- "hide_name": 1,
- "bits": [ 2265 ],
- "attributes": {
- }
- },
- "$abc$32408$n3792": {
- "hide_name": 1,
- "bits": [ 2266 ],
- "attributes": {
- }
- },
- "$abc$32408$n3793": {
- "hide_name": 1,
- "bits": [ 2267 ],
- "attributes": {
- }
- },
- "$abc$32408$n3794": {
- "hide_name": 1,
- "bits": [ 2270 ],
- "attributes": {
- }
- },
- "$abc$32408$n3795": {
- "hide_name": 1,
- "bits": [ 2268 ],
- "attributes": {
- }
- },
- "$abc$32408$n3796_1": {
- "hide_name": 1,
- "bits": [ 2271 ],
- "attributes": {
- }
- },
- "$abc$32408$n3797_1": {
- "hide_name": 1,
- "bits": [ 2269 ],
- "attributes": {
- }
- },
- "$abc$32408$n3798": {
- "hide_name": 1,
- "bits": [ 2264 ],
- "attributes": {
- }
- },
- "$abc$32408$n3799_1": {
- "hide_name": 1,
- "bits": [ 2273 ],
- "attributes": {
- }
- },
- "$abc$32408$n3800": {
- "hide_name": 1,
- "bits": [ 2274 ],
- "attributes": {
- }
- },
- "$abc$32408$n3801_1": {
- "hide_name": 1,
- "bits": [ 2261 ],
- "attributes": {
- }
- },
- "$abc$32408$n3802": {
- "hide_name": 1,
- "bits": [ 2262 ],
- "attributes": {
- }
- },
- "$abc$32408$n3803_1": {
- "hide_name": 1,
- "bits": [ 2257 ],
- "attributes": {
- }
- },
- "$abc$32408$n3805_1": {
- "hide_name": 1,
- "bits": [ 2276 ],
- "attributes": {
- }
- },
- "$abc$32408$n3806": {
- "hide_name": 1,
- "bits": [ 2277 ],
- "attributes": {
- }
- },
- "$abc$32408$n3807_1": {
- "hide_name": 1,
- "bits": [ 2280 ],
- "attributes": {
- }
- },
- "$abc$32408$n3808": {
- "hide_name": 1,
- "bits": [ 2281 ],
- "attributes": {
- }
- },
- "$abc$32408$n3809_1": {
- "hide_name": 1,
- "bits": [ 2284 ],
- "attributes": {
- }
- },
- "$abc$32408$n3810": {
- "hide_name": 1,
- "bits": [ 2286 ],
- "attributes": {
- }
- },
- "$abc$32408$n3811_1": {
- "hide_name": 1,
- "bits": [ 2288 ],
- "attributes": {
- }
- },
- "$abc$32408$n3812": {
- "hide_name": 1,
- "bits": [ 2287 ],
- "attributes": {
- }
- },
- "$abc$32408$n3813_1": {
- "hide_name": 1,
- "bits": [ 2285 ],
- "attributes": {
- }
- },
- "$abc$32408$n3814_1": {
- "hide_name": 1,
- "bits": [ 2289 ],
- "attributes": {
- }
- },
- "$abc$32408$n3815_1": {
- "hide_name": 1,
- "bits": [ 2290 ],
- "attributes": {
- }
- },
- "$abc$32408$n3816_1": {
- "hide_name": 1,
- "bits": [ 2291 ],
- "attributes": {
- }
- },
- "$abc$32408$n3817_1": {
- "hide_name": 1,
- "bits": [ 2292 ],
- "attributes": {
- }
- },
- "$abc$32408$n3818_1": {
- "hide_name": 1,
- "bits": [ 2295 ],
- "attributes": {
- }
- },
- "$abc$32408$n3819_1": {
- "hide_name": 1,
- "bits": [ 2293 ],
- "attributes": {
- }
- },
- "$abc$32408$n3820_1": {
- "hide_name": 1,
- "bits": [ 2296 ],
- "attributes": {
- }
- },
- "$abc$32408$n3821_1": {
- "hide_name": 1,
- "bits": [ 2294 ],
- "attributes": {
- }
- },
- "$abc$32408$n3822_1": {
- "hide_name": 1,
- "bits": [ 2282 ],
- "attributes": {
- }
- },
- "$abc$32408$n3823_1": {
- "hide_name": 1,
- "bits": [ 2283 ],
- "attributes": {
- }
- },
- "$abc$32408$n3824_1": {
- "hide_name": 1,
- "bits": [ 2278 ],
- "attributes": {
- }
- },
- "$abc$32408$n3826": {
- "hide_name": 1,
- "bits": [ 2298 ],
- "attributes": {
- }
- },
- "$abc$32408$n3827": {
- "hide_name": 1,
- "bits": [ 2302 ],
- "attributes": {
- }
- },
- "$abc$32408$n3828": {
- "hide_name": 1,
- "bits": [ 2305 ],
- "attributes": {
- }
- },
- "$abc$32408$n3829": {
- "hide_name": 1,
- "bits": [ 2307 ],
- "attributes": {
- }
- },
- "$abc$32408$n3830": {
- "hide_name": 1,
- "bits": [ 2308 ],
- "attributes": {
- }
- },
- "$abc$32408$n3831": {
- "hide_name": 1,
- "bits": [ 2309 ],
- "attributes": {
- }
- },
- "$abc$32408$n3832": {
- "hide_name": 1,
- "bits": [ 2310 ],
- "attributes": {
- }
- },
- "$abc$32408$n3833": {
- "hide_name": 1,
- "bits": [ 2313 ],
- "attributes": {
- }
- },
- "$abc$32408$n3834": {
- "hide_name": 1,
- "bits": [ 2311 ],
- "attributes": {
- }
- },
- "$abc$32408$n3835": {
- "hide_name": 1,
- "bits": [ 2314 ],
- "attributes": {
- }
- },
- "$abc$32408$n3836": {
- "hide_name": 1,
- "bits": [ 2312 ],
- "attributes": {
- }
- },
- "$abc$32408$n3837": {
- "hide_name": 1,
- "bits": [ 2306 ],
- "attributes": {
- }
- },
- "$abc$32408$n3838": {
- "hide_name": 1,
- "bits": [ 2316 ],
- "attributes": {
- }
- },
- "$abc$32408$n3839_1": {
- "hide_name": 1,
- "bits": [ 2318 ],
- "attributes": {
- }
- },
- "$abc$32408$n3840": {
- "hide_name": 1,
- "bits": [ 2317 ],
- "attributes": {
- }
- },
- "$abc$32408$n3841_1": {
- "hide_name": 1,
- "bits": [ 2303 ],
- "attributes": {
- }
- },
- "$abc$32408$n3842": {
- "hide_name": 1,
- "bits": [ 2304 ],
- "attributes": {
- }
- },
- "$abc$32408$n3843_1": {
- "hide_name": 1,
- "bits": [ 2319 ],
- "attributes": {
- }
- },
- "$abc$32408$n3844": {
- "hide_name": 1,
- "bits": [ 2320 ],
- "attributes": {
- }
- },
- "$abc$32408$n3845_1": {
- "hide_name": 1,
- "bits": [ 2299 ],
- "attributes": {
- }
- },
- "$abc$32408$n3846": {
- "hide_name": 1,
- "bits": [ 2300 ],
- "attributes": {
- }
- },
- "$abc$32408$n3848": {
- "hide_name": 1,
- "bits": [ 2321 ],
- "attributes": {
- }
- },
- "$abc$32408$n3849": {
- "hide_name": 1,
- "bits": [ 1592 ],
- "attributes": {
- }
- },
- "$abc$32408$n3849_1": {
- "hide_name": 1,
- "bits": [ 2322 ],
- "attributes": {
- }
- },
- "$abc$32408$n3850": {
- "hide_name": 1,
- "bits": [ 2325 ],
- "attributes": {
- }
- },
- "$abc$32408$n3851": {
- "hide_name": 1,
- "bits": [ 1583 ],
- "attributes": {
- }
- },
- "$abc$32408$n3851_1": {
- "hide_name": 1,
- "bits": [ 2326 ],
- "attributes": {
- }
- },
- "$abc$32408$n3852": {
- "hide_name": 1,
- "bits": [ 2328 ],
- "attributes": {
- }
- },
- "$abc$32408$n3853": {
- "hide_name": 1,
- "bits": [ 1582 ],
- "attributes": {
- }
- },
- "$abc$32408$n3853_1": {
- "hide_name": 1,
- "bits": [ 2330 ],
- "attributes": {
- }
- },
- "$abc$32408$n3854": {
- "hide_name": 1,
- "bits": [ 1982 ],
- "attributes": {
- }
- },
- "$abc$32408$n3854_1": {
- "hide_name": 1,
- "bits": [ 2331 ],
- "attributes": {
- }
- },
- "$abc$32408$n3855": {
- "hide_name": 1,
- "bits": [ 2332 ],
- "attributes": {
- }
- },
- "$abc$32408$n3856": {
- "hide_name": 1,
- "bits": [ 2333 ],
- "attributes": {
- }
- },
- "$abc$32408$n3857": {
- "hide_name": 1,
- "bits": [ 2336 ],
- "attributes": {
- }
- },
- "$abc$32408$n3858": {
- "hide_name": 1,
- "bits": [ 2334 ],
- "attributes": {
- }
- },
- "$abc$32408$n3859": {
- "hide_name": 1,
- "bits": [ 2337 ],
- "attributes": {
- }
- },
- "$abc$32408$n3860": {
- "hide_name": 1,
- "bits": [ 2335 ],
- "attributes": {
- }
- },
- "$abc$32408$n3861": {
- "hide_name": 1,
- "bits": [ 2329 ],
- "attributes": {
- }
- },
- "$abc$32408$n3862": {
- "hide_name": 1,
- "bits": [ 2339 ],
- "attributes": {
- }
- },
- "$abc$32408$n3863": {
- "hide_name": 1,
- "bits": [ 2341 ],
- "attributes": {
- }
- },
- "$abc$32408$n3864": {
- "hide_name": 1,
- "bits": [ 2340 ],
- "attributes": {
- }
- },
- "$abc$32408$n3865": {
- "hide_name": 1,
- "bits": [ 2327 ],
- "attributes": {
- }
- },
- "$abc$32408$n3866_1": {
- "hide_name": 1,
- "bits": [ 2342 ],
- "attributes": {
- }
- },
- "$abc$32408$n3867": {
- "hide_name": 1,
- "bits": [ 2323 ],
- "attributes": {
- }
- },
- "$abc$32408$n3869": {
- "hide_name": 1,
- "bits": [ 2343 ],
- "attributes": {
- }
- },
- "$abc$32408$n3870": {
- "hide_name": 1,
- "bits": [ 2344 ],
- "attributes": {
- }
- },
- "$abc$32408$n3871": {
- "hide_name": 1,
- "bits": [ 2347 ],
- "attributes": {
- }
- },
- "$abc$32408$n3872": {
- "hide_name": 1,
- "bits": [ 2348 ],
- "attributes": {
- }
- },
- "$abc$32408$n3873": {
- "hide_name": 1,
- "bits": [ 2350 ],
- "attributes": {
- }
- },
- "$abc$32408$n3874": {
- "hide_name": 1,
- "bits": [ 2352 ],
- "attributes": {
- }
- },
- "$abc$32408$n3875": {
- "hide_name": 1,
- "bits": [ 2353 ],
- "attributes": {
- }
- },
- "$abc$32408$n3876": {
- "hide_name": 1,
- "bits": [ 2354 ],
- "attributes": {
- }
- },
- "$abc$32408$n3877": {
- "hide_name": 1,
- "bits": [ 2355 ],
- "attributes": {
- }
- },
- "$abc$32408$n3878": {
- "hide_name": 1,
- "bits": [ 2358 ],
- "attributes": {
- }
- },
- "$abc$32408$n3879": {
- "hide_name": 1,
- "bits": [ 2356 ],
- "attributes": {
- }
- },
- "$abc$32408$n3880": {
- "hide_name": 1,
- "bits": [ 2359 ],
- "attributes": {
- }
- },
- "$abc$32408$n3881": {
- "hide_name": 1,
- "bits": [ 2357 ],
- "attributes": {
- }
- },
- "$abc$32408$n3882": {
- "hide_name": 1,
- "bits": [ 2351 ],
- "attributes": {
- }
- },
- "$abc$32408$n3883": {
- "hide_name": 1,
- "bits": [ 2361 ],
- "attributes": {
- }
- },
- "$abc$32408$n3884": {
- "hide_name": 1,
- "bits": [ 2363 ],
- "attributes": {
- }
- },
- "$abc$32408$n3885": {
- "hide_name": 1,
- "bits": [ 2362 ],
- "attributes": {
- }
- },
- "$abc$32408$n3886": {
- "hide_name": 1,
- "bits": [ 2349 ],
- "attributes": {
- }
- },
- "$abc$32408$n3887": {
- "hide_name": 1,
- "bits": [ 2364 ],
- "attributes": {
- }
- },
- "$abc$32408$n3888": {
- "hide_name": 1,
- "bits": [ 2345 ],
- "attributes": {
- }
- },
- "$abc$32408$n3890": {
- "hide_name": 1,
- "bits": [ 2365 ],
- "attributes": {
- }
- },
- "$abc$32408$n3891": {
- "hide_name": 1,
- "bits": [ 2366 ],
- "attributes": {
- }
- },
- "$abc$32408$n3892": {
- "hide_name": 1,
- "bits": [ 2369 ],
- "attributes": {
- }
- },
- "$abc$32408$n3893": {
- "hide_name": 1,
- "bits": [ 2370 ],
- "attributes": {
- }
- },
- "$abc$32408$n3894": {
- "hide_name": 1,
- "bits": [ 2372 ],
- "attributes": {
- }
- },
- "$abc$32408$n3895": {
- "hide_name": 1,
- "bits": [ 2374 ],
- "attributes": {
- }
- },
- "$abc$32408$n3896": {
- "hide_name": 1,
- "bits": [ 2375 ],
- "attributes": {
- }
- },
- "$abc$32408$n3897": {
- "hide_name": 1,
- "bits": [ 2376 ],
- "attributes": {
- }
- },
- "$abc$32408$n3898": {
- "hide_name": 1,
- "bits": [ 2377 ],
- "attributes": {
- }
- },
- "$abc$32408$n3899": {
- "hide_name": 1,
- "bits": [ 2380 ],
- "attributes": {
- }
- },
- "$abc$32408$n3900": {
- "hide_name": 1,
- "bits": [ 2378 ],
- "attributes": {
- }
- },
- "$abc$32408$n3901": {
- "hide_name": 1,
- "bits": [ 2381 ],
- "attributes": {
- }
- },
- "$abc$32408$n3902": {
- "hide_name": 1,
- "bits": [ 2379 ],
- "attributes": {
- }
- },
- "$abc$32408$n3903": {
- "hide_name": 1,
- "bits": [ 2373 ],
- "attributes": {
- }
- },
- "$abc$32408$n3904": {
- "hide_name": 1,
- "bits": [ 2383 ],
- "attributes": {
- }
- },
- "$abc$32408$n3905": {
- "hide_name": 1,
- "bits": [ 2385 ],
- "attributes": {
- }
- },
- "$abc$32408$n3906": {
- "hide_name": 1,
- "bits": [ 2384 ],
- "attributes": {
- }
- },
- "$abc$32408$n3907": {
- "hide_name": 1,
- "bits": [ 2371 ],
- "attributes": {
- }
- },
- "$abc$32408$n3908": {
- "hide_name": 1,
- "bits": [ 2386 ],
- "attributes": {
- }
- },
- "$abc$32408$n3909": {
- "hide_name": 1,
- "bits": [ 2367 ],
- "attributes": {
- }
- },
- "$abc$32408$n3911": {
- "hide_name": 1,
- "bits": [ 2387 ],
- "attributes": {
- }
- },
- "$abc$32408$n3912": {
- "hide_name": 1,
- "bits": [ 2388 ],
- "attributes": {
- }
- },
- "$abc$32408$n3913": {
- "hide_name": 1,
- "bits": [ 2391 ],
- "attributes": {
- }
- },
- "$abc$32408$n3914": {
- "hide_name": 1,
- "bits": [ 2392 ],
- "attributes": {
- }
- },
- "$abc$32408$n3915": {
- "hide_name": 1,
- "bits": [ 2395 ],
- "attributes": {
- }
- },
- "$abc$32408$n3916": {
- "hide_name": 1,
- "bits": [ 2397 ],
- "attributes": {
- }
- },
- "$abc$32408$n3917": {
- "hide_name": 1,
- "bits": [ 2398 ],
- "attributes": {
- }
- },
- "$abc$32408$n3918": {
- "hide_name": 1,
- "bits": [ 2400 ],
- "attributes": {
- }
- },
- "$abc$32408$n3919": {
- "hide_name": 1,
- "bits": [ 2399 ],
- "attributes": {
- }
- },
- "$abc$32408$n3920": {
- "hide_name": 1,
- "bits": [ 2396 ],
- "attributes": {
- }
- },
- "$abc$32408$n3921_1": {
- "hide_name": 1,
- "bits": [ 2401 ],
- "attributes": {
- }
- },
- "$abc$32408$n3922": {
- "hide_name": 1,
- "bits": [ 2402 ],
- "attributes": {
- }
- },
- "$abc$32408$n3923": {
- "hide_name": 1,
- "bits": [ 2403 ],
- "attributes": {
- }
- },
- "$abc$32408$n3924": {
- "hide_name": 1,
- "bits": [ 2404 ],
- "attributes": {
- }
- },
- "$abc$32408$n3925": {
- "hide_name": 1,
- "bits": [ 2407 ],
- "attributes": {
- }
- },
- "$abc$32408$n3926": {
- "hide_name": 1,
- "bits": [ 2405 ],
- "attributes": {
- }
- },
- "$abc$32408$n3927_1": {
- "hide_name": 1,
- "bits": [ 2408 ],
- "attributes": {
- }
- },
- "$abc$32408$n3928": {
- "hide_name": 1,
- "bits": [ 2406 ],
- "attributes": {
- }
- },
- "$abc$32408$n3929": {
- "hide_name": 1,
- "bits": [ 2393 ],
- "attributes": {
- }
- },
- "$abc$32408$n3930_1": {
- "hide_name": 1,
- "bits": [ 2410 ],
- "attributes": {
- }
- },
- "$abc$32408$n3931": {
- "hide_name": 1,
- "bits": [ 2411 ],
- "attributes": {
- }
- },
- "$abc$32408$n3932": {
- "hide_name": 1,
- "bits": [ 2389 ],
- "attributes": {
- }
- },
- "$abc$32408$n3934": {
- "hide_name": 1,
- "bits": [ 2412 ],
- "attributes": {
- }
- },
- "$abc$32408$n3935": {
- "hide_name": 1,
- "bits": [ 2414 ],
- "attributes": {
- }
- },
- "$abc$32408$n3936_1": {
- "hide_name": 1,
- "bits": [ 2416 ],
- "attributes": {
- }
- },
- "$abc$32408$n3937": {
- "hide_name": 1,
- "bits": [ 2417 ],
- "attributes": {
- }
- },
- "$abc$32408$n3938": {
- "hide_name": 1,
- "bits": [ 2420 ],
- "attributes": {
- }
- },
- "$abc$32408$n3939": {
- "hide_name": 1,
- "bits": [ 2421 ],
- "attributes": {
- }
- },
- "$abc$32408$n3940": {
- "hide_name": 1,
- "bits": [ 2422 ],
- "attributes": {
- }
- },
- "$abc$32408$n3941_1": {
- "hide_name": 1,
- "bits": [ 2423 ],
- "attributes": {
- }
- },
- "$abc$32408$n3942": {
- "hide_name": 1,
- "bits": [ 2425 ],
- "attributes": {
- }
- },
- "$abc$32408$n3943": {
- "hide_name": 1,
- "bits": [ 2424 ],
- "attributes": {
- }
- },
- "$abc$32408$n3944": {
- "hide_name": 1,
- "bits": [ 2418 ],
- "attributes": {
- }
- },
- "$abc$32408$n3945": {
- "hide_name": 1,
- "bits": [ 2426 ],
- "attributes": {
- }
- },
- "$abc$32408$n3946": {
- "hide_name": 1,
- "bits": [ 2427 ],
- "attributes": {
- }
- },
- "$abc$32408$n3947": {
- "hide_name": 1,
- "bits": [ 2428 ],
- "attributes": {
- }
- },
- "$abc$32408$n3948_1": {
- "hide_name": 1,
- "bits": [ 2429 ],
- "attributes": {
- }
- },
- "$abc$32408$n3949": {
- "hide_name": 1,
- "bits": [ 2432 ],
- "attributes": {
- }
- },
- "$abc$32408$n3950": {
- "hide_name": 1,
- "bits": [ 2430 ],
- "attributes": {
- }
- },
- "$abc$32408$n3951": {
- "hide_name": 1,
- "bits": [ 2433 ],
- "attributes": {
- }
- },
- "$abc$32408$n3952": {
- "hide_name": 1,
- "bits": [ 2431 ],
- "attributes": {
- }
- },
- "$abc$32408$n3953": {
- "hide_name": 1,
- "bits": [ 2419 ],
- "attributes": {
- }
- },
- "$abc$32408$n3954": {
- "hide_name": 1,
- "bits": [ 2435 ],
- "attributes": {
- }
- },
- "$abc$32408$n3955": {
- "hide_name": 1,
- "bits": [ 2413 ],
- "attributes": {
- }
- },
- "$abc$32408$n3957": {
- "hide_name": 1,
- "bits": [ 2436 ],
- "attributes": {
- }
- },
- "$abc$32408$n3958": {
- "hide_name": 1,
- "bits": [ 2437 ],
- "attributes": {
- }
- },
- "$abc$32408$n3959_1": {
- "hide_name": 1,
- "bits": [ 2440 ],
- "attributes": {
- }
- },
- "$abc$32408$n3960": {
- "hide_name": 1,
- "bits": [ 2441 ],
- "attributes": {
- }
- },
- "$abc$32408$n3961_1": {
- "hide_name": 1,
- "bits": [ 2443 ],
- "attributes": {
- }
- },
- "$abc$32408$n3962_1": {
- "hide_name": 1,
- "bits": [ 2444 ],
- "attributes": {
- }
- },
- "$abc$32408$n3963_1": {
- "hide_name": 1,
- "bits": [ 2446 ],
- "attributes": {
- }
- },
- "$abc$32408$n3964_1": {
- "hide_name": 1,
- "bits": [ 2447 ],
- "attributes": {
- }
- },
- "$abc$32408$n3965": {
- "hide_name": 1,
- "bits": [ 198 ],
- "attributes": {
- }
- },
- "$abc$32408$n3965_1": {
- "hide_name": 1,
- "bits": [ 2449 ],
- "attributes": {
- }
- },
- "$abc$32408$n3966": {
- "hide_name": 1,
- "bits": [ 2448 ],
- "attributes": {
- }
- },
- "$abc$32408$n3967": {
- "hide_name": 1,
- "bits": [ 2445 ],
- "attributes": {
- }
- },
- "$abc$32408$n3968": {
- "hide_name": 1,
- "bits": [ 2450 ],
- "attributes": {
- }
- },
- "$abc$32408$n3969": {
- "hide_name": 1,
- "bits": [ 186 ],
- "attributes": {
- }
- },
- "$abc$32408$n3969_1": {
- "hide_name": 1,
- "bits": [ 2451 ],
- "attributes": {
- }
- },
- "$abc$32408$n3970": {
- "hide_name": 1,
- "bits": [ 2452 ],
- "attributes": {
- }
- },
- "$abc$32408$n3971": {
- "hide_name": 1,
- "bits": [ 181 ],
- "attributes": {
- }
- },
- "$abc$32408$n3971_1": {
- "hide_name": 1,
- "bits": [ 2453 ],
- "attributes": {
- }
- },
- "$abc$32408$n3972": {
- "hide_name": 1,
- "bits": [ 2456 ],
- "attributes": {
- }
- },
- "$abc$32408$n3973": {
- "hide_name": 1,
- "bits": [ 2454 ],
- "attributes": {
- }
- },
- "$abc$32408$n3974": {
- "hide_name": 1,
- "bits": [ 2457 ],
- "attributes": {
- }
- },
- "$abc$32408$n3975": {
- "hide_name": 1,
- "bits": [ 2455 ],
- "attributes": {
- }
- },
- "$abc$32408$n3976": {
- "hide_name": 1,
- "bits": [ 2442 ],
- "attributes": {
- }
- },
- "$abc$32408$n3977": {
- "hide_name": 1,
- "bits": [ 2458 ],
- "attributes": {
- }
- },
- "$abc$32408$n3978": {
- "hide_name": 1,
- "bits": [ 2438 ],
- "attributes": {
- }
- },
- "$abc$32408$n3980_1": {
- "hide_name": 1,
- "bits": [ 2459 ],
- "attributes": {
- }
- },
- "$abc$32408$n3981": {
- "hide_name": 1,
- "bits": [ 2460 ],
- "attributes": {
- }
- },
- "$abc$32408$n3982_1": {
- "hide_name": 1,
- "bits": [ 2463 ],
- "attributes": {
- }
- },
- "$abc$32408$n3983": {
- "hide_name": 1,
- "bits": [ 2464 ],
- "attributes": {
- }
- },
- "$abc$32408$n3984_1": {
- "hide_name": 1,
- "bits": [ 2467 ],
- "attributes": {
- }
- },
- "$abc$32408$n3985": {
- "hide_name": 1,
- "bits": [ 2468 ],
- "attributes": {
- }
- },
- "$abc$32408$n3986": {
- "hide_name": 1,
- "bits": [ 2470 ],
- "attributes": {
- }
- },
- "$abc$32408$n3987": {
- "hide_name": 1,
- "bits": [ 2471 ],
- "attributes": {
- }
- },
- "$abc$32408$n3988": {
- "hide_name": 1,
- "bits": [ 2473 ],
- "attributes": {
- }
- },
- "$abc$32408$n3989": {
- "hide_name": 1,
- "bits": [ 2472 ],
- "attributes": {
- }
- },
- "$abc$32408$n3990": {
- "hide_name": 1,
- "bits": [ 2469 ],
- "attributes": {
- }
- },
- "$abc$32408$n3991": {
- "hide_name": 1,
- "bits": [ 2474 ],
- "attributes": {
- }
- },
- "$abc$32408$n3992": {
- "hide_name": 1,
- "bits": [ 2475 ],
- "attributes": {
- }
- },
- "$abc$32408$n3993": {
- "hide_name": 1,
- "bits": [ 2476 ],
- "attributes": {
- }
- },
- "$abc$32408$n3994": {
- "hide_name": 1,
- "bits": [ 2477 ],
- "attributes": {
- }
- },
- "$abc$32408$n3995": {
- "hide_name": 1,
- "bits": [ 2480 ],
- "attributes": {
- }
- },
- "$abc$32408$n3996": {
- "hide_name": 1,
- "bits": [ 2478 ],
- "attributes": {
- }
- },
- "$abc$32408$n3997": {
- "hide_name": 1,
- "bits": [ 2481 ],
- "attributes": {
- }
- },
- "$abc$32408$n3998": {
- "hide_name": 1,
- "bits": [ 2479 ],
- "attributes": {
- }
- },
- "$abc$32408$n3999": {
- "hide_name": 1,
- "bits": [ 2465 ],
- "attributes": {
- }
- },
- "$abc$32408$n4000": {
- "hide_name": 1,
- "bits": [ 2466 ],
- "attributes": {
- }
- },
- "$abc$32408$n4001": {
- "hide_name": 1,
- "bits": [ 2461 ],
- "attributes": {
- }
- },
- "$abc$32408$n4003": {
- "hide_name": 1,
- "bits": [ 2482 ],
- "attributes": {
- }
- },
- "$abc$32408$n4004": {
- "hide_name": 1,
- "bits": [ 2483 ],
- "attributes": {
- }
- },
- "$abc$32408$n4005": {
- "hide_name": 1,
- "bits": [ 2486 ],
- "attributes": {
- }
- },
- "$abc$32408$n4006": {
- "hide_name": 1,
- "bits": [ 2487 ],
- "attributes": {
- }
- },
- "$abc$32408$n4007": {
- "hide_name": 1,
- "bits": [ 2489 ],
- "attributes": {
- }
- },
- "$abc$32408$n4008": {
- "hide_name": 1,
- "bits": [ 2490 ],
- "attributes": {
- }
- },
- "$abc$32408$n4009": {
- "hide_name": 1,
- "bits": [ 2493 ],
- "attributes": {
- }
- },
- "$abc$32408$n4010": {
- "hide_name": 1,
- "bits": [ 2494 ],
- "attributes": {
- }
- },
- "$abc$32408$n4011": {
- "hide_name": 1,
- "bits": [ 2496 ],
- "attributes": {
- }
- },
- "$abc$32408$n4012": {
- "hide_name": 1,
- "bits": [ 2495 ],
- "attributes": {
- }
- },
- "$abc$32408$n4013": {
- "hide_name": 1,
- "bits": [ 2491 ],
- "attributes": {
- }
- },
- "$abc$32408$n4014": {
- "hide_name": 1,
- "bits": [ 2497 ],
- "attributes": {
- }
- },
- "$abc$32408$n4015": {
- "hide_name": 1,
- "bits": [ 2498 ],
- "attributes": {
- }
- },
- "$abc$32408$n4016": {
- "hide_name": 1,
- "bits": [ 2499 ],
- "attributes": {
- }
- },
- "$abc$32408$n4017": {
- "hide_name": 1,
- "bits": [ 2500 ],
- "attributes": {
- }
- },
- "$abc$32408$n4018": {
- "hide_name": 1,
- "bits": [ 2501 ],
- "attributes": {
- }
- },
- "$abc$32408$n4019": {
- "hide_name": 1,
- "bits": [ 2492 ],
- "attributes": {
- }
- },
- "$abc$32408$n4020": {
- "hide_name": 1,
- "bits": [ 2488 ],
- "attributes": {
- }
- },
- "$abc$32408$n4021": {
- "hide_name": 1,
- "bits": [ 2502 ],
- "attributes": {
- }
- },
- "$abc$32408$n4022": {
- "hide_name": 1,
- "bits": [ 2484 ],
- "attributes": {
- }
- },
- "$abc$32408$n4024": {
- "hide_name": 1,
- "bits": [ 2503 ],
- "attributes": {
- }
- },
- "$abc$32408$n4025": {
- "hide_name": 1,
- "bits": [ 2504 ],
- "attributes": {
- }
- },
- "$abc$32408$n4026": {
- "hide_name": 1,
- "bits": [ 2507 ],
- "attributes": {
- }
- },
- "$abc$32408$n4027": {
- "hide_name": 1,
- "bits": [ 2508 ],
- "attributes": {
- }
- },
- "$abc$32408$n4028": {
- "hide_name": 1,
- "bits": [ 2510 ],
- "attributes": {
- }
- },
- "$abc$32408$n4029": {
- "hide_name": 1,
- "bits": [ 2511 ],
- "attributes": {
- }
- },
- "$abc$32408$n4030": {
- "hide_name": 1,
- "bits": [ 2514 ],
- "attributes": {
- }
- },
- "$abc$32408$n4031": {
- "hide_name": 1,
- "bits": [ 2515 ],
- "attributes": {
- }
- },
- "$abc$32408$n4032": {
- "hide_name": 1,
- "bits": [ 2517 ],
- "attributes": {
- }
- },
- "$abc$32408$n4033": {
- "hide_name": 1,
- "bits": [ 2516 ],
- "attributes": {
- }
- },
- "$abc$32408$n4034": {
- "hide_name": 1,
- "bits": [ 2512 ],
- "attributes": {
- }
- },
- "$abc$32408$n4035": {
- "hide_name": 1,
- "bits": [ 2518 ],
- "attributes": {
- }
- },
- "$abc$32408$n4036": {
- "hide_name": 1,
- "bits": [ 2519 ],
- "attributes": {
- }
- },
- "$abc$32408$n4037": {
- "hide_name": 1,
- "bits": [ 2520 ],
- "attributes": {
- }
- },
- "$abc$32408$n4038": {
- "hide_name": 1,
- "bits": [ 2521 ],
- "attributes": {
- }
- },
- "$abc$32408$n4039": {
- "hide_name": 1,
- "bits": [ 2513 ],
- "attributes": {
- }
- },
- "$abc$32408$n4040": {
- "hide_name": 1,
- "bits": [ 2509 ],
- "attributes": {
- }
- },
- "$abc$32408$n4041": {
- "hide_name": 1,
- "bits": [ 2522 ],
- "attributes": {
- }
- },
- "$abc$32408$n4042": {
- "hide_name": 1,
- "bits": [ 2505 ],
- "attributes": {
- }
- },
- "$abc$32408$n4044": {
- "hide_name": 1,
- "bits": [ 2523 ],
- "attributes": {
- }
- },
- "$abc$32408$n4045": {
- "hide_name": 1,
- "bits": [ 2524 ],
- "attributes": {
- }
- },
- "$abc$32408$n4046": {
- "hide_name": 1,
- "bits": [ 2527 ],
- "attributes": {
- }
- },
- "$abc$32408$n4047": {
- "hide_name": 1,
- "bits": [ 2528 ],
- "attributes": {
- }
- },
- "$abc$32408$n4048_1": {
- "hide_name": 1,
- "bits": [ 2531 ],
- "attributes": {
- }
- },
- "$abc$32408$n4049": {
- "hide_name": 1,
- "bits": [ 2532 ],
- "attributes": {
- }
- },
- "$abc$32408$n4050": {
- "hide_name": 1,
- "bits": [ 2533 ],
- "attributes": {
- }
- },
- "$abc$32408$n4051": {
- "hide_name": 1,
- "bits": [ 2534 ],
- "attributes": {
- }
- },
- "$abc$32408$n4052": {
- "hide_name": 1,
- "bits": [ 2536 ],
- "attributes": {
- }
- },
- "$abc$32408$n4053": {
- "hide_name": 1,
- "bits": [ 2535 ],
- "attributes": {
- }
- },
- "$abc$32408$n4054": {
- "hide_name": 1,
- "bits": [ 2529 ],
- "attributes": {
- }
- },
- "$abc$32408$n4055": {
- "hide_name": 1,
- "bits": [ 2537 ],
- "attributes": {
- }
- },
- "$abc$32408$n4056": {
- "hide_name": 1,
- "bits": [ 2540 ],
- "attributes": {
- }
- },
- "$abc$32408$n4057": {
- "hide_name": 1,
- "bits": [ 2541 ],
- "attributes": {
- }
- },
- "$abc$32408$n4058": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$32408$n4058_1": {
- "hide_name": 1,
- "bits": [ 2538 ],
- "attributes": {
- }
- },
- "$abc$32408$n4059": {
- "hide_name": 1,
- "bits": [ 2539 ],
- "attributes": {
- }
- },
- "$abc$32408$n4060": {
- "hide_name": 1,
- "bits": [ 254 ],
- "attributes": {
- }
- },
- "$abc$32408$n4060_1": {
- "hide_name": 1,
- "bits": [ 2530 ],
- "attributes": {
- }
- },
- "$abc$32408$n4061": {
- "hide_name": 1,
- "bits": [ 251 ],
- "attributes": {
- }
- },
- "$abc$32408$n4061_1": {
- "hide_name": 1,
- "bits": [ 2542 ],
- "attributes": {
- }
- },
- "$abc$32408$n4062_1": {
- "hide_name": 1,
- "bits": [ 2525 ],
- "attributes": {
- }
- },
- "$abc$32408$n4064": {
- "hide_name": 1,
- "bits": [ 2543 ],
- "attributes": {
- }
- },
- "$abc$32408$n4065_1": {
- "hide_name": 1,
- "bits": [ 2547 ],
- "attributes": {
- }
- },
- "$abc$32408$n4066_1": {
- "hide_name": 1,
- "bits": [ 2548 ],
- "attributes": {
- }
- },
- "$abc$32408$n4067": {
- "hide_name": 1,
- "bits": [ 2550 ],
- "attributes": {
- }
- },
- "$abc$32408$n4068": {
- "hide_name": 1,
- "bits": [ 2553 ],
- "attributes": {
- }
- },
- "$abc$32408$n4069": {
- "hide_name": 1,
- "bits": [ 2554 ],
- "attributes": {
- }
- },
- "$abc$32408$n4070_1": {
- "hide_name": 1,
- "bits": [ 2556 ],
- "attributes": {
- }
- },
- "$abc$32408$n4071": {
- "hide_name": 1,
- "bits": [ 2557 ],
- "attributes": {
- }
- },
- "$abc$32408$n4072_1": {
- "hide_name": 1,
- "bits": [ 2555 ],
- "attributes": {
- }
- },
- "$abc$32408$n4073": {
- "hide_name": 1,
- "bits": [ 2551 ],
- "attributes": {
- }
- },
- "$abc$32408$n4074_1": {
- "hide_name": 1,
- "bits": [ 2558 ],
- "attributes": {
- }
- },
- "$abc$32408$n4075": {
- "hide_name": 1,
- "bits": [ 2559 ],
- "attributes": {
- }
- },
- "$abc$32408$n4076_1": {
- "hide_name": 1,
- "bits": [ 2552 ],
- "attributes": {
- }
- },
- "$abc$32408$n4077": {
- "hide_name": 1,
- "bits": [ 2549 ],
- "attributes": {
- }
- },
- "$abc$32408$n4078_1": {
- "hide_name": 1,
- "bits": [ 2560 ],
- "attributes": {
- }
- },
- "$abc$32408$n4079": {
- "hide_name": 1,
- "bits": [ 2544 ],
- "attributes": {
- }
- },
- "$abc$32408$n4080_1": {
- "hide_name": 1,
- "bits": [ 2545 ],
- "attributes": {
- }
- },
- "$abc$32408$n4082_1": {
- "hide_name": 1,
- "bits": [ 2561 ],
- "attributes": {
- }
- },
- "$abc$32408$n4083": {
- "hide_name": 1,
- "bits": [ 2562 ],
- "attributes": {
- }
- },
- "$abc$32408$n4084_1": {
- "hide_name": 1,
- "bits": [ 2565 ],
- "attributes": {
- }
- },
- "$abc$32408$n4085": {
- "hide_name": 1,
- "bits": [ 2566 ],
- "attributes": {
- }
- },
- "$abc$32408$n4086_1": {
- "hide_name": 1,
- "bits": [ 2568 ],
- "attributes": {
- }
- },
- "$abc$32408$n4087": {
- "hide_name": 1,
- "bits": [ 2569 ],
- "attributes": {
- }
- },
- "$abc$32408$n4088_1": {
- "hide_name": 1,
- "bits": [ 2571 ],
- "attributes": {
- }
- },
- "$abc$32408$n4089": {
- "hide_name": 1,
- "bits": [ 2570 ],
- "attributes": {
- }
- },
- "$abc$32408$n4090_1": {
- "hide_name": 1,
- "bits": [ 2572 ],
- "attributes": {
- }
- },
- "$abc$32408$n4091": {
- "hide_name": 1,
- "bits": [ 2573 ],
- "attributes": {
- }
- },
- "$abc$32408$n4092_1": {
- "hide_name": 1,
- "bits": [ 2574 ],
- "attributes": {
- }
- },
- "$abc$32408$n4093": {
- "hide_name": 1,
- "bits": [ 2567 ],
- "attributes": {
- }
- },
- "$abc$32408$n4094_1": {
- "hide_name": 1,
- "bits": [ 2575 ],
- "attributes": {
- }
- },
- "$abc$32408$n4095": {
- "hide_name": 1,
- "bits": [ 2563 ],
- "attributes": {
- }
- },
- "$abc$32408$n4097": {
- "hide_name": 1,
- "bits": [ 2576 ],
- "attributes": {
- }
- },
- "$abc$32408$n4098_1": {
- "hide_name": 1,
- "bits": [ 2577 ],
- "attributes": {
- }
- },
- "$abc$32408$n4099": {
- "hide_name": 1,
- "bits": [ 2580 ],
- "attributes": {
- }
- },
- "$abc$32408$n4100_1": {
- "hide_name": 1,
- "bits": [ 2581 ],
- "attributes": {
- }
- },
- "$abc$32408$n4101": {
- "hide_name": 1,
- "bits": [ 2584 ],
- "attributes": {
- }
- },
- "$abc$32408$n4102_1": {
- "hide_name": 1,
- "bits": [ 2585 ],
- "attributes": {
- }
- },
- "$abc$32408$n4103": {
- "hide_name": 1,
- "bits": [ 2587 ],
- "attributes": {
- }
- },
- "$abc$32408$n4104_1": {
- "hide_name": 1,
- "bits": [ 2588 ],
- "attributes": {
- }
- },
- "$abc$32408$n4105": {
- "hide_name": 1,
- "bits": [ 2586 ],
- "attributes": {
- }
- },
- "$abc$32408$n4106_1": {
- "hide_name": 1,
- "bits": [ 2582 ],
- "attributes": {
- }
- },
- "$abc$32408$n4107": {
- "hide_name": 1,
- "bits": [ 2583 ],
- "attributes": {
- }
- },
- "$abc$32408$n4108_1": {
- "hide_name": 1,
- "bits": [ 2578 ],
- "attributes": {
- }
- },
- "$abc$32408$n4110_1": {
- "hide_name": 1,
- "bits": [ 2589 ],
- "attributes": {
- }
- },
- "$abc$32408$n4111": {
- "hide_name": 1,
- "bits": [ 2590 ],
- "attributes": {
- }
- },
- "$abc$32408$n4112_1": {
- "hide_name": 1,
- "bits": [ 2593 ],
- "attributes": {
- }
- },
- "$abc$32408$n4113": {
- "hide_name": 1,
- "bits": [ 2594 ],
- "attributes": {
- }
- },
- "$abc$32408$n4114_1": {
- "hide_name": 1,
- "bits": [ 2596 ],
- "attributes": {
- }
- },
- "$abc$32408$n4115": {
- "hide_name": 1,
- "bits": [ 2595 ],
- "attributes": {
- }
- },
- "$abc$32408$n4116_1": {
- "hide_name": 1,
- "bits": [ 2591 ],
- "attributes": {
- }
- },
- "$abc$32408$n4118_1": {
- "hide_name": 1,
- "bits": [ 2597 ],
- "attributes": {
- }
- },
- "$abc$32408$n4119": {
- "hide_name": 1,
- "bits": [ 2598 ],
- "attributes": {
- }
- },
- "$abc$32408$n4120_1": {
- "hide_name": 1,
- "bits": [ 2601 ],
- "attributes": {
- }
- },
- "$abc$32408$n4121": {
- "hide_name": 1,
- "bits": [ 2602 ],
- "attributes": {
- }
- },
- "$abc$32408$n4122_1": {
- "hide_name": 1,
- "bits": [ 2603 ],
- "attributes": {
- }
- },
- "$abc$32408$n4123_1": {
- "hide_name": 1,
- "bits": [ 2599 ],
- "attributes": {
- }
- },
- "$abc$32408$n4125_1": {
- "hide_name": 1,
- "bits": [ 2604 ],
- "attributes": {
- }
- },
- "$abc$32408$n4126_1": {
- "hide_name": 1,
- "bits": [ 2605 ],
- "attributes": {
- }
- },
- "$abc$32408$n4127_1": {
- "hide_name": 1,
- "bits": [ 2607 ],
- "attributes": {
- }
- },
- "$abc$32408$n4128_1": {
- "hide_name": 1,
- "bits": [ 2608 ],
- "attributes": {
- }
- },
- "$abc$32408$n4129_1": {
- "hide_name": 1,
- "bits": [ 2609 ],
- "attributes": {
- }
- },
- "$abc$32408$n4131_1": {
- "hide_name": 1,
- "bits": [ 2610 ],
- "attributes": {
- }
- },
- "$abc$32408$n4132_1": {
- "hide_name": 1,
- "bits": [ 2611 ],
- "attributes": {
- }
- },
- "$abc$32408$n4133_1": {
- "hide_name": 1,
- "bits": [ 2613 ],
- "attributes": {
- }
- },
- "$abc$32408$n4134_1": {
- "hide_name": 1,
- "bits": [ 2614 ],
- "attributes": {
- }
- },
- "$abc$32408$n4135_1": {
- "hide_name": 1,
- "bits": [ 2615 ],
- "attributes": {
- }
- },
- "$abc$32408$n4150": {
- "hide_name": 1,
- "bits": [ 570 ],
- "attributes": {
- }
- },
- "$abc$32408$n4157": {
- "hide_name": 1,
- "bits": [ 580 ],
- "attributes": {
- }
- },
- "$abc$32408$n4160": {
- "hide_name": 1,
- "bits": [ 563 ],
- "attributes": {
- }
- },
- "$abc$32408$n4163": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$32408$n4166": {
- "hide_name": 1,
- "bits": [ 556 ],
- "attributes": {
- }
- },
- "$abc$32408$n4169": {
- "hide_name": 1,
- "bits": [ 555 ],
- "attributes": {
- }
- },
- "$abc$32408$n4172": {
- "hide_name": 1,
- "bits": [ 571 ],
- "attributes": {
- }
- },
- "$abc$32408$n4175": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$32408$n4178": {
- "hide_name": 1,
- "bits": [ 542 ],
- "attributes": {
- }
- },
- "$abc$32408$n4181": {
- "hide_name": 1,
- "bits": [ 586 ],
- "attributes": {
- }
- },
- "$abc$32408$n4184": {
- "hide_name": 1,
- "bits": [ 540 ],
- "attributes": {
- }
- },
- "$abc$32408$n4187": {
- "hide_name": 1,
- "bits": [ 554 ],
- "attributes": {
- }
- },
- "$abc$32408$n4190": {
- "hide_name": 1,
- "bits": [ 588 ],
- "attributes": {
- }
- },
- "$abc$32408$n4193": {
- "hide_name": 1,
- "bits": [ 581 ],
- "attributes": {
- }
- },
- "$abc$32408$n4196": {
- "hide_name": 1,
- "bits": [ 541 ],
- "attributes": {
- }
- },
- "$abc$32408$n4199": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$32408$n4202": {
- "hide_name": 1,
- "bits": [ 578 ],
- "attributes": {
- }
- },
- "$abc$32408$n4205": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$32408$n4208": {
- "hide_name": 1,
- "bits": [ 545 ],
- "attributes": {
- }
- },
- "$abc$32408$n4211": {
- "hide_name": 1,
- "bits": [ 565 ],
- "attributes": {
- }
- },
- "$abc$32408$n4214": {
- "hide_name": 1,
- "bits": [ 562 ],
- "attributes": {
- }
- },
- "$abc$32408$n4217": {
- "hide_name": 1,
- "bits": [ 572 ],
- "attributes": {
- }
- },
- "$abc$32408$n4220": {
- "hide_name": 1,
- "bits": [ 497 ],
- "attributes": {
- }
- },
- "$abc$32408$n4220_1": {
- "hide_name": 1,
- "bits": [ 2696 ],
- "attributes": {
- }
- },
- "$abc$32408$n4223": {
- "hide_name": 1,
- "bits": [ 543 ],
- "attributes": {
- }
- },
- "$abc$32408$n4224_1": {
- "hide_name": 1,
- "bits": [ 2697 ],
- "attributes": {
- }
- },
- "$abc$32408$n4226": {
- "hide_name": 1,
- "bits": [ 544 ],
- "attributes": {
- }
- },
- "$abc$32408$n4229": {
- "hide_name": 1,
- "bits": [ 587 ],
- "attributes": {
- }
- },
- "$abc$32408$n4232": {
- "hide_name": 1,
- "bits": [ 564 ],
- "attributes": {
- }
- },
- "$abc$32408$n4232_1": {
- "hide_name": 1,
- "bits": [ 2704 ],
- "attributes": {
- }
- },
- "$abc$32408$n4233_1": {
- "hide_name": 1,
- "bits": [ 2705 ],
- "attributes": {
- }
- },
- "$abc$32408$n4236": {
- "hide_name": 1,
- "bits": [ 1037 ],
- "attributes": {
- }
- },
- "$abc$32408$n4238": {
- "hide_name": 1,
- "bits": [ 2708 ],
- "attributes": {
- }
- },
- "$abc$32408$n4239_1": {
- "hide_name": 1,
- "bits": [ 2709 ],
- "attributes": {
- }
- },
- "$abc$32408$n4241": {
- "hide_name": 1,
- "bits": [ 2711 ],
- "attributes": {
- }
- },
- "$abc$32408$n4242_1": {
- "hide_name": 1,
- "bits": [ 2712 ],
- "attributes": {
- }
- },
- "$abc$32408$n4243": {
- "hide_name": 1,
- "bits": [ 1060 ],
- "attributes": {
- }
- },
- "$abc$32408$n4246": {
- "hide_name": 1,
- "bits": [ 1067 ],
- "attributes": {
- }
- },
- "$abc$32408$n4247": {
- "hide_name": 1,
- "bits": [ 2715 ],
- "attributes": {
- }
- },
- "$abc$32408$n4248_1": {
- "hide_name": 1,
- "bits": [ 2716 ],
- "attributes": {
- }
- },
- "$abc$32408$n4249": {
- "hide_name": 1,
- "bits": [ 1073 ],
- "attributes": {
- }
- },
- "$abc$32408$n4252": {
- "hide_name": 1,
- "bits": [ 1080 ],
- "attributes": {
- }
- },
- "$abc$32408$n4255": {
- "hide_name": 1,
- "bits": [ 1087 ],
- "attributes": {
- }
- },
- "$abc$32408$n4258": {
- "hide_name": 1,
- "bits": [ 1094 ],
- "attributes": {
- }
- },
- "$abc$32408$n4261": {
- "hide_name": 1,
- "bits": [ 1102 ],
- "attributes": {
- }
- },
- "$abc$32408$n4261_1": {
- "hide_name": 1,
- "bits": [ 2725 ],
- "attributes": {
- }
- },
- "$abc$32408$n4262": {
- "hide_name": 1,
- "bits": [ 2729 ],
- "attributes": {
- }
- },
- "$abc$32408$n4263_1": {
- "hide_name": 1,
- "bits": [ 2731 ],
- "attributes": {
- }
- },
- "$abc$32408$n4264": {
- "hide_name": 1,
- "bits": [ 1108 ],
- "attributes": {
- }
- },
- "$abc$32408$n4264_1": {
- "hide_name": 1,
- "bits": [ 2732 ],
- "attributes": {
- }
- },
- "$abc$32408$n4265": {
- "hide_name": 1,
- "bits": [ 2733 ],
- "attributes": {
- }
- },
- "$abc$32408$n4266_1": {
- "hide_name": 1,
- "bits": [ 2734 ],
- "attributes": {
- }
- },
- "$abc$32408$n4267": {
- "hide_name": 1,
- "bits": [ 1115 ],
- "attributes": {
- }
- },
- "$abc$32408$n4267_1": {
- "hide_name": 1,
- "bits": [ 2737 ],
- "attributes": {
- }
- },
- "$abc$32408$n4268": {
- "hide_name": 1,
- "bits": [ 2735 ],
- "attributes": {
- }
- },
- "$abc$32408$n4269_1": {
- "hide_name": 1,
- "bits": [ 2738 ],
- "attributes": {
- }
- },
- "$abc$32408$n4270": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$32408$n4270_1": {
- "hide_name": 1,
- "bits": [ 2736 ],
- "attributes": {
- }
- },
- "$abc$32408$n4271": {
- "hide_name": 1,
- "bits": [ 2730 ],
- "attributes": {
- }
- },
- "$abc$32408$n4272_1": {
- "hide_name": 1,
- "bits": [ 2740 ],
- "attributes": {
- }
- },
- "$abc$32408$n4273": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$32408$n4273_1": {
- "hide_name": 1,
- "bits": [ 2741 ],
- "attributes": {
- }
- },
- "$abc$32408$n4274": {
- "hide_name": 1,
- "bits": [ 2726 ],
- "attributes": {
- }
- },
- "$abc$32408$n4275_1": {
- "hide_name": 1,
- "bits": [ 2727 ],
- "attributes": {
- }
- },
- "$abc$32408$n4276": {
- "hide_name": 1,
- "bits": [ 1137 ],
- "attributes": {
- }
- },
- "$abc$32408$n4277": {
- "hide_name": 1,
- "bits": [ 2742 ],
- "attributes": {
- }
- },
- "$abc$32408$n4278_1": {
- "hide_name": 1,
- "bits": [ 2743 ],
- "attributes": {
- }
- },
- "$abc$32408$n4279": {
- "hide_name": 1,
- "bits": [ 1143 ],
- "attributes": {
- }
- },
- "$abc$32408$n4279_1": {
- "hide_name": 1,
- "bits": [ 2746 ],
- "attributes": {
- }
- },
- "$abc$32408$n4280": {
- "hide_name": 1,
- "bits": [ 2747 ],
- "attributes": {
- }
- },
- "$abc$32408$n4281_1": {
- "hide_name": 1,
- "bits": [ 2749 ],
- "attributes": {
- }
- },
- "$abc$32408$n4282": {
- "hide_name": 1,
- "bits": [ 1150 ],
- "attributes": {
- }
- },
- "$abc$32408$n4282_1": {
- "hide_name": 1,
- "bits": [ 2751 ],
- "attributes": {
- }
- },
- "$abc$32408$n4283": {
- "hide_name": 1,
- "bits": [ 2752 ],
- "attributes": {
- }
- },
- "$abc$32408$n4284_1": {
- "hide_name": 1,
- "bits": [ 2753 ],
- "attributes": {
- }
- },
- "$abc$32408$n4285": {
- "hide_name": 1,
- "bits": [ 1157 ],
- "attributes": {
- }
- },
- "$abc$32408$n4285_1": {
- "hide_name": 1,
- "bits": [ 2756 ],
- "attributes": {
- }
- },
- "$abc$32408$n4286": {
- "hide_name": 1,
- "bits": [ 2754 ],
- "attributes": {
- }
- },
- "$abc$32408$n4287_1": {
- "hide_name": 1,
- "bits": [ 2757 ],
- "attributes": {
- }
- },
- "$abc$32408$n4288": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$32408$n4288_1": {
- "hide_name": 1,
- "bits": [ 2755 ],
- "attributes": {
- }
- },
- "$abc$32408$n4289": {
- "hide_name": 1,
- "bits": [ 2750 ],
- "attributes": {
- }
- },
- "$abc$32408$n4290_1": {
- "hide_name": 1,
- "bits": [ 2748 ],
- "attributes": {
- }
- },
- "$abc$32408$n4291": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$32408$n4291_1": {
- "hide_name": 1,
- "bits": [ 2759 ],
- "attributes": {
- }
- },
- "$abc$32408$n4292": {
- "hide_name": 1,
- "bits": [ 2760 ],
- "attributes": {
- }
- },
- "$abc$32408$n4293_1": {
- "hide_name": 1,
- "bits": [ 2744 ],
- "attributes": {
- }
- },
- "$abc$32408$n4294": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$32408$n4295": {
- "hide_name": 1,
- "bits": [ 2761 ],
- "attributes": {
- }
- },
- "$abc$32408$n4296_1": {
- "hide_name": 1,
- "bits": [ 2762 ],
- "attributes": {
- }
- },
- "$abc$32408$n4297": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$32408$n4297_1": {
- "hide_name": 1,
- "bits": [ 2765 ],
- "attributes": {
- }
- },
- "$abc$32408$n4298": {
- "hide_name": 1,
- "bits": [ 2766 ],
- "attributes": {
- }
- },
- "$abc$32408$n4299_1": {
- "hide_name": 1,
- "bits": [ 2769 ],
- "attributes": {
- }
- },
- "$abc$32408$n4300": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$32408$n4300_1": {
- "hide_name": 1,
- "bits": [ 2771 ],
- "attributes": {
- }
- },
- "$abc$32408$n4301": {
- "hide_name": 1,
- "bits": [ 2772 ],
- "attributes": {
- }
- },
- "$abc$32408$n4302_1": {
- "hide_name": 1,
- "bits": [ 2773 ],
- "attributes": {
- }
- },
- "$abc$32408$n4303": {
- "hide_name": 1,
- "bits": [ 1200 ],
- "attributes": {
- }
- },
- "$abc$32408$n4303_1": {
- "hide_name": 1,
- "bits": [ 2776 ],
- "attributes": {
- }
- },
- "$abc$32408$n4304": {
- "hide_name": 1,
- "bits": [ 2774 ],
- "attributes": {
- }
- },
- "$abc$32408$n4305_1": {
- "hide_name": 1,
- "bits": [ 2777 ],
- "attributes": {
- }
- },
- "$abc$32408$n4306": {
- "hide_name": 1,
- "bits": [ 1206 ],
- "attributes": {
- }
- },
- "$abc$32408$n4306_1": {
- "hide_name": 1,
- "bits": [ 2775 ],
- "attributes": {
- }
- },
- "$abc$32408$n4307": {
- "hide_name": 1,
- "bits": [ 2770 ],
- "attributes": {
- }
- },
- "$abc$32408$n4308_1": {
- "hide_name": 1,
- "bits": [ 2779 ],
- "attributes": {
- }
- },
- "$abc$32408$n4309": {
- "hide_name": 1,
- "bits": [ 1213 ],
- "attributes": {
- }
- },
- "$abc$32408$n4309_1": {
- "hide_name": 1,
- "bits": [ 2780 ],
- "attributes": {
- }
- },
- "$abc$32408$n4310": {
- "hide_name": 1,
- "bits": [ 2767 ],
- "attributes": {
- }
- },
- "$abc$32408$n4311_1": {
- "hide_name": 1,
- "bits": [ 2768 ],
- "attributes": {
- }
- },
- "$abc$32408$n4312": {
- "hide_name": 1,
- "bits": [ 1221 ],
- "attributes": {
- }
- },
- "$abc$32408$n4312_1": {
- "hide_name": 1,
- "bits": [ 2763 ],
- "attributes": {
- }
- },
- "$abc$32408$n4314_1": {
- "hide_name": 1,
- "bits": [ 2781 ],
- "attributes": {
- }
- },
- "$abc$32408$n4315": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$32408$n4315_1": {
- "hide_name": 1,
- "bits": [ 2782 ],
- "attributes": {
- }
- },
- "$abc$32408$n4316": {
- "hide_name": 1,
- "bits": [ 2785 ],
- "attributes": {
- }
- },
- "$abc$32408$n4317_1": {
- "hide_name": 1,
- "bits": [ 2786 ],
- "attributes": {
- }
- },
- "$abc$32408$n4318": {
- "hide_name": 1,
- "bits": [ 1234 ],
- "attributes": {
- }
- },
- "$abc$32408$n4318_1": {
- "hide_name": 1,
- "bits": [ 2789 ],
- "attributes": {
- }
- },
- "$abc$32408$n4319": {
- "hide_name": 1,
- "bits": [ 2791 ],
- "attributes": {
- }
- },
- "$abc$32408$n4320": {
- "hide_name": 1,
- "bits": [ 1042 ],
- "attributes": {
- }
- },
- "$abc$32408$n4320_1": {
- "hide_name": 1,
- "bits": [ 2793 ],
- "attributes": {
- }
- },
- "$abc$32408$n4321": {
- "hide_name": 1,
- "bits": [ 2792 ],
- "attributes": {
- }
- },
- "$abc$32408$n4322": {
- "hide_name": 1,
- "bits": [ 2790 ],
- "attributes": {
- }
- },
- "$abc$32408$n4323": {
- "hide_name": 1,
- "bits": [ 2794 ],
- "attributes": {
- }
- },
- "$abc$32408$n4324": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$32408$n4324_1": {
- "hide_name": 1,
- "bits": [ 2795 ],
- "attributes": {
- }
- },
- "$abc$32408$n4325": {
- "hide_name": 1,
- "bits": [ 2796 ],
- "attributes": {
- }
- },
- "$abc$32408$n4326": {
- "hide_name": 1,
- "bits": [ 1066 ],
- "attributes": {
- }
- },
- "$abc$32408$n4326_1": {
- "hide_name": 1,
- "bits": [ 2797 ],
- "attributes": {
- }
- },
- "$abc$32408$n4327": {
- "hide_name": 1,
- "bits": [ 2800 ],
- "attributes": {
- }
- },
- "$abc$32408$n4328": {
- "hide_name": 1,
- "bits": [ 1074 ],
- "attributes": {
- }
- },
- "$abc$32408$n4328_1": {
- "hide_name": 1,
- "bits": [ 2798 ],
- "attributes": {
- }
- },
- "$abc$32408$n4329": {
- "hide_name": 1,
- "bits": [ 2801 ],
- "attributes": {
- }
- },
- "$abc$32408$n4330": {
- "hide_name": 1,
- "bits": [ 1081 ],
- "attributes": {
- }
- },
- "$abc$32408$n4330_1": {
- "hide_name": 1,
- "bits": [ 2799 ],
- "attributes": {
- }
- },
- "$abc$32408$n4331": {
- "hide_name": 1,
- "bits": [ 2787 ],
- "attributes": {
- }
- },
- "$abc$32408$n4332": {
- "hide_name": 1,
- "bits": [ 1088 ],
- "attributes": {
- }
- },
- "$abc$32408$n4332_1": {
- "hide_name": 1,
- "bits": [ 2788 ],
- "attributes": {
- }
- },
- "$abc$32408$n4333": {
- "hide_name": 1,
- "bits": [ 2783 ],
- "attributes": {
- }
- },
- "$abc$32408$n4334": {
- "hide_name": 1,
- "bits": [ 1095 ],
- "attributes": {
- }
- },
- "$abc$32408$n4335": {
- "hide_name": 1,
- "bits": [ 2803 ],
- "attributes": {
- }
- },
- "$abc$32408$n4336": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$32408$n4336_1": {
- "hide_name": 1,
- "bits": [ 2807 ],
- "attributes": {
- }
- },
- "$abc$32408$n4337": {
- "hide_name": 1,
- "bits": [ 2810 ],
- "attributes": {
- }
- },
- "$abc$32408$n4338": {
- "hide_name": 1,
- "bits": [ 1109 ],
- "attributes": {
- }
- },
- "$abc$32408$n4338_1": {
- "hide_name": 1,
- "bits": [ 2812 ],
- "attributes": {
- }
- },
- "$abc$32408$n4339": {
- "hide_name": 1,
- "bits": [ 2813 ],
- "attributes": {
- }
- },
- "$abc$32408$n4340": {
- "hide_name": 1,
- "bits": [ 1116 ],
- "attributes": {
- }
- },
- "$abc$32408$n4340_1": {
- "hide_name": 1,
- "bits": [ 2814 ],
- "attributes": {
- }
- },
- "$abc$32408$n4341": {
- "hide_name": 1,
- "bits": [ 2815 ],
- "attributes": {
- }
- },
- "$abc$32408$n4342": {
- "hide_name": 1,
- "bits": [ 1122 ],
- "attributes": {
- }
- },
- "$abc$32408$n4342_1": {
- "hide_name": 1,
- "bits": [ 2818 ],
- "attributes": {
- }
- },
- "$abc$32408$n4343": {
- "hide_name": 1,
- "bits": [ 2816 ],
- "attributes": {
- }
- },
- "$abc$32408$n4344": {
- "hide_name": 1,
- "bits": [ 1130 ],
- "attributes": {
- }
- },
- "$abc$32408$n4344_1": {
- "hide_name": 1,
- "bits": [ 2819 ],
- "attributes": {
- }
- },
- "$abc$32408$n4345": {
- "hide_name": 1,
- "bits": [ 2817 ],
- "attributes": {
- }
- },
- "$abc$32408$n4346": {
- "hide_name": 1,
- "bits": [ 1136 ],
- "attributes": {
- }
- },
- "$abc$32408$n4346_1": {
- "hide_name": 1,
- "bits": [ 2811 ],
- "attributes": {
- }
- },
- "$abc$32408$n4347": {
- "hide_name": 1,
- "bits": [ 2821 ],
- "attributes": {
- }
- },
- "$abc$32408$n4348": {
- "hide_name": 1,
- "bits": [ 1144 ],
- "attributes": {
- }
- },
- "$abc$32408$n4348_1": {
- "hide_name": 1,
- "bits": [ 2823 ],
- "attributes": {
- }
- },
- "$abc$32408$n4349": {
- "hide_name": 1,
- "bits": [ 2822 ],
- "attributes": {
- }
- },
- "$abc$32408$n4350": {
- "hide_name": 1,
- "bits": [ 1151 ],
- "attributes": {
- }
- },
- "$abc$32408$n4350_1": {
- "hide_name": 1,
- "bits": [ 2808 ],
- "attributes": {
- }
- },
- "$abc$32408$n4351": {
- "hide_name": 1,
- "bits": [ 2809 ],
- "attributes": {
- }
- },
- "$abc$32408$n4352": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$32408$n4352_1": {
- "hide_name": 1,
- "bits": [ 2824 ],
- "attributes": {
- }
- },
- "$abc$32408$n4353": {
- "hide_name": 1,
- "bits": [ 2825 ],
- "attributes": {
- }
- },
- "$abc$32408$n4354": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$32408$n4354_1": {
- "hide_name": 1,
- "bits": [ 2804 ],
- "attributes": {
- }
- },
- "$abc$32408$n4355": {
- "hide_name": 1,
- "bits": [ 2805 ],
- "attributes": {
- }
- },
- "$abc$32408$n4356": {
- "hide_name": 1,
- "bits": [ 1172 ],
- "attributes": {
- }
- },
- "$abc$32408$n4357": {
- "hide_name": 1,
- "bits": [ 2826 ],
- "attributes": {
- }
- },
- "$abc$32408$n4358": {
- "hide_name": 1,
- "bits": [ 1178 ],
- "attributes": {
- }
- },
- "$abc$32408$n4358_1": {
- "hide_name": 1,
- "bits": [ 2827 ],
- "attributes": {
- }
- },
- "$abc$32408$n4359": {
- "hide_name": 1,
- "bits": [ 2830 ],
- "attributes": {
- }
- },
- "$abc$32408$n4360": {
- "hide_name": 1,
- "bits": [ 1186 ],
- "attributes": {
- }
- },
- "$abc$32408$n4360_1": {
- "hide_name": 1,
- "bits": [ 2831 ],
- "attributes": {
- }
- },
- "$abc$32408$n4361": {
- "hide_name": 1,
- "bits": [ 2833 ],
- "attributes": {
- }
- },
- "$abc$32408$n4362": {
- "hide_name": 1,
- "bits": [ 1192 ],
- "attributes": {
- }
- },
- "$abc$32408$n4362_1": {
- "hide_name": 1,
- "bits": [ 2835 ],
- "attributes": {
- }
- },
- "$abc$32408$n4363": {
- "hide_name": 1,
- "bits": [ 2836 ],
- "attributes": {
- }
- },
- "$abc$32408$n4364": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$32408$n4364_1": {
- "hide_name": 1,
- "bits": [ 2837 ],
- "attributes": {
- }
- },
- "$abc$32408$n4365": {
- "hide_name": 1,
- "bits": [ 2838 ],
- "attributes": {
- }
- },
- "$abc$32408$n4366": {
- "hide_name": 1,
- "bits": [ 1207 ],
- "attributes": {
- }
- },
- "$abc$32408$n4366_1": {
- "hide_name": 1,
- "bits": [ 2841 ],
- "attributes": {
- }
- },
- "$abc$32408$n4367": {
- "hide_name": 1,
- "bits": [ 2839 ],
- "attributes": {
- }
- },
- "$abc$32408$n4368": {
- "hide_name": 1,
- "bits": [ 1214 ],
- "attributes": {
- }
- },
- "$abc$32408$n4368_1": {
- "hide_name": 1,
- "bits": [ 2842 ],
- "attributes": {
- }
- },
- "$abc$32408$n4369": {
- "hide_name": 1,
- "bits": [ 2840 ],
- "attributes": {
- }
- },
- "$abc$32408$n4370": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$32408$n4370_1": {
- "hide_name": 1,
- "bits": [ 2834 ],
- "attributes": {
- }
- },
- "$abc$32408$n4371": {
- "hide_name": 1,
- "bits": [ 2844 ],
- "attributes": {
- }
- },
- "$abc$32408$n4372": {
- "hide_name": 1,
- "bits": [ 1228 ],
- "attributes": {
- }
- },
- "$abc$32408$n4372_1": {
- "hide_name": 1,
- "bits": [ 2846 ],
- "attributes": {
- }
- },
- "$abc$32408$n4373": {
- "hide_name": 1,
- "bits": [ 2845 ],
- "attributes": {
- }
- },
- "$abc$32408$n4374": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$32408$n4374_1": {
- "hide_name": 1,
- "bits": [ 2832 ],
- "attributes": {
- }
- },
- "$abc$32408$n4375": {
- "hide_name": 1,
- "bits": [ 2847 ],
- "attributes": {
- }
- },
- "$abc$32408$n4376": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$32408$n4376_1": {
- "hide_name": 1,
- "bits": [ 2828 ],
- "attributes": {
- }
- },
- "$abc$32408$n4378": {
- "hide_name": 1,
- "bits": [ 2848 ],
- "attributes": {
- }
- },
- "$abc$32408$n4379": {
- "hide_name": 1,
- "bits": [ 2849 ],
- "attributes": {
- }
- },
- "$abc$32408$n4380": {
- "hide_name": 1,
- "bits": [ 2852 ],
- "attributes": {
- }
- },
- "$abc$32408$n4381_1": {
- "hide_name": 1,
- "bits": [ 2853 ],
- "attributes": {
- }
- },
- "$abc$32408$n4382": {
- "hide_name": 1,
- "bits": [ 2855 ],
- "attributes": {
- }
- },
- "$abc$32408$n4383": {
- "hide_name": 1,
- "bits": [ 2085 ],
- "attributes": {
- }
- },
- "$abc$32408$n4383_1": {
- "hide_name": 1,
- "bits": [ 2857 ],
- "attributes": {
- }
- },
- "$abc$32408$n4384_1": {
- "hide_name": 1,
- "bits": [ 2858 ],
- "attributes": {
- }
- },
- "$abc$32408$n4385": {
- "hide_name": 1,
- "bits": [ 2859 ],
- "attributes": {
- }
- },
- "$abc$32408$n4386": {
- "hide_name": 1,
- "bits": [ 2087 ],
- "attributes": {
- }
- },
- "$abc$32408$n4386_1": {
- "hide_name": 1,
- "bits": [ 2860 ],
- "attributes": {
- }
- },
- "$abc$32408$n4387_1": {
- "hide_name": 1,
- "bits": [ 2863 ],
- "attributes": {
- }
- },
- "$abc$32408$n4388": {
- "hide_name": 1,
- "bits": [ 2861 ],
- "attributes": {
- }
- },
- "$abc$32408$n4389": {
- "hide_name": 1,
- "bits": [ 2089 ],
- "attributes": {
- }
- },
- "$abc$32408$n4389_1": {
- "hide_name": 1,
- "bits": [ 2864 ],
- "attributes": {
- }
- },
- "$abc$32408$n4390_1": {
- "hide_name": 1,
- "bits": [ 2862 ],
- "attributes": {
- }
- },
- "$abc$32408$n4391": {
- "hide_name": 1,
- "bits": [ 2856 ],
- "attributes": {
- }
- },
- "$abc$32408$n4392": {
- "hide_name": 1,
- "bits": [ 2091 ],
- "attributes": {
- }
- },
- "$abc$32408$n4392_1": {
- "hide_name": 1,
- "bits": [ 2866 ],
- "attributes": {
- }
- },
- "$abc$32408$n4393_1": {
- "hide_name": 1,
- "bits": [ 2868 ],
- "attributes": {
- }
- },
- "$abc$32408$n4394": {
- "hide_name": 1,
- "bits": [ 2867 ],
- "attributes": {
- }
- },
- "$abc$32408$n4395": {
- "hide_name": 1,
- "bits": [ 2093 ],
- "attributes": {
- }
- },
- "$abc$32408$n4395_1": {
- "hide_name": 1,
- "bits": [ 2854 ],
- "attributes": {
- }
- },
- "$abc$32408$n4396_1": {
- "hide_name": 1,
- "bits": [ 2869 ],
- "attributes": {
- }
- },
- "$abc$32408$n4397": {
- "hide_name": 1,
- "bits": [ 2850 ],
- "attributes": {
- }
- },
- "$abc$32408$n4398": {
- "hide_name": 1,
- "bits": [ 2095 ],
- "attributes": {
- }
- },
- "$abc$32408$n4399_1": {
- "hide_name": 1,
- "bits": [ 2870 ],
- "attributes": {
- }
- },
- "$abc$32408$n4400": {
- "hide_name": 1,
- "bits": [ 2871 ],
- "attributes": {
- }
- },
- "$abc$32408$n4401": {
- "hide_name": 1,
- "bits": [ 2097 ],
- "attributes": {
- }
- },
- "$abc$32408$n4401_1": {
- "hide_name": 1,
- "bits": [ 2874 ],
- "attributes": {
- }
- },
- "$abc$32408$n4402_1": {
- "hide_name": 1,
- "bits": [ 2875 ],
- "attributes": {
- }
- },
- "$abc$32408$n4403": {
- "hide_name": 1,
- "bits": [ 2877 ],
- "attributes": {
- }
- },
- "$abc$32408$n4404": {
- "hide_name": 1,
- "bits": [ 2099 ],
- "attributes": {
- }
- },
- "$abc$32408$n4404_1": {
- "hide_name": 1,
- "bits": [ 2879 ],
- "attributes": {
- }
- },
- "$abc$32408$n4405_1": {
- "hide_name": 1,
- "bits": [ 2880 ],
- "attributes": {
- }
- },
- "$abc$32408$n4406": {
- "hide_name": 1,
- "bits": [ 2881 ],
- "attributes": {
- }
- },
- "$abc$32408$n4407": {
- "hide_name": 1,
- "bits": [ 2101 ],
- "attributes": {
- }
- },
- "$abc$32408$n4407_1": {
- "hide_name": 1,
- "bits": [ 2882 ],
- "attributes": {
- }
- },
- "$abc$32408$n4408_1": {
- "hide_name": 1,
- "bits": [ 2885 ],
- "attributes": {
- }
- },
- "$abc$32408$n4409": {
- "hide_name": 1,
- "bits": [ 2883 ],
- "attributes": {
- }
- },
- "$abc$32408$n4410": {
- "hide_name": 1,
- "bits": [ 2103 ],
- "attributes": {
- }
- },
- "$abc$32408$n4410_1": {
- "hide_name": 1,
- "bits": [ 2886 ],
- "attributes": {
- }
- },
- "$abc$32408$n4411_1": {
- "hide_name": 1,
- "bits": [ 2884 ],
- "attributes": {
- }
- },
- "$abc$32408$n4412": {
- "hide_name": 1,
- "bits": [ 2878 ],
- "attributes": {
- }
- },
- "$abc$32408$n4413": {
- "hide_name": 1,
- "bits": [ 2105 ],
- "attributes": {
- }
- },
- "$abc$32408$n4413_1": {
- "hide_name": 1,
- "bits": [ 2888 ],
- "attributes": {
- }
- },
- "$abc$32408$n4414_1": {
- "hide_name": 1,
- "bits": [ 2890 ],
- "attributes": {
- }
- },
- "$abc$32408$n4415": {
- "hide_name": 1,
- "bits": [ 2889 ],
- "attributes": {
- }
- },
- "$abc$32408$n4416": {
- "hide_name": 1,
- "bits": [ 2107 ],
- "attributes": {
- }
- },
- "$abc$32408$n4416_1": {
- "hide_name": 1,
- "bits": [ 2876 ],
- "attributes": {
- }
- },
- "$abc$32408$n4417_1": {
- "hide_name": 1,
- "bits": [ 2891 ],
- "attributes": {
- }
- },
- "$abc$32408$n4418": {
- "hide_name": 1,
- "bits": [ 2872 ],
- "attributes": {
- }
- },
- "$abc$32408$n4419": {
- "hide_name": 1,
- "bits": [ 2109 ],
- "attributes": {
- }
- },
- "$abc$32408$n4420_1": {
- "hide_name": 1,
- "bits": [ 2892 ],
- "attributes": {
- }
- },
- "$abc$32408$n4421": {
- "hide_name": 1,
- "bits": [ 2893 ],
- "attributes": {
- }
- },
- "$abc$32408$n4422": {
- "hide_name": 1,
- "bits": [ 2111 ],
- "attributes": {
- }
- },
- "$abc$32408$n4422_1": {
- "hide_name": 1,
- "bits": [ 2896 ],
- "attributes": {
- }
- },
- "$abc$32408$n4423_1": {
- "hide_name": 1,
- "bits": [ 2897 ],
- "attributes": {
- }
- },
- "$abc$32408$n4424": {
- "hide_name": 1,
- "bits": [ 2899 ],
- "attributes": {
- }
- },
- "$abc$32408$n4425": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$32408$n4425_1": {
- "hide_name": 1,
- "bits": [ 2901 ],
- "attributes": {
- }
- },
- "$abc$32408$n4426_1": {
- "hide_name": 1,
- "bits": [ 2902 ],
- "attributes": {
- }
- },
- "$abc$32408$n4427": {
- "hide_name": 1,
- "bits": [ 2905 ],
- "attributes": {
- }
- },
- "$abc$32408$n4428": {
- "hide_name": 1,
- "bits": [ 2903 ],
- "attributes": {
- }
- },
- "$abc$32408$n4429": {
- "hide_name": 1,
- "bits": [ 2904 ],
- "attributes": {
- }
- },
- "$abc$32408$n4430_1": {
- "hide_name": 1,
- "bits": [ 2900 ],
- "attributes": {
- }
- },
- "$abc$32408$n4431": {
- "hide_name": 1,
- "bits": [ 2906 ],
- "attributes": {
- }
- },
- "$abc$32408$n4432": {
- "hide_name": 1,
- "bits": [ 2057 ],
- "attributes": {
- }
- },
- "$abc$32408$n4432_1": {
- "hide_name": 1,
- "bits": [ 2907 ],
- "attributes": {
- }
- },
- "$abc$32408$n4433_1": {
- "hide_name": 1,
- "bits": [ 2908 ],
- "attributes": {
- }
- },
- "$abc$32408$n4434": {
- "hide_name": 1,
- "bits": [ 2909 ],
- "attributes": {
- }
- },
- "$abc$32408$n4435": {
- "hide_name": 1,
- "bits": [ 2059 ],
- "attributes": {
- }
- },
- "$abc$32408$n4435_1": {
- "hide_name": 1,
- "bits": [ 2912 ],
- "attributes": {
- }
- },
- "$abc$32408$n4436_1": {
- "hide_name": 1,
- "bits": [ 2910 ],
- "attributes": {
- }
- },
- "$abc$32408$n4437": {
- "hide_name": 1,
- "bits": [ 2913 ],
- "attributes": {
- }
- },
- "$abc$32408$n4438": {
- "hide_name": 1,
- "bits": [ 2061 ],
- "attributes": {
- }
- },
- "$abc$32408$n4438_1": {
- "hide_name": 1,
- "bits": [ 2911 ],
- "attributes": {
- }
- },
- "$abc$32408$n4439_1": {
- "hide_name": 1,
- "bits": [ 2915 ],
- "attributes": {
- }
- },
- "$abc$32408$n4440": {
- "hide_name": 1,
- "bits": [ 2898 ],
- "attributes": {
- }
- },
- "$abc$32408$n4441": {
- "hide_name": 1,
- "bits": [ 2063 ],
- "attributes": {
- }
- },
- "$abc$32408$n4441_1": {
- "hide_name": 1,
- "bits": [ 2916 ],
- "attributes": {
- }
- },
- "$abc$32408$n4442_1": {
- "hide_name": 1,
- "bits": [ 2894 ],
- "attributes": {
- }
- },
- "$abc$32408$n4444": {
- "hide_name": 1,
- "bits": [ 2065 ],
- "attributes": {
- }
- },
- "$abc$32408$n4444_1": {
- "hide_name": 1,
- "bits": [ 2917 ],
- "attributes": {
- }
- },
- "$abc$32408$n4445_1": {
- "hide_name": 1,
- "bits": [ 2918 ],
- "attributes": {
- }
- },
- "$abc$32408$n4446": {
- "hide_name": 1,
- "bits": [ 2921 ],
- "attributes": {
- }
- },
- "$abc$32408$n4447": {
- "hide_name": 1,
- "bits": [ 2067 ],
- "attributes": {
- }
- },
- "$abc$32408$n4447_1": {
- "hide_name": 1,
- "bits": [ 2922 ],
- "attributes": {
- }
- },
- "$abc$32408$n4448_1": {
- "hide_name": 1,
- "bits": [ 2925 ],
- "attributes": {
- }
- },
- "$abc$32408$n4449": {
- "hide_name": 1,
- "bits": [ 2926 ],
- "attributes": {
- }
- },
- "$abc$32408$n4450": {
- "hide_name": 1,
- "bits": [ 2069 ],
- "attributes": {
- }
- },
- "$abc$32408$n4450_1": {
- "hide_name": 1,
- "bits": [ 2927 ],
- "attributes": {
- }
- },
- "$abc$32408$n4451_1": {
- "hide_name": 1,
- "bits": [ 2928 ],
- "attributes": {
- }
- },
- "$abc$32408$n4452": {
- "hide_name": 1,
- "bits": [ 2929 ],
- "attributes": {
- }
- },
- "$abc$32408$n4453": {
- "hide_name": 1,
- "bits": [ 2071 ],
- "attributes": {
- }
- },
- "$abc$32408$n4453_1": {
- "hide_name": 1,
- "bits": [ 2923 ],
- "attributes": {
- }
- },
- "$abc$32408$n4454_1": {
- "hide_name": 1,
- "bits": [ 2930 ],
- "attributes": {
- }
- },
- "$abc$32408$n4455": {
- "hide_name": 1,
- "bits": [ 2931 ],
- "attributes": {
- }
- },
- "$abc$32408$n4456": {
- "hide_name": 1,
- "bits": [ 2073 ],
- "attributes": {
- }
- },
- "$abc$32408$n4456_1": {
- "hide_name": 1,
- "bits": [ 2932 ],
- "attributes": {
- }
- },
- "$abc$32408$n4457_1": {
- "hide_name": 1,
- "bits": [ 2933 ],
- "attributes": {
- }
- },
- "$abc$32408$n4458": {
- "hide_name": 1,
- "bits": [ 2936 ],
- "attributes": {
- }
- },
- "$abc$32408$n4459": {
- "hide_name": 1,
- "bits": [ 2075 ],
- "attributes": {
- }
- },
- "$abc$32408$n4459_1": {
- "hide_name": 1,
- "bits": [ 2934 ],
- "attributes": {
- }
- },
- "$abc$32408$n4460_1": {
- "hide_name": 1,
- "bits": [ 2937 ],
- "attributes": {
- }
- },
- "$abc$32408$n4461": {
- "hide_name": 1,
- "bits": [ 2935 ],
- "attributes": {
- }
- },
- "$abc$32408$n4462": {
- "hide_name": 1,
- "bits": [ 2077 ],
- "attributes": {
- }
- },
- "$abc$32408$n4462_1": {
- "hide_name": 1,
- "bits": [ 2924 ],
- "attributes": {
- }
- },
- "$abc$32408$n4463_1": {
- "hide_name": 1,
- "bits": [ 2939 ],
- "attributes": {
- }
- },
- "$abc$32408$n4464": {
- "hide_name": 1,
- "bits": [ 2940 ],
- "attributes": {
- }
- },
- "$abc$32408$n4465": {
- "hide_name": 1,
- "bits": [ 2079 ],
- "attributes": {
- }
- },
- "$abc$32408$n4465_1": {
- "hide_name": 1,
- "bits": [ 2919 ],
- "attributes": {
- }
- },
- "$abc$32408$n4467": {
- "hide_name": 1,
- "bits": [ 2941 ],
- "attributes": {
- }
- },
- "$abc$32408$n4468": {
- "hide_name": 1,
- "bits": [ 2081 ],
- "attributes": {
- }
- },
- "$abc$32408$n4468_1": {
- "hide_name": 1,
- "bits": [ 2945 ],
- "attributes": {
- }
- },
- "$abc$32408$n4469_1": {
- "hide_name": 1,
- "bits": [ 2948 ],
- "attributes": {
- }
- },
- "$abc$32408$n4470": {
- "hide_name": 1,
- "bits": [ 2951 ],
- "attributes": {
- }
- },
- "$abc$32408$n4471": {
- "hide_name": 1,
- "bits": [ 2083 ],
- "attributes": {
- }
- },
- "$abc$32408$n4471_1": {
- "hide_name": 1,
- "bits": [ 2952 ],
- "attributes": {
- }
- },
- "$abc$32408$n4472_1": {
- "hide_name": 1,
- "bits": [ 2954 ],
- "attributes": {
- }
- },
- "$abc$32408$n4473": {
- "hide_name": 1,
- "bits": [ 2953 ],
- "attributes": {
- }
- },
- "$abc$32408$n4474": {
- "hide_name": 1,
- "bits": [ 2949 ],
- "attributes": {
- }
- },
- "$abc$32408$n4475": {
- "hide_name": 1,
- "bits": [ 452 ],
- "attributes": {
- }
- },
- "$abc$32408$n4475_1": {
- "hide_name": 1,
- "bits": [ 2950 ],
- "attributes": {
- }
- },
- "$abc$32408$n4476": {
- "hide_name": 1,
- "bits": [ 1969 ],
- "attributes": {
- }
- },
- "$abc$32408$n4476_1": {
- "hide_name": 1,
- "bits": [ 2955 ],
- "attributes": {
- }
- },
- "$abc$32408$n4477": {
- "hide_name": 1,
- "bits": [ 2956 ],
- "attributes": {
- }
- },
- "$abc$32408$n4478": {
- "hide_name": 1,
- "bits": [ 2957 ],
- "attributes": {
- }
- },
- "$abc$32408$n4479": {
- "hide_name": 1,
- "bits": [ 2958 ],
- "attributes": {
- }
- },
- "$abc$32408$n4480": {
- "hide_name": 1,
- "bits": [ 2961 ],
- "attributes": {
- }
- },
- "$abc$32408$n4481": {
- "hide_name": 1,
- "bits": [ 2959 ],
- "attributes": {
- }
- },
- "$abc$32408$n4482": {
- "hide_name": 1,
- "bits": [ 2962 ],
- "attributes": {
- }
- },
- "$abc$32408$n4483": {
- "hide_name": 1,
- "bits": [ 2960 ],
- "attributes": {
- }
- },
- "$abc$32408$n4484": {
- "hide_name": 1,
- "bits": [ 2946 ],
- "attributes": {
- }
- },
- "$abc$32408$n4485": {
- "hide_name": 1,
- "bits": [ 2947 ],
- "attributes": {
- }
- },
- "$abc$32408$n4486": {
- "hide_name": 1,
- "bits": [ 2963 ],
- "attributes": {
- }
- },
- "$abc$32408$n4487": {
- "hide_name": 1,
- "bits": [ 2942 ],
- "attributes": {
- }
- },
- "$abc$32408$n4488": {
- "hide_name": 1,
- "bits": [ 2943 ],
- "attributes": {
- }
- },
- "$abc$32408$n4490": {
- "hide_name": 1,
- "bits": [ 2964 ],
- "attributes": {
- }
- },
- "$abc$32408$n4491": {
- "hide_name": 1,
- "bits": [ 2965 ],
- "attributes": {
- }
- },
- "$abc$32408$n4492": {
- "hide_name": 1,
- "bits": [ 2968 ],
- "attributes": {
- }
- },
- "$abc$32408$n4493": {
- "hide_name": 1,
- "bits": [ 2969 ],
- "attributes": {
- }
- },
- "$abc$32408$n4494": {
- "hide_name": 1,
- "bits": [ 2972 ],
- "attributes": {
- }
- },
- "$abc$32408$n4495": {
- "hide_name": 1,
- "bits": [ 2973 ],
- "attributes": {
- }
- },
- "$abc$32408$n4496": {
- "hide_name": 1,
- "bits": [ 2975 ],
- "attributes": {
- }
- },
- "$abc$32408$n4497": {
- "hide_name": 1,
- "bits": [ 2976 ],
- "attributes": {
- }
- },
- "$abc$32408$n4498": {
- "hide_name": 1,
- "bits": [ 2977 ],
- "attributes": {
- }
- },
- "$abc$32408$n4499": {
- "hide_name": 1,
- "bits": [ 2978 ],
- "attributes": {
- }
- },
- "$abc$32408$n4500": {
- "hide_name": 1,
- "bits": [ 2981 ],
- "attributes": {
- }
- },
- "$abc$32408$n4501": {
- "hide_name": 1,
- "bits": [ 2979 ],
- "attributes": {
- }
- },
- "$abc$32408$n4502": {
- "hide_name": 1,
- "bits": [ 2982 ],
- "attributes": {
- }
- },
- "$abc$32408$n4503": {
- "hide_name": 1,
- "bits": [ 2980 ],
- "attributes": {
- }
- },
- "$abc$32408$n4504": {
- "hide_name": 1,
- "bits": [ 2974 ],
- "attributes": {
- }
- },
- "$abc$32408$n4505": {
- "hide_name": 1,
- "bits": [ 2983 ],
- "attributes": {
- }
- },
- "$abc$32408$n4506": {
- "hide_name": 1,
- "bits": [ 2984 ],
- "attributes": {
- }
- },
- "$abc$32408$n4507": {
- "hide_name": 1,
- "bits": [ 2986 ],
- "attributes": {
- }
- },
- "$abc$32408$n4508": {
- "hide_name": 1,
- "bits": [ 2985 ],
- "attributes": {
- }
- },
- "$abc$32408$n4509": {
- "hide_name": 1,
- "bits": [ 2970 ],
- "attributes": {
- }
- },
- "$abc$32408$n4510": {
- "hide_name": 1,
- "bits": [ 2971 ],
- "attributes": {
- }
- },
- "$abc$32408$n4511": {
- "hide_name": 1,
- "bits": [ 2966 ],
- "attributes": {
- }
- },
- "$abc$32408$n4513": {
- "hide_name": 1,
- "bits": [ 2987 ],
- "attributes": {
- }
- },
- "$abc$32408$n4514": {
- "hide_name": 1,
- "bits": [ 2988 ],
- "attributes": {
- }
- },
- "$abc$32408$n4515_1": {
- "hide_name": 1,
- "bits": [ 2991 ],
- "attributes": {
- }
- },
- "$abc$32408$n4516_1": {
- "hide_name": 1,
- "bits": [ 2992 ],
- "attributes": {
- }
- },
- "$abc$32408$n4517_1": {
- "hide_name": 1,
- "bits": [ 2994 ],
- "attributes": {
- }
- },
- "$abc$32408$n4518_1": {
- "hide_name": 1,
- "bits": [ 2993 ],
- "attributes": {
- }
- },
- "$abc$32408$n4519_1": {
- "hide_name": 1,
- "bits": [ 2995 ],
- "attributes": {
- }
- },
- "$abc$32408$n4520_1": {
- "hide_name": 1,
- "bits": [ 2996 ],
- "attributes": {
- }
- },
- "$abc$32408$n4521_1": {
- "hide_name": 1,
- "bits": [ 2999 ],
- "attributes": {
- }
- },
- "$abc$32408$n4522_1": {
- "hide_name": 1,
- "bits": [ 3000 ],
- "attributes": {
- }
- },
- "$abc$32408$n4523_1": {
- "hide_name": 1,
- "bits": [ 3002 ],
- "attributes": {
- }
- },
- "$abc$32408$n4524_1": {
- "hide_name": 1,
- "bits": [ 3001 ],
- "attributes": {
- }
- },
- "$abc$32408$n4525_1": {
- "hide_name": 1,
- "bits": [ 2997 ],
- "attributes": {
- }
- },
- "$abc$32408$n4526_1": {
- "hide_name": 1,
- "bits": [ 3003 ],
- "attributes": {
- }
- },
- "$abc$32408$n4527_1": {
- "hide_name": 1,
- "bits": [ 3004 ],
- "attributes": {
- }
- },
- "$abc$32408$n4528_1": {
- "hide_name": 1,
- "bits": [ 3005 ],
- "attributes": {
- }
- },
- "$abc$32408$n4529_1": {
- "hide_name": 1,
- "bits": [ 3006 ],
- "attributes": {
- }
- },
- "$abc$32408$n4530_1": {
- "hide_name": 1,
- "bits": [ 3007 ],
- "attributes": {
- }
- },
- "$abc$32408$n4531_1": {
- "hide_name": 1,
- "bits": [ 2998 ],
- "attributes": {
- }
- },
- "$abc$32408$n4532_1": {
- "hide_name": 1,
- "bits": [ 2989 ],
- "attributes": {
- }
- },
- "$abc$32408$n4534": {
- "hide_name": 1,
- "bits": [ 3008 ],
- "attributes": {
- }
- },
- "$abc$32408$n4535_1": {
- "hide_name": 1,
- "bits": [ 3009 ],
- "attributes": {
- }
- },
- "$abc$32408$n4536_1": {
- "hide_name": 1,
- "bits": [ 3010 ],
- "attributes": {
- }
- },
- "$abc$32408$n4537_1": {
- "hide_name": 1,
- "bits": [ 3012 ],
- "attributes": {
- }
- },
- "$abc$32408$n4538_1": {
- "hide_name": 1,
- "bits": [ 3013 ],
- "attributes": {
- }
- },
- "$abc$32408$n4539_1": {
- "hide_name": 1,
- "bits": [ 3015 ],
- "attributes": {
- }
- },
- "$abc$32408$n4540_1": {
- "hide_name": 1,
- "bits": [ 3016 ],
- "attributes": {
- }
- },
- "$abc$32408$n4541_1": {
- "hide_name": 1,
- "bits": [ 3019 ],
- "attributes": {
- }
- },
- "$abc$32408$n4542_1": {
- "hide_name": 1,
- "bits": [ 3020 ],
- "attributes": {
- }
- },
- "$abc$32408$n4543_1": {
- "hide_name": 1,
- "bits": [ 3022 ],
- "attributes": {
- }
- },
- "$abc$32408$n4544_1": {
- "hide_name": 1,
- "bits": [ 3021 ],
- "attributes": {
- }
- },
- "$abc$32408$n4545_1": {
- "hide_name": 1,
- "bits": [ 3017 ],
- "attributes": {
- }
- },
- "$abc$32408$n4546_1": {
- "hide_name": 1,
- "bits": [ 3023 ],
- "attributes": {
- }
- },
- "$abc$32408$n4547_1": {
- "hide_name": 1,
- "bits": [ 3024 ],
- "attributes": {
- }
- },
- "$abc$32408$n4548_1": {
- "hide_name": 1,
- "bits": [ 3025 ],
- "attributes": {
- }
- },
- "$abc$32408$n4549_1": {
- "hide_name": 1,
- "bits": [ 3026 ],
- "attributes": {
- }
- },
- "$abc$32408$n4550_1": {
- "hide_name": 1,
- "bits": [ 3018 ],
- "attributes": {
- }
- },
- "$abc$32408$n4551_1": {
- "hide_name": 1,
- "bits": [ 3014 ],
- "attributes": {
- }
- },
- "$abc$32408$n4552_1": {
- "hide_name": 1,
- "bits": [ 3027 ],
- "attributes": {
- }
- },
- "$abc$32408$n4554": {
- "hide_name": 1,
- "bits": [ 3028 ],
- "attributes": {
- }
- },
- "$abc$32408$n4555": {
- "hide_name": 1,
- "bits": [ 3029 ],
- "attributes": {
- }
- },
- "$abc$32408$n4556": {
- "hide_name": 1,
- "bits": [ 3032 ],
- "attributes": {
- }
- },
- "$abc$32408$n4557": {
- "hide_name": 1,
- "bits": [ 3033 ],
- "attributes": {
- }
- },
- "$abc$32408$n4558": {
- "hide_name": 1,
- "bits": [ 3036 ],
- "attributes": {
- }
- },
- "$abc$32408$n4559": {
- "hide_name": 1,
- "bits": [ 3037 ],
- "attributes": {
- }
- },
- "$abc$32408$n4560": {
- "hide_name": 1,
- "bits": [ 3038 ],
- "attributes": {
- }
- },
- "$abc$32408$n4561": {
- "hide_name": 1,
- "bits": [ 3039 ],
- "attributes": {
- }
- },
- "$abc$32408$n4562": {
- "hide_name": 1,
- "bits": [ 3041 ],
- "attributes": {
- }
- },
- "$abc$32408$n4563": {
- "hide_name": 1,
- "bits": [ 3040 ],
- "attributes": {
- }
- },
- "$abc$32408$n4564": {
- "hide_name": 1,
- "bits": [ 3372 ],
- "attributes": {
- }
- },
- "$abc$32408$n4564_1": {
- "hide_name": 1,
- "bits": [ 3034 ],
- "attributes": {
- }
- },
- "$abc$32408$n4565": {
- "hide_name": 1,
- "bits": [ 3373 ],
- "attributes": {
- }
- },
- "$abc$32408$n4565_1": {
- "hide_name": 1,
- "bits": [ 3042 ],
- "attributes": {
- }
- },
- "$abc$32408$n4566": {
- "hide_name": 1,
- "bits": [ 3374 ],
- "attributes": {
- }
- },
- "$abc$32408$n4566_1": {
- "hide_name": 1,
- "bits": [ 3045 ],
- "attributes": {
- }
- },
- "$abc$32408$n4567_1": {
- "hide_name": 1,
- "bits": [ 3046 ],
- "attributes": {
- }
- },
- "$abc$32408$n4568_1": {
- "hide_name": 1,
- "bits": [ 3043 ],
- "attributes": {
- }
- },
- "$abc$32408$n4569": {
- "hide_name": 1,
- "bits": [ 3376 ],
- "attributes": {
- }
- },
- "$abc$32408$n4569_1": {
- "hide_name": 1,
- "bits": [ 3044 ],
- "attributes": {
- }
- },
- "$abc$32408$n4570": {
- "hide_name": 1,
- "bits": [ 3035 ],
- "attributes": {
- }
- },
- "$abc$32408$n4571": {
- "hide_name": 1,
- "bits": [ 3377 ],
- "attributes": {
- }
- },
- "$abc$32408$n4571_1": {
- "hide_name": 1,
- "bits": [ 3047 ],
- "attributes": {
- }
- },
- "$abc$32408$n4572": {
- "hide_name": 1,
- "bits": [ 3030 ],
- "attributes": {
- }
- },
- "$abc$32408$n4574_1": {
- "hide_name": 1,
- "bits": [ 3048 ],
- "attributes": {
- }
- },
- "$abc$32408$n4575": {
- "hide_name": 1,
- "bits": [ 3378 ],
- "attributes": {
- }
- },
- "$abc$32408$n4575_1": {
- "hide_name": 1,
- "bits": [ 3052 ],
- "attributes": {
- }
- },
- "$abc$32408$n4576_1": {
- "hide_name": 1,
- "bits": [ 3053 ],
- "attributes": {
- }
- },
- "$abc$32408$n4577_1": {
- "hide_name": 1,
- "bits": [ 3055 ],
- "attributes": {
- }
- },
- "$abc$32408$n4578": {
- "hide_name": 1,
- "bits": [ 3380 ],
- "attributes": {
- }
- },
- "$abc$32408$n4578_1": {
- "hide_name": 1,
- "bits": [ 3058 ],
- "attributes": {
- }
- },
- "$abc$32408$n4579": {
- "hide_name": 1,
- "bits": [ 3381 ],
- "attributes": {
- }
- },
- "$abc$32408$n4579_1": {
- "hide_name": 1,
- "bits": [ 3059 ],
- "attributes": {
- }
- },
- "$abc$32408$n4580_1": {
- "hide_name": 1,
- "bits": [ 3061 ],
- "attributes": {
- }
- },
- "$abc$32408$n4581_1": {
- "hide_name": 1,
- "bits": [ 3062 ],
- "attributes": {
- }
- },
- "$abc$32408$n4582_1": {
- "hide_name": 1,
- "bits": [ 3060 ],
- "attributes": {
- }
- },
- "$abc$32408$n4583_1": {
- "hide_name": 1,
- "bits": [ 3056 ],
- "attributes": {
- }
- },
- "$abc$32408$n4584": {
- "hide_name": 1,
- "bits": [ 3384 ],
- "attributes": {
- }
- },
- "$abc$32408$n4584_1": {
- "hide_name": 1,
- "bits": [ 3063 ],
- "attributes": {
- }
- },
- "$abc$32408$n4585_1": {
- "hide_name": 1,
- "bits": [ 3064 ],
- "attributes": {
- }
- },
- "$abc$32408$n4586_1": {
- "hide_name": 1,
- "bits": [ 3057 ],
- "attributes": {
- }
- },
- "$abc$32408$n4587_1": {
- "hide_name": 1,
- "bits": [ 3054 ],
- "attributes": {
- }
- },
- "$abc$32408$n4588_1": {
- "hide_name": 1,
- "bits": [ 3065 ],
- "attributes": {
- }
- },
- "$abc$32408$n4589_1": {
- "hide_name": 1,
- "bits": [ 3049 ],
- "attributes": {
- }
- },
- "$abc$32408$n4590_1": {
- "hide_name": 1,
- "bits": [ 3050 ],
- "attributes": {
- }
- },
- "$abc$32408$n4592": {
- "hide_name": 1,
- "bits": [ 1970 ],
- "attributes": {
- }
- },
- "$abc$32408$n4592_1": {
- "hide_name": 1,
- "bits": [ 3066 ],
- "attributes": {
- }
- },
- "$abc$32408$n4593": {
- "hide_name": 1,
- "bits": [ 3386 ],
- "attributes": {
- }
- },
- "$abc$32408$n4593_1": {
- "hide_name": 1,
- "bits": [ 3067 ],
- "attributes": {
- }
- },
- "$abc$32408$n4594": {
- "hide_name": 1,
- "bits": [ 1905 ],
- "attributes": {
- }
- },
- "$abc$32408$n4594_1": {
- "hide_name": 1,
- "bits": [ 3070 ],
- "attributes": {
- }
- },
- "$abc$32408$n4595": {
- "hide_name": 1,
- "bits": [ 3071 ],
- "attributes": {
- }
- },
- "$abc$32408$n4596": {
- "hide_name": 1,
- "bits": [ 1904 ],
- "attributes": {
- }
- },
- "$abc$32408$n4596_1": {
- "hide_name": 1,
- "bits": [ 3073 ],
- "attributes": {
- }
- },
- "$abc$32408$n4597": {
- "hide_name": 1,
- "bits": [ 315 ],
- "attributes": {
- }
- },
- "$abc$32408$n4597_1": {
- "hide_name": 1,
- "bits": [ 3074 ],
- "attributes": {
- }
- },
- "$abc$32408$n4598": {
- "hide_name": 1,
- "bits": [ 3076 ],
- "attributes": {
- }
- },
- "$abc$32408$n4599": {
- "hide_name": 1,
- "bits": [ 314 ],
- "attributes": {
- }
- },
- "$abc$32408$n4599_1": {
- "hide_name": 1,
- "bits": [ 3075 ],
- "attributes": {
- }
- },
- "$abc$32408$n4600": {
- "hide_name": 1,
- "bits": [ 3077 ],
- "attributes": {
- }
- },
- "$abc$32408$n4601": {
- "hide_name": 1,
- "bits": [ 1973 ],
- "attributes": {
- }
- },
- "$abc$32408$n4601_1": {
- "hide_name": 1,
- "bits": [ 3078 ],
- "attributes": {
- }
- },
- "$abc$32408$n4602": {
- "hide_name": 1,
- "bits": [ 3079 ],
- "attributes": {
- }
- },
- "$abc$32408$n4603": {
- "hide_name": 1,
- "bits": [ 1977 ],
- "attributes": {
- }
- },
- "$abc$32408$n4603_1": {
- "hide_name": 1,
- "bits": [ 3072 ],
- "attributes": {
- }
- },
- "$abc$32408$n4604_1": {
- "hide_name": 1,
- "bits": [ 3080 ],
- "attributes": {
- }
- },
- "$abc$32408$n4605": {
- "hide_name": 1,
- "bits": [ 1047 ],
- "attributes": {
- }
- },
- "$abc$32408$n4605_1": {
- "hide_name": 1,
- "bits": [ 3068 ],
- "attributes": {
- }
- },
- "$abc$32408$n4607": {
- "hide_name": 1,
- "bits": [ 1046 ],
- "attributes": {
- }
- },
- "$abc$32408$n4607_1": {
- "hide_name": 1,
- "bits": [ 3081 ],
- "attributes": {
- }
- },
- "$abc$32408$n4608": {
- "hide_name": 1,
- "bits": [ 3388 ],
- "attributes": {
- }
- },
- "$abc$32408$n4608_1": {
- "hide_name": 1,
- "bits": [ 3082 ],
- "attributes": {
- }
- },
- "$abc$32408$n4609": {
- "hide_name": 1,
- "bits": [ 3085 ],
- "attributes": {
- }
- },
- "$abc$32408$n4610": {
- "hide_name": 1,
- "bits": [ 1052 ],
- "attributes": {
- }
- },
- "$abc$32408$n4610_1": {
- "hide_name": 1,
- "bits": [ 3086 ],
- "attributes": {
- }
- },
- "$abc$32408$n4611": {
- "hide_name": 1,
- "bits": [ 1051 ],
- "attributes": {
- }
- },
- "$abc$32408$n4611_1": {
- "hide_name": 1,
- "bits": [ 3089 ],
- "attributes": {
- }
- },
- "$abc$32408$n4612": {
- "hide_name": 1,
- "bits": [ 3090 ],
- "attributes": {
- }
- },
- "$abc$32408$n4613": {
- "hide_name": 1,
- "bits": [ 1053 ],
- "attributes": {
- }
- },
- "$abc$32408$n4613_1": {
- "hide_name": 1,
- "bits": [ 3092 ],
- "attributes": {
- }
- },
- "$abc$32408$n4614": {
- "hide_name": 1,
- "bits": [ 3389 ],
- "attributes": {
- }
- },
- "$abc$32408$n4614_1": {
- "hide_name": 1,
- "bits": [ 3093 ],
- "attributes": {
- }
- },
- "$abc$32408$n4615_1": {
- "hide_name": 1,
- "bits": [ 3091 ],
- "attributes": {
- }
- },
- "$abc$32408$n4616": {
- "hide_name": 1,
- "bits": [ 3087 ],
- "attributes": {
- }
- },
- "$abc$32408$n4617_1": {
- "hide_name": 1,
- "bits": [ 3088 ],
- "attributes": {
- }
- },
- "$abc$32408$n4618_1": {
- "hide_name": 1,
- "bits": [ 3083 ],
- "attributes": {
- }
- },
- "$abc$32408$n4620": {
- "hide_name": 1,
- "bits": [ 3094 ],
- "attributes": {
- }
- },
- "$abc$32408$n4621": {
- "hide_name": 1,
- "bits": [ 3391 ],
- "attributes": {
- }
- },
- "$abc$32408$n4621_1": {
- "hide_name": 1,
- "bits": [ 3095 ],
- "attributes": {
- }
- },
- "$abc$32408$n4622": {
- "hide_name": 1,
- "bits": [ 3098 ],
- "attributes": {
- }
- },
- "$abc$32408$n4623": {
- "hide_name": 1,
- "bits": [ 3099 ],
- "attributes": {
- }
- },
- "$abc$32408$n4624": {
- "hide_name": 1,
- "bits": [ 3101 ],
- "attributes": {
- }
- },
- "$abc$32408$n4625": {
- "hide_name": 1,
- "bits": [ 3100 ],
- "attributes": {
- }
- },
- "$abc$32408$n4626_1": {
- "hide_name": 1,
- "bits": [ 3102 ],
- "attributes": {
- }
- },
- "$abc$32408$n4627": {
- "hide_name": 1,
- "bits": [ 1981 ],
- "attributes": {
- }
- },
- "$abc$32408$n4627_1": {
- "hide_name": 1,
- "bits": [ 3096 ],
- "attributes": {
- }
- },
- "$abc$32408$n4629": {
- "hide_name": 1,
- "bits": [ 3103 ],
- "attributes": {
- }
- },
- "$abc$32408$n4630": {
- "hide_name": 1,
- "bits": [ 3104 ],
- "attributes": {
- }
- },
- "$abc$32408$n4631": {
- "hide_name": 1,
- "bits": [ 3107 ],
- "attributes": {
- }
- },
- "$abc$32408$n4632": {
- "hide_name": 1,
- "bits": [ 1983 ],
- "attributes": {
- }
- },
- "$abc$32408$n4632_1": {
- "hide_name": 1,
- "bits": [ 3108 ],
- "attributes": {
- }
- },
- "$abc$32408$n4633": {
- "hide_name": 1,
- "bits": [ 1984 ],
- "attributes": {
- }
- },
- "$abc$32408$n4633_1": {
- "hide_name": 1,
- "bits": [ 3109 ],
- "attributes": {
- }
- },
- "$abc$32408$n4634": {
- "hide_name": 1,
- "bits": [ 1985 ],
- "attributes": {
- }
- },
- "$abc$32408$n4634_1": {
- "hide_name": 1,
- "bits": [ 3105 ],
- "attributes": {
- }
- },
- "$abc$32408$n4636": {
- "hide_name": 1,
- "bits": [ 1868 ],
- "attributes": {
- }
- },
- "$abc$32408$n4636_1": {
- "hide_name": 1,
- "bits": [ 3111 ],
- "attributes": {
- }
- },
- "$abc$32408$n4637": {
- "hide_name": 1,
- "bits": [ 1986 ],
- "attributes": {
- }
- },
- "$abc$32408$n4637_1": {
- "hide_name": 1,
- "bits": [ 3113 ],
- "attributes": {
- }
- },
- "$abc$32408$n4638": {
- "hide_name": 1,
- "bits": [ 3115 ],
- "attributes": {
- }
- },
- "$abc$32408$n4639": {
- "hide_name": 1,
- "bits": [ 1831 ],
- "attributes": {
- }
- },
- "$abc$32408$n4639_1": {
- "hide_name": 1,
- "bits": [ 3114 ],
- "attributes": {
- }
- },
- "$abc$32408$n4640": {
- "hide_name": 1,
- "bits": [ 3116 ],
- "attributes": {
- }
- },
- "$abc$32408$n4641": {
- "hide_name": 1,
- "bits": [ 3110 ],
- "attributes": {
- }
- },
- "$abc$32408$n4642": {
- "hide_name": 1,
- "bits": [ 1987 ],
- "attributes": {
- }
- },
- "$abc$32408$n4645": {
- "hide_name": 1,
- "bits": [ 1988 ],
- "attributes": {
- }
- },
- "$abc$32408$n4645_1": {
- "hide_name": 1,
- "bits": [ 3119 ],
- "attributes": {
- }
- },
- "$abc$32408$n4646_1": {
- "hide_name": 1,
- "bits": [ 3117 ],
- "attributes": {
- }
- },
- "$abc$32408$n4647_1": {
- "hide_name": 1,
- "bits": [ 3120 ],
- "attributes": {
- }
- },
- "$abc$32408$n4648_1": {
- "hide_name": 1,
- "bits": [ 3118 ],
- "attributes": {
- }
- },
- "$abc$32408$n4649": {
- "hide_name": 1,
- "bits": [ 1992 ],
- "attributes": {
- }
- },
- "$abc$32408$n4649_1": {
- "hide_name": 1,
- "bits": [ 3121 ],
- "attributes": {
- }
- },
- "$abc$32408$n4651": {
- "hide_name": 1,
- "bits": [ 3122 ],
- "attributes": {
- }
- },
- "$abc$32408$n4652": {
- "hide_name": 1,
- "bits": [ 3395 ],
- "attributes": {
- }
- },
- "$abc$32408$n4653": {
- "hide_name": 1,
- "bits": [ 3396 ],
- "attributes": {
- }
- },
- "$abc$32408$n4679": {
- "hide_name": 1,
- "bits": [ 3146 ],
- "attributes": {
- }
- },
- "$abc$32408$n4684": {
- "hide_name": 1,
- "bits": [ 3397 ],
- "attributes": {
- }
- },
- "$abc$32408$n4685": {
- "hide_name": 1,
- "bits": [ 3398 ],
- "attributes": {
- }
- },
- "$abc$32408$n4686": {
- "hide_name": 1,
- "bits": [ 3399 ],
- "attributes": {
- }
- },
- "$abc$32408$n4687": {
- "hide_name": 1,
- "bits": [ 3400 ],
- "attributes": {
- }
- },
- "$abc$32408$n4688": {
- "hide_name": 1,
- "bits": [ 3401 ],
- "attributes": {
- }
- },
- "$abc$32408$n4689": {
- "hide_name": 1,
- "bits": [ 3402 ],
- "attributes": {
- }
- },
- "$abc$32408$n4690": {
- "hide_name": 1,
- "bits": [ 3403 ],
- "attributes": {
- }
- },
- "$abc$32408$n4691": {
- "hide_name": 1,
- "bits": [ 3404 ],
- "attributes": {
- }
- },
- "$abc$32408$n4692": {
- "hide_name": 1,
- "bits": [ 3405 ],
- "attributes": {
- }
- },
- "$abc$32408$n4693": {
- "hide_name": 1,
- "bits": [ 3406 ],
- "attributes": {
- }
- },
- "$abc$32408$n4694": {
- "hide_name": 1,
- "bits": [ 3407 ],
- "attributes": {
- }
- },
- "$abc$32408$n4695": {
- "hide_name": 1,
- "bits": [ 3408 ],
- "attributes": {
- }
- },
- "$abc$32408$n4696": {
- "hide_name": 1,
- "bits": [ 3409 ],
- "attributes": {
- }
- },
- "$abc$32408$n4697": {
- "hide_name": 1,
- "bits": [ 1995 ],
- "attributes": {
- }
- },
- "$abc$32408$n4698": {
- "hide_name": 1,
- "bits": [ 2000 ],
- "attributes": {
- }
- },
- "$abc$32408$n4699": {
- "hide_name": 1,
- "bits": [ 2002 ],
- "attributes": {
- }
- },
- "$abc$32408$n4700": {
- "hide_name": 1,
- "bits": [ 2004 ],
- "attributes": {
- }
- },
- "$abc$32408$n4701": {
- "hide_name": 1,
- "bits": [ 2006 ],
- "attributes": {
- }
- },
- "$abc$32408$n4702": {
- "hide_name": 1,
- "bits": [ 2008 ],
- "attributes": {
- }
- },
- "$abc$32408$n4703": {
- "hide_name": 1,
- "bits": [ 2010 ],
- "attributes": {
- }
- },
- "$abc$32408$n4704": {
- "hide_name": 1,
- "bits": [ 2012 ],
- "attributes": {
- }
- },
- "$abc$32408$n4705": {
- "hide_name": 1,
- "bits": [ 2014 ],
- "attributes": {
- }
- },
- "$abc$32408$n4706": {
- "hide_name": 1,
- "bits": [ 2016 ],
- "attributes": {
- }
- },
- "$abc$32408$n4707": {
- "hide_name": 1,
- "bits": [ 2018 ],
- "attributes": {
- }
- },
- "$abc$32408$n4708": {
- "hide_name": 1,
- "bits": [ 2020 ],
- "attributes": {
- }
- },
- "$abc$32408$n4709": {
- "hide_name": 1,
- "bits": [ 2022 ],
- "attributes": {
- }
- },
- "$abc$32408$n4710": {
- "hide_name": 1,
- "bits": [ 2024 ],
- "attributes": {
- }
- },
- "$abc$32408$n4711": {
- "hide_name": 1,
- "bits": [ 2026 ],
- "attributes": {
- }
- },
- "$abc$32408$n4712": {
- "hide_name": 1,
- "bits": [ 2028 ],
- "attributes": {
- }
- },
- "$abc$32408$n4713": {
- "hide_name": 1,
- "bits": [ 413 ],
- "attributes": {
- }
- },
- "$abc$32408$n4714": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$32408$n4715": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$32408$n4716": {
- "hide_name": 1,
- "bits": [ 402 ],
- "attributes": {
- }
- },
- "$abc$32408$n4717": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$32408$n4718": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$32408$n4719": {
- "hide_name": 1,
- "bits": [ 419 ],
- "attributes": {
- }
- },
- "$abc$32408$n4720": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$32408$n4721": {
- "hide_name": 1,
- "bits": [ 3410 ],
- "attributes": {
- }
- },
- "$abc$32408$n4722": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$32408$n4723": {
- "hide_name": 1,
- "bits": [ 3411 ],
- "attributes": {
- }
- },
- "$abc$32408$n4724": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$32408$n4725": {
- "hide_name": 1,
- "bits": [ 3412 ],
- "attributes": {
- }
- },
- "$abc$32408$n4726": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$32408$n4727": {
- "hide_name": 1,
- "bits": [ 410 ],
- "attributes": {
- }
- },
- "$abc$32408$n4728": {
- "hide_name": 1,
- "bits": [ 405 ],
- "attributes": {
- }
- },
- "$abc$32408$n4729": {
- "hide_name": 1,
- "bits": [ 406 ],
- "attributes": {
- }
- },
- "$abc$32408$n4730": {
- "hide_name": 1,
- "bits": [ 407 ],
- "attributes": {
- }
- },
- "$abc$32408$n4731": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$32408$n4732": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$32408$n4733": {
- "hide_name": 1,
- "bits": [ 2030 ],
- "attributes": {
- }
- },
- "$abc$32408$n4734": {
- "hide_name": 1,
- "bits": [ 2032 ],
- "attributes": {
- }
- },
- "$abc$32408$n4735": {
- "hide_name": 1,
- "bits": [ 2034 ],
- "attributes": {
- }
- },
- "$abc$32408$n4736": {
- "hide_name": 1,
- "bits": [ 2036 ],
- "attributes": {
- }
- },
- "$abc$32408$n4737": {
- "hide_name": 1,
- "bits": [ 2038 ],
- "attributes": {
- }
- },
- "$abc$32408$n4738": {
- "hide_name": 1,
- "bits": [ 2040 ],
- "attributes": {
- }
- },
- "$abc$32408$n4739": {
- "hide_name": 1,
- "bits": [ 2042 ],
- "attributes": {
- }
- },
- "$abc$32408$n4740": {
- "hide_name": 1,
- "bits": [ 2044 ],
- "attributes": {
- }
- },
- "$abc$32408$n4741": {
- "hide_name": 1,
- "bits": [ 2046 ],
- "attributes": {
- }
- },
- "$abc$32408$n4742": {
- "hide_name": 1,
- "bits": [ 2048 ],
- "attributes": {
- }
- },
- "$abc$32408$n4743": {
- "hide_name": 1,
- "bits": [ 2050 ],
- "attributes": {
- }
- },
- "$abc$32408$n4744": {
- "hide_name": 1,
- "bits": [ 2052 ],
- "attributes": {
- }
- },
- "$abc$32408$n4745": {
- "hide_name": 1,
- "bits": [ 2054 ],
- "attributes": {
- }
- },
- "$abc$32408$n4746": {
- "hide_name": 1,
- "bits": [ 2056 ],
- "attributes": {
- }
- },
- "$abc$32408$n4747": {
- "hide_name": 1,
- "bits": [ 550 ],
- "attributes": {
- }
- },
- "$abc$32408$n4748": {
- "hide_name": 1,
- "bits": [ 318 ],
- "attributes": {
- }
- },
- "$abc$32408$n4749": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$32408$n4750": {
- "hide_name": 1,
- "bits": [ 2058 ],
- "attributes": {
- }
- },
- "$abc$32408$n4751": {
- "hide_name": 1,
- "bits": [ 2060 ],
- "attributes": {
- }
- },
- "$abc$32408$n4752": {
- "hide_name": 1,
- "bits": [ 2062 ],
- "attributes": {
- }
- },
- "$abc$32408$n4753": {
- "hide_name": 1,
- "bits": [ 2064 ],
- "attributes": {
- }
- },
- "$abc$32408$n4754": {
- "hide_name": 1,
- "bits": [ 2066 ],
- "attributes": {
- }
- },
- "$abc$32408$n4755": {
- "hide_name": 1,
- "bits": [ 2068 ],
- "attributes": {
- }
- },
- "$abc$32408$n4756": {
- "hide_name": 1,
- "bits": [ 2070 ],
- "attributes": {
- }
- },
- "$abc$32408$n4757": {
- "hide_name": 1,
- "bits": [ 2072 ],
- "attributes": {
- }
- },
- "$abc$32408$n4758": {
- "hide_name": 1,
- "bits": [ 2074 ],
- "attributes": {
- }
- },
- "$abc$32408$n4759": {
- "hide_name": 1,
- "bits": [ 2076 ],
- "attributes": {
- }
- },
- "$abc$32408$n4760": {
- "hide_name": 1,
- "bits": [ 2078 ],
- "attributes": {
- }
- },
- "$abc$32408$n4761": {
- "hide_name": 1,
- "bits": [ 2080 ],
- "attributes": {
- }
- },
- "$abc$32408$n4762": {
- "hide_name": 1,
- "bits": [ 2082 ],
- "attributes": {
- }
- },
- "$abc$32408$n4763": {
- "hide_name": 1,
- "bits": [ 2084 ],
- "attributes": {
- }
- },
- "$abc$32408$n4764": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$32408$n4765": {
- "hide_name": 1,
- "bits": [ 400 ],
- "attributes": {
- }
- },
- "$abc$32408$n4766": {
- "hide_name": 1,
- "bits": [ 2086 ],
- "attributes": {
- }
- },
- "$abc$32408$n4767": {
- "hide_name": 1,
- "bits": [ 2088 ],
- "attributes": {
- }
- },
- "$abc$32408$n4768": {
- "hide_name": 1,
- "bits": [ 2090 ],
- "attributes": {
- }
- },
- "$abc$32408$n4769": {
- "hide_name": 1,
- "bits": [ 2092 ],
- "attributes": {
- }
- },
- "$abc$32408$n4770": {
- "hide_name": 1,
- "bits": [ 2094 ],
- "attributes": {
- }
- },
- "$abc$32408$n4771": {
- "hide_name": 1,
- "bits": [ 2096 ],
- "attributes": {
- }
- },
- "$abc$32408$n4772": {
- "hide_name": 1,
- "bits": [ 2098 ],
- "attributes": {
- }
- },
- "$abc$32408$n4773": {
- "hide_name": 1,
- "bits": [ 2100 ],
- "attributes": {
- }
- },
- "$abc$32408$n4774": {
- "hide_name": 1,
- "bits": [ 2102 ],
- "attributes": {
- }
- },
- "$abc$32408$n4775": {
- "hide_name": 1,
- "bits": [ 2104 ],
- "attributes": {
- }
- },
- "$abc$32408$n4776": {
- "hide_name": 1,
- "bits": [ 2106 ],
- "attributes": {
- }
- },
- "$abc$32408$n4777": {
- "hide_name": 1,
- "bits": [ 2108 ],
- "attributes": {
- }
- },
- "$abc$32408$n4778": {
- "hide_name": 1,
- "bits": [ 2110 ],
- "attributes": {
- }
- },
- "$abc$32408$n4779": {
- "hide_name": 1,
- "bits": [ 2112 ],
- "attributes": {
- }
- },
- "$abc$32408$n4780": {
- "hide_name": 1,
- "bits": [ 3413 ],
- "attributes": {
- }
- },
- "$abc$32408$n4781": {
- "hide_name": 1,
- "bits": [ 3414 ],
- "attributes": {
- }
- },
- "$abc$32408$n4783": {
- "hide_name": 1,
- "bits": [ 3416 ],
- "attributes": {
- }
- },
- "$abc$32408$n4784": {
- "hide_name": 1,
- "bits": [ 1978 ],
- "attributes": {
- }
- },
- "$abc$32408$n4786": {
- "hide_name": 1,
- "bits": [ 3418 ],
- "attributes": {
- }
- },
- "$abc$32408$n4788": {
- "hide_name": 1,
- "bits": [ 3420 ],
- "attributes": {
- }
- },
- "$abc$32408$n4790": {
- "hide_name": 1,
- "bits": [ 3422 ],
- "attributes": {
- }
- },
- "$abc$32408$n4792": {
- "hide_name": 1,
- "bits": [ 3424 ],
- "attributes": {
- }
- },
- "$abc$32408$n4794": {
- "hide_name": 1,
- "bits": [ 3426 ],
- "attributes": {
- }
- },
- "$abc$32408$n4796": {
- "hide_name": 1,
- "bits": [ 3428 ],
- "attributes": {
- }
- },
- "$abc$32408$n4797": {
- "hide_name": 1,
- "bits": [ 1597 ],
- "attributes": {
- }
- },
- "$abc$32408$n4798": {
- "hide_name": 1,
- "bits": [ 1596 ],
- "attributes": {
- }
- },
- "$abc$32408$n4799": {
- "hide_name": 1,
- "bits": [ 3429 ],
- "attributes": {
- }
- },
- "$abc$32408$n4800": {
- "hide_name": 1,
- "bits": [ 3430 ],
- "attributes": {
- }
- },
- "$abc$32408$n4801": {
- "hide_name": 1,
- "bits": [ 3615 ],
- "attributes": {
- }
- },
- "$abc$32408$n4802": {
- "hide_name": 1,
- "bits": [ 2116 ],
- "attributes": {
- }
- },
- "$abc$32408$n4803": {
- "hide_name": 1,
- "bits": [ 2117 ],
- "attributes": {
- }
- },
- "$abc$32408$n4804": {
- "hide_name": 1,
- "bits": [ 2118 ],
- "attributes": {
- }
- },
- "$abc$32408$n4805": {
- "hide_name": 1,
- "bits": [ 2119 ],
- "attributes": {
- }
- },
- "$abc$32408$n4806": {
- "hide_name": 1,
- "bits": [ 2120 ],
- "attributes": {
- }
- },
- "$abc$32408$n4808": {
- "hide_name": 1,
- "bits": [ 3432 ],
- "attributes": {
- }
- },
- "$abc$32408$n4810": {
- "hide_name": 1,
- "bits": [ 3434 ],
- "attributes": {
- }
- },
- "$abc$32408$n4812": {
- "hide_name": 1,
- "bits": [ 3436 ],
- "attributes": {
- }
- },
- "$abc$32408$n4814": {
- "hide_name": 1,
- "bits": [ 3438 ],
- "attributes": {
- }
- },
- "$abc$32408$n4816": {
- "hide_name": 1,
- "bits": [ 3440 ],
- "attributes": {
- }
- },
- "$abc$32408$n4818": {
- "hide_name": 1,
- "bits": [ 3442 ],
- "attributes": {
- }
- },
- "$abc$32408$n4820": {
- "hide_name": 1,
- "bits": [ 3444 ],
- "attributes": {
- }
- },
- "$abc$32408$n4822": {
- "hide_name": 1,
- "bits": [ 3446 ],
- "attributes": {
- }
- },
- "$abc$32408$n4823": {
- "hide_name": 1,
- "bits": [ 2123 ],
- "attributes": {
- }
- },
- "$abc$32408$n4824": {
- "hide_name": 1,
- "bits": [ 2125 ],
- "attributes": {
- }
- },
- "$abc$32408$n4825": {
- "hide_name": 1,
- "bits": [ 2126 ],
- "attributes": {
- }
- },
- "$abc$32408$n4826": {
- "hide_name": 1,
- "bits": [ 3447 ],
- "attributes": {
- }
- },
- "$abc$32408$n4827": {
- "hide_name": 1,
- "bits": [ 3448 ],
- "attributes": {
- }
- },
- "$abc$32408$n4828": {
- "hide_name": 1,
- "bits": [ 3449 ],
- "attributes": {
- }
- },
- "$abc$32408$n4829": {
- "hide_name": 1,
- "bits": [ 3450 ],
- "attributes": {
- }
- },
- "$abc$32408$n4830": {
- "hide_name": 1,
- "bits": [ 3451 ],
- "attributes": {
- }
- },
- "$abc$32408$n4831": {
- "hide_name": 1,
- "bits": [ 3452 ],
- "attributes": {
- }
- },
- "$abc$32408$n4832": {
- "hide_name": 1,
- "bits": [ 1369 ],
- "attributes": {
- }
- },
- "$abc$32408$n4833": {
- "hide_name": 1,
- "bits": [ 1375 ],
- "attributes": {
- }
- },
- "$abc$32408$n4834": {
- "hide_name": 1,
- "bits": [ 1368 ],
- "attributes": {
- }
- },
- "$abc$32408$n4835": {
- "hide_name": 1,
- "bits": [ 1351 ],
- "attributes": {
- }
- },
- "$abc$32408$n4836": {
- "hide_name": 1,
- "bits": [ 1363 ],
- "attributes": {
- }
- },
- "$abc$32408$n4837": {
- "hide_name": 1,
- "bits": [ 1353 ],
- "attributes": {
- }
- },
- "$abc$32408$n4840": {
- "hide_name": 1,
- "bits": [ 3453 ],
- "attributes": {
- }
- },
- "$abc$32408$n4842": {
- "hide_name": 1,
- "bits": [ 3454 ],
- "attributes": {
- }
- },
- "$abc$32408$n4844": {
- "hide_name": 1,
- "bits": [ 3455 ],
- "attributes": {
- }
- },
- "$abc$32408$n4846": {
- "hide_name": 1,
- "bits": [ 3456 ],
- "attributes": {
- }
- },
- "$abc$32408$n4848": {
- "hide_name": 1,
- "bits": [ 3457 ],
- "attributes": {
- }
- },
- "$abc$32408$n4851": {
- "hide_name": 1,
- "bits": [ 2114 ],
- "attributes": {
- }
- },
- "$abc$32408$n4853": {
- "hide_name": 1,
- "bits": [ 1601 ],
- "attributes": {
- }
- },
- "$abc$32408$n4855": {
- "hide_name": 1,
- "bits": [ 2124 ],
- "attributes": {
- }
- },
- "$abc$32408$n4857": {
- "hide_name": 1,
- "bits": [ 1481 ],
- "attributes": {
- }
- },
- "$abc$32408$n4858": {
- "hide_name": 1,
- "bits": [ 1485 ],
- "attributes": {
- }
- },
- "$abc$32408$n4859": {
- "hide_name": 1,
- "bits": [ 1600 ],
- "attributes": {
- }
- },
- "$abc$32408$n4860": {
- "hide_name": 1,
- "bits": [ 1599 ],
- "attributes": {
- }
- },
- "$abc$32408$n4861": {
- "hide_name": 1,
- "bits": [ 1598 ],
- "attributes": {
- }
- },
- "$abc$32408$n4862": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$32408$n4863": {
- "hide_name": 1,
- "bits": [ 1480 ],
- "attributes": {
- }
- },
- "$abc$32408$n4864": {
- "hide_name": 1,
- "bits": [ 1482 ],
- "attributes": {
- }
- },
- "$abc$32408$n4865": {
- "hide_name": 1,
- "bits": [ 1293 ],
- "attributes": {
- }
- },
- "$abc$32408$n4866": {
- "hide_name": 1,
- "bits": [ 1483 ],
- "attributes": {
- }
- },
- "$abc$32408$n4867": {
- "hide_name": 1,
- "bits": [ 1484 ],
- "attributes": {
- }
- },
- "$abc$32408$n4870": {
- "hide_name": 1,
- "bits": [ 1405 ],
- "attributes": {
- }
- },
- "$abc$32408$n4872": {
- "hide_name": 1,
- "bits": [ 1813 ],
- "attributes": {
- }
- },
- "$abc$32408$n4879": {
- "hide_name": 1,
- "bits": [ 1445 ],
- "attributes": {
- }
- },
- "$abc$32408$n4881": {
- "hide_name": 1,
- "bits": [ 1595 ],
- "attributes": {
- }
- },
- "$abc$32408$n4883": {
- "hide_name": 1,
- "bits": [ 1585 ],
- "attributes": {
- }
- },
- "$abc$32408$n4885": {
- "hide_name": 1,
- "bits": [ 1589 ],
- "attributes": {
- }
- },
- "$abc$32408$n4886": {
- "hide_name": 1,
- "bits": [ 1590 ],
- "attributes": {
- }
- },
- "$abc$32408$n4888": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$32408$n4889": {
- "hide_name": 1,
- "bits": [ 3679 ],
- "attributes": {
- }
- },
- "$abc$32408$n4891": {
- "hide_name": 1,
- "bits": [ 3521 ],
- "attributes": {
- }
- },
- "$abc$32408$n4894": {
- "hide_name": 1,
- "bits": [ 1348 ],
- "attributes": {
- }
- },
- "$abc$32408$n4896": {
- "hide_name": 1,
- "bits": [ 3661 ],
- "attributes": {
- }
- },
- "$abc$32408$n5": {
- "hide_name": 1,
- "bits": [ 34 ],
- "attributes": {
- }
- },
- "$abc$32408$n5006": {
- "hide_name": 1,
- "bits": [ 3484 ],
- "attributes": {
- }
- },
- "$abc$32408$n5007": {
- "hide_name": 1,
- "bits": [ 3485 ],
- "attributes": {
- }
- },
- "$abc$32408$n5009": {
- "hide_name": 1,
- "bits": [ 3486 ],
- "attributes": {
- }
- },
- "$abc$32408$n5010": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$32408$n5011": {
- "hide_name": 1,
- "bits": [ 3488 ],
- "attributes": {
- }
- },
- "$abc$32408$n5013": {
- "hide_name": 1,
- "bits": [ 3489 ],
- "attributes": {
- }
- },
- "$abc$32408$n5015": {
- "hide_name": 1,
- "bits": [ 3491 ],
- "attributes": {
- }
- },
- "$abc$32408$n5017": {
- "hide_name": 1,
- "bits": [ 3492 ],
- "attributes": {
- }
- },
- "$abc$32408$n5019": {
- "hide_name": 1,
- "bits": [ 3493 ],
- "attributes": {
- }
- },
- "$abc$32408$n5021": {
- "hide_name": 1,
- "bits": [ 3495 ],
- "attributes": {
- }
- },
- "$abc$32408$n5023": {
- "hide_name": 1,
- "bits": [ 3496 ],
- "attributes": {
- }
- },
- "$abc$32408$n5025": {
- "hide_name": 1,
- "bits": [ 3497 ],
- "attributes": {
- }
- },
- "$abc$32408$n5027": {
- "hide_name": 1,
- "bits": [ 3501 ],
- "attributes": {
- }
- },
- "$abc$32408$n5035": {
- "hide_name": 1,
- "bits": [ 3503 ],
- "attributes": {
- }
- },
- "$abc$32408$n5037": {
- "hide_name": 1,
- "bits": [ 3505 ],
- "attributes": {
- }
- },
- "$abc$32408$n5039": {
- "hide_name": 1,
- "bits": [ 3506 ],
- "attributes": {
- }
- },
- "$abc$32408$n5041": {
- "hide_name": 1,
- "bits": [ 3507 ],
- "attributes": {
- }
- },
- "$abc$32408$n5043": {
- "hide_name": 1,
- "bits": [ 3508 ],
- "attributes": {
- }
- },
- "$abc$32408$n5045": {
- "hide_name": 1,
- "bits": [ 3509 ],
- "attributes": {
- }
- },
- "$abc$32408$n5047": {
- "hide_name": 1,
- "bits": [ 3510 ],
- "attributes": {
- }
- },
- "$abc$32408$n5049": {
- "hide_name": 1,
- "bits": [ 3511 ],
- "attributes": {
- }
- },
- "$abc$32408$n5051": {
- "hide_name": 1,
- "bits": [ 3512 ],
- "attributes": {
- }
- },
- "$abc$32408$n5057": {
- "hide_name": 1,
- "bits": [ 3513 ],
- "attributes": {
- }
- },
- "$abc$32408$n5059": {
- "hide_name": 1,
- "bits": [ 3515 ],
- "attributes": {
- }
- },
- "$abc$32408$n5061": {
- "hide_name": 1,
- "bits": [ 3516 ],
- "attributes": {
- }
- },
- "$abc$32408$n5064": {
- "hide_name": 1,
- "bits": [ 3517 ],
- "attributes": {
- }
- },
- "$abc$32408$n5066": {
- "hide_name": 1,
- "bits": [ 3518 ],
- "attributes": {
- }
- },
- "$abc$32408$n5067": {
- "hide_name": 1,
- "bits": [ 3519 ],
- "attributes": {
- }
- },
- "$abc$32408$n5068": {
- "hide_name": 1,
- "bits": [ 3520 ],
- "attributes": {
- }
- },
- "$abc$32408$n5069": {
- "hide_name": 1,
- "bits": [ 1344 ],
- "attributes": {
- }
- },
- "$abc$32408$n5070": {
- "hide_name": 1,
- "bits": [ 3522 ],
- "attributes": {
- }
- },
- "$abc$32408$n5071": {
- "hide_name": 1,
- "bits": [ 906 ],
- "attributes": {
- }
- },
- "$abc$32408$n5071_1": {
- "hide_name": 1,
- "bits": [ 3523 ],
- "attributes": {
- }
- },
- "$abc$32408$n5072_1": {
- "hide_name": 1,
- "bits": [ 3524 ],
- "attributes": {
- }
- },
- "$abc$32408$n5073_1": {
- "hide_name": 1,
- "bits": [ 1387 ],
- "attributes": {
- }
- },
- "$abc$32408$n5074": {
- "hide_name": 1,
- "bits": [ 3527 ],
- "attributes": {
- }
- },
- "$abc$32408$n5077_1": {
- "hide_name": 1,
- "bits": [ 1403 ],
- "attributes": {
- }
- },
- "$abc$32408$n5078": {
- "hide_name": 1,
- "bits": [ 3531 ],
- "attributes": {
- }
- },
- "$abc$32408$n5080": {
- "hide_name": 1,
- "bits": [ 3532 ],
- "attributes": {
- }
- },
- "$abc$32408$n5081_1": {
- "hide_name": 1,
- "bits": [ 3533 ],
- "attributes": {
- }
- },
- "$abc$32408$n5084": {
- "hide_name": 1,
- "bits": [ 3534 ],
- "attributes": {
- }
- },
- "$abc$32408$n5086_1": {
- "hide_name": 1,
- "bits": [ 3535 ],
- "attributes": {
- }
- },
- "$abc$32408$n5088_1": {
- "hide_name": 1,
- "bits": [ 3536 ],
- "attributes": {
- }
- },
- "$abc$32408$n5090_1": {
- "hide_name": 1,
- "bits": [ 3537 ],
- "attributes": {
- }
- },
- "$abc$32408$n5092_1": {
- "hide_name": 1,
- "bits": [ 3538 ],
- "attributes": {
- }
- },
- "$abc$32408$n5094_1": {
- "hide_name": 1,
- "bits": [ 3539 ],
- "attributes": {
- }
- },
- "$abc$32408$n5102_1": {
- "hide_name": 1,
- "bits": [ 3540 ],
- "attributes": {
- }
- },
- "$abc$32408$n5103_1": {
- "hide_name": 1,
- "bits": [ 3541 ],
- "attributes": {
- }
- },
- "$abc$32408$n5105_1": {
- "hide_name": 1,
- "bits": [ 3542 ],
- "attributes": {
- }
- },
- "$abc$32408$n5107_1": {
- "hide_name": 1,
- "bits": [ 3543 ],
- "attributes": {
- }
- },
- "$abc$32408$n5109_1": {
- "hide_name": 1,
- "bits": [ 3546 ],
- "attributes": {
- }
- },
- "$abc$32408$n5111_1": {
- "hide_name": 1,
- "bits": [ 3552 ],
- "attributes": {
- }
- },
- "$abc$32408$n5113_1": {
- "hide_name": 1,
- "bits": [ 3558 ],
- "attributes": {
- }
- },
- "$abc$32408$n5115_1": {
- "hide_name": 1,
- "bits": [ 3564 ],
- "attributes": {
- }
- },
- "$abc$32408$n5117_1": {
- "hide_name": 1,
- "bits": [ 3570 ],
- "attributes": {
- }
- },
- "$abc$32408$n5119_1": {
- "hide_name": 1,
- "bits": [ 3576 ],
- "attributes": {
- }
- },
- "$abc$32408$n5121_1": {
- "hide_name": 1,
- "bits": [ 3582 ],
- "attributes": {
- }
- },
- "$abc$32408$n5123": {
- "hide_name": 1,
- "bits": [ 2139 ],
- "attributes": {
- }
- },
- "$abc$32408$n5123_1": {
- "hide_name": 1,
- "bits": [ 3588 ],
- "attributes": {
- }
- },
- "$abc$32408$n5125_1": {
- "hide_name": 1,
- "bits": [ 3592 ],
- "attributes": {
- }
- },
- "$abc$32408$n5127_1": {
- "hide_name": 1,
- "bits": [ 3594 ],
- "attributes": {
- }
- },
- "$abc$32408$n5129_1": {
- "hide_name": 1,
- "bits": [ 3596 ],
- "attributes": {
- }
- },
- "$abc$32408$n5131_1": {
- "hide_name": 1,
- "bits": [ 3598 ],
- "attributes": {
- }
- },
- "$abc$32408$n5133": {
- "hide_name": 1,
- "bits": [ 3600 ],
- "attributes": {
- }
- },
- "$abc$32408$n5135": {
- "hide_name": 1,
- "bits": [ 2140 ],
- "attributes": {
- }
- },
- "$abc$32408$n5135_1": {
- "hide_name": 1,
- "bits": [ 3602 ],
- "attributes": {
- }
- },
- "$abc$32408$n5137": {
- "hide_name": 1,
- "bits": [ 2141 ],
- "attributes": {
- }
- },
- "$abc$32408$n5137_1": {
- "hide_name": 1,
- "bits": [ 3604 ],
- "attributes": {
- }
- },
- "$abc$32408$n5139": {
- "hide_name": 1,
- "bits": [ 2142 ],
- "attributes": {
- }
- },
- "$abc$32408$n5139_1": {
- "hide_name": 1,
- "bits": [ 3606 ],
- "attributes": {
- }
- },
- "$abc$32408$n5141": {
- "hide_name": 1,
- "bits": [ 3458 ],
- "attributes": {
- }
- },
- "$abc$32408$n5141_1": {
- "hide_name": 1,
- "bits": [ 3608 ],
- "attributes": {
- }
- },
- "$abc$32408$n5143": {
- "hide_name": 1,
- "bits": [ 3459 ],
- "attributes": {
- }
- },
- "$abc$32408$n5143_1": {
- "hide_name": 1,
- "bits": [ 3610 ],
- "attributes": {
- }
- },
- "$abc$32408$n5144": {
- "hide_name": 1,
- "bits": [ 2145 ],
- "attributes": {
- }
- },
- "$abc$32408$n5144_1": {
- "hide_name": 1,
- "bits": [ 1974 ],
- "attributes": {
- }
- },
- "$abc$32408$n5145": {
- "hide_name": 1,
- "bits": [ 2146 ],
- "attributes": {
- }
- },
- "$abc$32408$n5145_1": {
- "hide_name": 1,
- "bits": [ 3611 ],
- "attributes": {
- }
- },
- "$abc$32408$n5146": {
- "hide_name": 1,
- "bits": [ 2147 ],
- "attributes": {
- }
- },
- "$abc$32408$n5146_1": {
- "hide_name": 1,
- "bits": [ 1975 ],
- "attributes": {
- }
- },
- "$abc$32408$n5147": {
- "hide_name": 1,
- "bits": [ 2148 ],
- "attributes": {
- }
- },
- "$abc$32408$n5147_1": {
- "hide_name": 1,
- "bits": [ 3612 ],
- "attributes": {
- }
- },
- "$abc$32408$n5148": {
- "hide_name": 1,
- "bits": [ 2149 ],
- "attributes": {
- }
- },
- "$abc$32408$n5148_1": {
- "hide_name": 1,
- "bits": [ 3613 ],
- "attributes": {
- }
- },
- "$abc$32408$n5149": {
- "hide_name": 1,
- "bits": [ 2150 ],
- "attributes": {
- }
- },
- "$abc$32408$n5149_1": {
- "hide_name": 1,
- "bits": [ 3614 ],
- "attributes": {
- }
- },
- "$abc$32408$n5150": {
- "hide_name": 1,
- "bits": [ 2151 ],
- "attributes": {
- }
- },
- "$abc$32408$n5151": {
- "hide_name": 1,
- "bits": [ 2152 ],
- "attributes": {
- }
- },
- "$abc$32408$n5151_1": {
- "hide_name": 1,
- "bits": [ 3616 ],
- "attributes": {
- }
- },
- "$abc$32408$n5152": {
- "hide_name": 1,
- "bits": [ 2153 ],
- "attributes": {
- }
- },
- "$abc$32408$n5152_1": {
- "hide_name": 1,
- "bits": [ 3617 ],
- "attributes": {
- }
- },
- "$abc$32408$n5153": {
- "hide_name": 1,
- "bits": [ 2154 ],
- "attributes": {
- }
- },
- "$abc$32408$n5153_1": {
- "hide_name": 1,
- "bits": [ 3618 ],
- "attributes": {
- }
- },
- "$abc$32408$n5154": {
- "hide_name": 1,
- "bits": [ 2155 ],
- "attributes": {
- }
- },
- "$abc$32408$n5154_1": {
- "hide_name": 1,
- "bits": [ 2121 ],
- "attributes": {
- }
- },
- "$abc$32408$n5155": {
- "hide_name": 1,
- "bits": [ 2156 ],
- "attributes": {
- }
- },
- "$abc$32408$n5155_1": {
- "hide_name": 1,
- "bits": [ 3619 ],
- "attributes": {
- }
- },
- "$abc$32408$n5156": {
- "hide_name": 1,
- "bits": [ 2157 ],
- "attributes": {
- }
- },
- "$abc$32408$n5156_1": {
- "hide_name": 1,
- "bits": [ 2616 ],
- "attributes": {
- }
- },
- "$abc$32408$n5157": {
- "hide_name": 1,
- "bits": [ 2158 ],
- "attributes": {
- }
- },
- "$abc$32408$n5157_1": {
- "hide_name": 1,
- "bits": [ 3620 ],
- "attributes": {
- }
- },
- "$abc$32408$n5158": {
- "hide_name": 1,
- "bits": [ 2159 ],
- "attributes": {
- }
- },
- "$abc$32408$n5158_1": {
- "hide_name": 1,
- "bits": [ 2699 ],
- "attributes": {
- }
- },
- "$abc$32408$n5159": {
- "hide_name": 1,
- "bits": [ 2160 ],
- "attributes": {
- }
- },
- "$abc$32408$n5159_1": {
- "hide_name": 1,
- "bits": [ 3621 ],
- "attributes": {
- }
- },
- "$abc$32408$n5160": {
- "hide_name": 1,
- "bits": [ 2161 ],
- "attributes": {
- }
- },
- "$abc$32408$n5160_1": {
- "hide_name": 1,
- "bits": [ 2700 ],
- "attributes": {
- }
- },
- "$abc$32408$n5161": {
- "hide_name": 1,
- "bits": [ 2162 ],
- "attributes": {
- }
- },
- "$abc$32408$n5161_1": {
- "hide_name": 1,
- "bits": [ 3622 ],
- "attributes": {
- }
- },
- "$abc$32408$n5162": {
- "hide_name": 1,
- "bits": [ 2163 ],
- "attributes": {
- }
- },
- "$abc$32408$n5162_1": {
- "hide_name": 1,
- "bits": [ 2707 ],
- "attributes": {
- }
- },
- "$abc$32408$n5163": {
- "hide_name": 1,
- "bits": [ 2164 ],
- "attributes": {
- }
- },
- "$abc$32408$n5163_1": {
- "hide_name": 1,
- "bits": [ 3623 ],
- "attributes": {
- }
- },
- "$abc$32408$n5164": {
- "hide_name": 1,
- "bits": [ 2165 ],
- "attributes": {
- }
- },
- "$abc$32408$n5164_1": {
- "hide_name": 1,
- "bits": [ 2714 ],
- "attributes": {
- }
- },
- "$abc$32408$n5165": {
- "hide_name": 1,
- "bits": [ 2166 ],
- "attributes": {
- }
- },
- "$abc$32408$n5165_1": {
- "hide_name": 1,
- "bits": [ 3624 ],
- "attributes": {
- }
- },
- "$abc$32408$n5166": {
- "hide_name": 1,
- "bits": [ 2167 ],
- "attributes": {
- }
- },
- "$abc$32408$n5166_1": {
- "hide_name": 1,
- "bits": [ 2718 ],
- "attributes": {
- }
- },
- "$abc$32408$n5167": {
- "hide_name": 1,
- "bits": [ 2168 ],
- "attributes": {
- }
- },
- "$abc$32408$n5167_1": {
- "hide_name": 1,
- "bits": [ 3625 ],
- "attributes": {
- }
- },
- "$abc$32408$n5168": {
- "hide_name": 1,
- "bits": [ 3460 ],
- "attributes": {
- }
- },
- "$abc$32408$n5168_1": {
- "hide_name": 1,
- "bits": [ 2723 ],
- "attributes": {
- }
- },
- "$abc$32408$n5169": {
- "hide_name": 1,
- "bits": [ 3461 ],
- "attributes": {
- }
- },
- "$abc$32408$n5170": {
- "hide_name": 1,
- "bits": [ 3462 ],
- "attributes": {
- }
- },
- "$abc$32408$n5170_1": {
- "hide_name": 1,
- "bits": [ 3627 ],
- "attributes": {
- }
- },
- "$abc$32408$n5171": {
- "hide_name": 1,
- "bits": [ 3463 ],
- "attributes": {
- }
- },
- "$abc$32408$n5172": {
- "hide_name": 1,
- "bits": [ 3464 ],
- "attributes": {
- }
- },
- "$abc$32408$n5172_1": {
- "hide_name": 1,
- "bits": [ 3123 ],
- "attributes": {
- }
- },
- "$abc$32408$n5173": {
- "hide_name": 1,
- "bits": [ 3465 ],
- "attributes": {
- }
- },
- "$abc$32408$n5174": {
- "hide_name": 1,
- "bits": [ 3466 ],
- "attributes": {
- }
- },
- "$abc$32408$n5175": {
- "hide_name": 1,
- "bits": [ 3467 ],
- "attributes": {
- }
- },
- "$abc$32408$n5175_1": {
- "hide_name": 1,
- "bits": [ 3631 ],
- "attributes": {
- }
- },
- "$abc$32408$n5176": {
- "hide_name": 1,
- "bits": [ 3468 ],
- "attributes": {
- }
- },
- "$abc$32408$n5176_1": {
- "hide_name": 1,
- "bits": [ 3635 ],
- "attributes": {
- }
- },
- "$abc$32408$n5177": {
- "hide_name": 1,
- "bits": [ 3469 ],
- "attributes": {
- }
- },
- "$abc$32408$n5177_1": {
- "hide_name": 1,
- "bits": [ 3637 ],
- "attributes": {
- }
- },
- "$abc$32408$n5178": {
- "hide_name": 1,
- "bits": [ 3470 ],
- "attributes": {
- }
- },
- "$abc$32408$n5178_1": {
- "hide_name": 1,
- "bits": [ 3641 ],
- "attributes": {
- }
- },
- "$abc$32408$n5179": {
- "hide_name": 1,
- "bits": [ 3471 ],
- "attributes": {
- }
- },
- "$abc$32408$n5179_1": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$32408$n5180": {
- "hide_name": 1,
- "bits": [ 3472 ],
- "attributes": {
- }
- },
- "$abc$32408$n5181": {
- "hide_name": 1,
- "bits": [ 3473 ],
- "attributes": {
- }
- },
- "$abc$32408$n5182": {
- "hide_name": 1,
- "bits": [ 3474 ],
- "attributes": {
- }
- },
- "$abc$32408$n5182_1": {
- "hide_name": 1,
- "bits": [ 3645 ],
- "attributes": {
- }
- },
- "$abc$32408$n5183": {
- "hide_name": 1,
- "bits": [ 3475 ],
- "attributes": {
- }
- },
- "$abc$32408$n5183_1": {
- "hide_name": 1,
- "bits": [ 3649 ],
- "attributes": {
- }
- },
- "$abc$32408$n5184": {
- "hide_name": 1,
- "bits": [ 3476 ],
- "attributes": {
- }
- },
- "$abc$32408$n5184_1": {
- "hide_name": 1,
- "bits": [ 3651 ],
- "attributes": {
- }
- },
- "$abc$32408$n5185": {
- "hide_name": 1,
- "bits": [ 3477 ],
- "attributes": {
- }
- },
- "$abc$32408$n5185_1": {
- "hide_name": 1,
- "bits": [ 3655 ],
- "attributes": {
- }
- },
- "$abc$32408$n5186": {
- "hide_name": 1,
- "bits": [ 3478 ],
- "attributes": {
- }
- },
- "$abc$32408$n5186_1": {
- "hide_name": 1,
- "bits": [ 775 ],
- "attributes": {
- }
- },
- "$abc$32408$n5187": {
- "hide_name": 1,
- "bits": [ 3479 ],
- "attributes": {
- }
- },
- "$abc$32408$n5187_1": {
- "hide_name": 1,
- "bits": [ 3656 ],
- "attributes": {
- }
- },
- "$abc$32408$n5188": {
- "hide_name": 1,
- "bits": [ 3480 ],
- "attributes": {
- }
- },
- "$abc$32408$n5188_1": {
- "hide_name": 1,
- "bits": [ 3657 ],
- "attributes": {
- }
- },
- "$abc$32408$n5189": {
- "hide_name": 1,
- "bits": [ 3481 ],
- "attributes": {
- }
- },
- "$abc$32408$n5190": {
- "hide_name": 1,
- "bits": [ 3482 ],
- "attributes": {
- }
- },
- "$abc$32408$n5191": {
- "hide_name": 1,
- "bits": [ 3483 ],
- "attributes": {
- }
- },
- "$abc$32408$n5191_1": {
- "hide_name": 1,
- "bits": [ 3658 ],
- "attributes": {
- }
- },
- "$abc$32408$n5192": {
- "hide_name": 1,
- "bits": [ 3659 ],
- "attributes": {
- }
- },
- "$abc$32408$n5193": {
- "hide_name": 1,
- "bits": [ 2193 ],
- "attributes": {
- }
- },
- "$abc$32408$n5193_1": {
- "hide_name": 1,
- "bits": [ 3660 ],
- "attributes": {
- }
- },
- "$abc$32408$n5194": {
- "hide_name": 1,
- "bits": [ 1349 ],
- "attributes": {
- }
- },
- "$abc$32408$n5195": {
- "hide_name": 1,
- "bits": [ 2194 ],
- "attributes": {
- }
- },
- "$abc$32408$n5195_1": {
- "hide_name": 1,
- "bits": [ 3663 ],
- "attributes": {
- }
- },
- "$abc$32408$n5196": {
- "hide_name": 1,
- "bits": [ 3665 ],
- "attributes": {
- }
- },
- "$abc$32408$n5197": {
- "hide_name": 1,
- "bits": [ 2143 ],
- "attributes": {
- }
- },
- "$abc$32408$n5197_1": {
- "hide_name": 1,
- "bits": [ 3668 ],
- "attributes": {
- }
- },
- "$abc$32408$n5198": {
- "hide_name": 1,
- "bits": [ 3529 ],
- "attributes": {
- }
- },
- "$abc$32408$n5199": {
- "hide_name": 1,
- "bits": [ 2144 ],
- "attributes": {
- }
- },
- "$abc$32408$n5199_1": {
- "hide_name": 1,
- "bits": [ 3669 ],
- "attributes": {
- }
- },
- "$abc$32408$n5200": {
- "hide_name": 1,
- "bits": [ 2195 ],
- "attributes": {
- }
- },
- "$abc$32408$n5200_1": {
- "hide_name": 1,
- "bits": [ 3670 ],
- "attributes": {
- }
- },
- "$abc$32408$n5201": {
- "hide_name": 1,
- "bits": [ 2196 ],
- "attributes": {
- }
- },
- "$abc$32408$n5202": {
- "hide_name": 1,
- "bits": [ 2197 ],
- "attributes": {
- }
- },
- "$abc$32408$n5202_1": {
- "hide_name": 1,
- "bits": [ 3672 ],
- "attributes": {
- }
- },
- "$abc$32408$n5203": {
- "hide_name": 1,
- "bits": [ 2198 ],
- "attributes": {
- }
- },
- "$abc$32408$n5203_1": {
- "hide_name": 1,
- "bits": [ 3673 ],
- "attributes": {
- }
- },
- "$abc$32408$n5204": {
- "hide_name": 1,
- "bits": [ 2199 ],
- "attributes": {
- }
- },
- "$abc$32408$n5204_1": {
- "hide_name": 1,
- "bits": [ 3674 ],
- "attributes": {
- }
- },
- "$abc$32408$n5205": {
- "hide_name": 1,
- "bits": [ 2200 ],
- "attributes": {
- }
- },
- "$abc$32408$n5205_1": {
- "hide_name": 1,
- "bits": [ 3675 ],
- "attributes": {
- }
- },
- "$abc$32408$n5206": {
- "hide_name": 1,
- "bits": [ 2201 ],
- "attributes": {
- }
- },
- "$abc$32408$n5206_1": {
- "hide_name": 1,
- "bits": [ 3676 ],
- "attributes": {
- }
- },
- "$abc$32408$n5207": {
- "hide_name": 1,
- "bits": [ 2202 ],
- "attributes": {
- }
- },
- "$abc$32408$n5208": {
- "hide_name": 1,
- "bits": [ 2203 ],
- "attributes": {
- }
- },
- "$abc$32408$n5208_1": {
- "hide_name": 1,
- "bits": [ 3677 ],
- "attributes": {
- }
- },
- "$abc$32408$n5209": {
- "hide_name": 1,
- "bits": [ 2204 ],
- "attributes": {
- }
- },
- "$abc$32408$n5209_1": {
- "hide_name": 1,
- "bits": [ 3678 ],
- "attributes": {
- }
- },
- "$abc$32408$n5210": {
- "hide_name": 1,
- "bits": [ 2205 ],
- "attributes": {
- }
- },
- "$abc$32408$n5210_1": {
- "hide_name": 1,
- "bits": [ 850 ],
- "attributes": {
- }
- },
- "$abc$32408$n5211": {
- "hide_name": 1,
- "bits": [ 2206 ],
- "attributes": {
- }
- },
- "$abc$32408$n5211_1": {
- "hide_name": 1,
- "bits": [ 3680 ],
- "attributes": {
- }
- },
- "$abc$32408$n5212": {
- "hide_name": 1,
- "bits": [ 2207 ],
- "attributes": {
- }
- },
- "$abc$32408$n5212_1": {
- "hide_name": 1,
- "bits": [ 3681 ],
- "attributes": {
- }
- },
- "$abc$32408$n5213": {
- "hide_name": 1,
- "bits": [ 2208 ],
- "attributes": {
- }
- },
- "$abc$32408$n5213_1": {
- "hide_name": 1,
- "bits": [ 3682 ],
- "attributes": {
- }
- },
- "$abc$32408$n5214": {
- "hide_name": 1,
- "bits": [ 2209 ],
- "attributes": {
- }
- },
- "$abc$32408$n5214_1": {
- "hide_name": 1,
- "bits": [ 1540 ],
- "attributes": {
- }
- },
- "$abc$32408$n5215": {
- "hide_name": 1,
- "bits": [ 2210 ],
- "attributes": {
- }
- },
- "$abc$32408$n5216": {
- "hide_name": 1,
- "bits": [ 2211 ],
- "attributes": {
- }
- },
- "$abc$32408$n5217": {
- "hide_name": 1,
- "bits": [ 2212 ],
- "attributes": {
- }
- },
- "$abc$32408$n5218": {
- "hide_name": 1,
- "bits": [ 2213 ],
- "attributes": {
- }
- },
- "$abc$32408$n5219": {
- "hide_name": 1,
- "bits": [ 2214 ],
- "attributes": {
- }
- },
- "$abc$32408$n5220": {
- "hide_name": 1,
- "bits": [ 2215 ],
- "attributes": {
- }
- },
- "$abc$32408$n5221": {
- "hide_name": 1,
- "bits": [ 2216 ],
- "attributes": {
- }
- },
- "$abc$32408$n5222": {
- "hide_name": 1,
- "bits": [ 2217 ],
- "attributes": {
- }
- },
- "$abc$32408$n5223": {
- "hide_name": 1,
- "bits": [ 2218 ],
- "attributes": {
- }
- },
- "$abc$32408$n5225": {
- "hide_name": 1,
- "bits": [ 2169 ],
- "attributes": {
- }
- },
- "$abc$32408$n5226": {
- "hide_name": 1,
- "bits": [ 2170 ],
- "attributes": {
- }
- },
- "$abc$32408$n5227": {
- "hide_name": 1,
- "bits": [ 2171 ],
- "attributes": {
- }
- },
- "$abc$32408$n5228": {
- "hide_name": 1,
- "bits": [ 2172 ],
- "attributes": {
- }
- },
- "$abc$32408$n5229": {
- "hide_name": 1,
- "bits": [ 2173 ],
- "attributes": {
- }
- },
- "$abc$32408$n5230": {
- "hide_name": 1,
- "bits": [ 2174 ],
- "attributes": {
- }
- },
- "$abc$32408$n5231": {
- "hide_name": 1,
- "bits": [ 2175 ],
- "attributes": {
- }
- },
- "$abc$32408$n5232": {
- "hide_name": 1,
- "bits": [ 2176 ],
- "attributes": {
- }
- },
- "$abc$32408$n5233": {
- "hide_name": 1,
- "bits": [ 2177 ],
- "attributes": {
- }
- },
- "$abc$32408$n5234": {
- "hide_name": 1,
- "bits": [ 2178 ],
- "attributes": {
- }
- },
- "$abc$32408$n5235": {
- "hide_name": 1,
- "bits": [ 2179 ],
- "attributes": {
- }
- },
- "$abc$32408$n5236": {
- "hide_name": 1,
- "bits": [ 2180 ],
- "attributes": {
- }
- },
- "$abc$32408$n5237": {
- "hide_name": 1,
- "bits": [ 2181 ],
- "attributes": {
- }
- },
- "$abc$32408$n5238": {
- "hide_name": 1,
- "bits": [ 2182 ],
- "attributes": {
- }
- },
- "$abc$32408$n5239": {
- "hide_name": 1,
- "bits": [ 2183 ],
- "attributes": {
- }
- },
- "$abc$32408$n5240": {
- "hide_name": 1,
- "bits": [ 2184 ],
- "attributes": {
- }
- },
- "$abc$32408$n5241": {
- "hide_name": 1,
- "bits": [ 2185 ],
- "attributes": {
- }
- },
- "$abc$32408$n5242": {
- "hide_name": 1,
- "bits": [ 2186 ],
- "attributes": {
- }
- },
- "$abc$32408$n5243": {
- "hide_name": 1,
- "bits": [ 2187 ],
- "attributes": {
- }
- },
- "$abc$32408$n5244": {
- "hide_name": 1,
- "bits": [ 2188 ],
- "attributes": {
- }
- },
- "$abc$32408$n5245": {
- "hide_name": 1,
- "bits": [ 2189 ],
- "attributes": {
- }
- },
- "$abc$32408$n5246": {
- "hide_name": 1,
- "bits": [ 2190 ],
- "attributes": {
- }
- },
- "$abc$32408$n5247": {
- "hide_name": 1,
- "bits": [ 2191 ],
- "attributes": {
- }
- },
- "$abc$32408$n5248": {
- "hide_name": 1,
- "bits": [ 2192 ],
- "attributes": {
- }
- },
- "$abc$32408$n6058": {
- "hide_name": 1,
- "bits": [ 2222 ],
- "attributes": {
- }
- },
- "$abc$32408$n6061": {
- "hide_name": 1,
- "bits": [ 2238 ],
- "attributes": {
- }
- },
- "$abc$32408$n6064": {
- "hide_name": 1,
- "bits": [ 2258 ],
- "attributes": {
- }
- },
- "$abc$32408$n6067": {
- "hide_name": 1,
- "bits": [ 2279 ],
- "attributes": {
- }
- },
- "$abc$32408$n6070": {
- "hide_name": 1,
- "bits": [ 2301 ],
- "attributes": {
- }
- },
- "$abc$32408$n6073": {
- "hide_name": 1,
- "bits": [ 2324 ],
- "attributes": {
- }
- },
- "$abc$32408$n6076": {
- "hide_name": 1,
- "bits": [ 2346 ],
- "attributes": {
- }
- },
- "$abc$32408$n6079": {
- "hide_name": 1,
- "bits": [ 2368 ],
- "attributes": {
- }
- },
- "$abc$32408$n6082": {
- "hide_name": 1,
- "bits": [ 2390 ],
- "attributes": {
- }
- },
- "$abc$32408$n6085": {
- "hide_name": 1,
- "bits": [ 2415 ],
- "attributes": {
- }
- },
- "$abc$32408$n6088": {
- "hide_name": 1,
- "bits": [ 2439 ],
- "attributes": {
- }
- },
- "$abc$32408$n6091": {
- "hide_name": 1,
- "bits": [ 2462 ],
- "attributes": {
- }
- },
- "$abc$32408$n6094": {
- "hide_name": 1,
- "bits": [ 2485 ],
- "attributes": {
- }
- },
- "$abc$32408$n6097": {
- "hide_name": 1,
- "bits": [ 2506 ],
- "attributes": {
- }
- },
- "$abc$32408$n6100": {
- "hide_name": 1,
- "bits": [ 2526 ],
- "attributes": {
- }
- },
- "$abc$32408$n6103": {
- "hide_name": 1,
- "bits": [ 2546 ],
- "attributes": {
- }
- },
- "$abc$32408$n6106": {
- "hide_name": 1,
- "bits": [ 2564 ],
- "attributes": {
- }
- },
- "$abc$32408$n6109": {
- "hide_name": 1,
- "bits": [ 2579 ],
- "attributes": {
- }
- },
- "$abc$32408$n6112": {
- "hide_name": 1,
- "bits": [ 2592 ],
- "attributes": {
- }
- },
- "$abc$32408$n6115": {
- "hide_name": 1,
- "bits": [ 2600 ],
- "attributes": {
- }
- },
- "$abc$32408$n6118": {
- "hide_name": 1,
- "bits": [ 2606 ],
- "attributes": {
- }
- },
- "$abc$32408$n6121": {
- "hide_name": 1,
- "bits": [ 2612 ],
- "attributes": {
- }
- },
- "$abc$32408$n6124": {
- "hide_name": 1,
- "bits": [ 2617 ],
- "attributes": {
- }
- },
- "$abc$32408$n6126": {
- "hide_name": 1,
- "bits": [ 2618 ],
- "attributes": {
- }
- },
- "$abc$32408$n6128": {
- "hide_name": 1,
- "bits": [ 2619 ],
- "attributes": {
- }
- },
- "$abc$32408$n6130": {
- "hide_name": 1,
- "bits": [ 2620 ],
- "attributes": {
- }
- },
- "$abc$32408$n6132": {
- "hide_name": 1,
- "bits": [ 2621 ],
- "attributes": {
- }
- },
- "$abc$32408$n6134": {
- "hide_name": 1,
- "bits": [ 2622 ],
- "attributes": {
- }
- },
- "$abc$32408$n6136": {
- "hide_name": 1,
- "bits": [ 2623 ],
- "attributes": {
- }
- },
- "$abc$32408$n6138": {
- "hide_name": 1,
- "bits": [ 2624 ],
- "attributes": {
- }
- },
- "$abc$32408$n6140": {
- "hide_name": 1,
- "bits": [ 2625 ],
- "attributes": {
- }
- },
- "$abc$32408$n6142": {
- "hide_name": 1,
- "bits": [ 2626 ],
- "attributes": {
- }
- },
- "$abc$32408$n6144": {
- "hide_name": 1,
- "bits": [ 2627 ],
- "attributes": {
- }
- },
- "$abc$32408$n6146": {
- "hide_name": 1,
- "bits": [ 2628 ],
- "attributes": {
- }
- },
- "$abc$32408$n6148": {
- "hide_name": 1,
- "bits": [ 2629 ],
- "attributes": {
- }
- },
- "$abc$32408$n6150": {
- "hide_name": 1,
- "bits": [ 2630 ],
- "attributes": {
- }
- },
- "$abc$32408$n6152": {
- "hide_name": 1,
- "bits": [ 2631 ],
- "attributes": {
- }
- },
- "$abc$32408$n6154": {
- "hide_name": 1,
- "bits": [ 2632 ],
- "attributes": {
- }
- },
- "$abc$32408$n6156": {
- "hide_name": 1,
- "bits": [ 2633 ],
- "attributes": {
- }
- },
- "$abc$32408$n6158": {
- "hide_name": 1,
- "bits": [ 2634 ],
- "attributes": {
- }
- },
- "$abc$32408$n6160": {
- "hide_name": 1,
- "bits": [ 2635 ],
- "attributes": {
- }
- },
- "$abc$32408$n6162": {
- "hide_name": 1,
- "bits": [ 2636 ],
- "attributes": {
- }
- },
- "$abc$32408$n6164": {
- "hide_name": 1,
- "bits": [ 2637 ],
- "attributes": {
- }
- },
- "$abc$32408$n6166": {
- "hide_name": 1,
- "bits": [ 2638 ],
- "attributes": {
- }
- },
- "$abc$32408$n6168": {
- "hide_name": 1,
- "bits": [ 2639 ],
- "attributes": {
- }
- },
- "$abc$32408$n6170": {
- "hide_name": 1,
- "bits": [ 2640 ],
- "attributes": {
- }
- },
- "$abc$32408$n6172": {
- "hide_name": 1,
- "bits": [ 2641 ],
- "attributes": {
- }
- },
- "$abc$32408$n6173": {
- "hide_name": 1,
- "bits": [ 2642 ],
- "attributes": {
- }
- },
- "$abc$32408$n6175": {
- "hide_name": 1,
- "bits": [ 2643 ],
- "attributes": {
- }
- },
- "$abc$32408$n6177": {
- "hide_name": 1,
- "bits": [ 2644 ],
- "attributes": {
- }
- },
- "$abc$32408$n6178": {
- "hide_name": 1,
- "bits": [ 2645 ],
- "attributes": {
- }
- },
- "$abc$32408$n6179": {
- "hide_name": 1,
- "bits": [ 2646 ],
- "attributes": {
- }
- },
- "$abc$32408$n6180": {
- "hide_name": 1,
- "bits": [ 2647 ],
- "attributes": {
- }
- },
- "$abc$32408$n6181": {
- "hide_name": 1,
- "bits": [ 2648 ],
- "attributes": {
- }
- },
- "$abc$32408$n6182": {
- "hide_name": 1,
- "bits": [ 2649 ],
- "attributes": {
- }
- },
- "$abc$32408$n6183": {
- "hide_name": 1,
- "bits": [ 2650 ],
- "attributes": {
- }
- },
- "$abc$32408$n6184": {
- "hide_name": 1,
- "bits": [ 2651 ],
- "attributes": {
- }
- },
- "$abc$32408$n6185": {
- "hide_name": 1,
- "bits": [ 2652 ],
- "attributes": {
- }
- },
- "$abc$32408$n6186": {
- "hide_name": 1,
- "bits": [ 2653 ],
- "attributes": {
- }
- },
- "$abc$32408$n6187": {
- "hide_name": 1,
- "bits": [ 2654 ],
- "attributes": {
- }
- },
- "$abc$32408$n6188": {
- "hide_name": 1,
- "bits": [ 2655 ],
- "attributes": {
- }
- },
- "$abc$32408$n6189": {
- "hide_name": 1,
- "bits": [ 2656 ],
- "attributes": {
- }
- },
- "$abc$32408$n6190": {
- "hide_name": 1,
- "bits": [ 2657 ],
- "attributes": {
- }
- },
- "$abc$32408$n6191": {
- "hide_name": 1,
- "bits": [ 2658 ],
- "attributes": {
- }
- },
- "$abc$32408$n6192": {
- "hide_name": 1,
- "bits": [ 2659 ],
- "attributes": {
- }
- },
- "$abc$32408$n6193": {
- "hide_name": 1,
- "bits": [ 2660 ],
- "attributes": {
- }
- },
- "$abc$32408$n6194": {
- "hide_name": 1,
- "bits": [ 2661 ],
- "attributes": {
- }
- },
- "$abc$32408$n6195": {
- "hide_name": 1,
- "bits": [ 2662 ],
- "attributes": {
- }
- },
- "$abc$32408$n6196": {
- "hide_name": 1,
- "bits": [ 2663 ],
- "attributes": {
- }
- },
- "$abc$32408$n6197": {
- "hide_name": 1,
- "bits": [ 2664 ],
- "attributes": {
- }
- },
- "$abc$32408$n6198": {
- "hide_name": 1,
- "bits": [ 2665 ],
- "attributes": {
- }
- },
- "$abc$32408$n6199": {
- "hide_name": 1,
- "bits": [ 2666 ],
- "attributes": {
- }
- },
- "$abc$32408$n6200": {
- "hide_name": 1,
- "bits": [ 2667 ],
- "attributes": {
- }
- },
- "$abc$32408$n6201": {
- "hide_name": 1,
- "bits": [ 2668 ],
- "attributes": {
- }
- },
- "$abc$32408$n6202": {
- "hide_name": 1,
- "bits": [ 2669 ],
- "attributes": {
- }
- },
- "$abc$32408$n6203": {
- "hide_name": 1,
- "bits": [ 2670 ],
- "attributes": {
- }
- },
- "$abc$32408$n6204": {
- "hide_name": 1,
- "bits": [ 2671 ],
- "attributes": {
- }
- },
- "$abc$32408$n6205": {
- "hide_name": 1,
- "bits": [ 2672 ],
- "attributes": {
- }
- },
- "$abc$32408$n6206": {
- "hide_name": 1,
- "bits": [ 2673 ],
- "attributes": {
- }
- },
- "$abc$32408$n6207": {
- "hide_name": 1,
- "bits": [ 2674 ],
- "attributes": {
- }
- },
- "$abc$32408$n6208": {
- "hide_name": 1,
- "bits": [ 2675 ],
- "attributes": {
- }
- },
- "$abc$32408$n6209": {
- "hide_name": 1,
- "bits": [ 2676 ],
- "attributes": {
- }
- },
- "$abc$32408$n621": {
- "hide_name": 1,
- "bits": [ 25 ],
- "attributes": {
- }
- },
- "$abc$32408$n6210": {
- "hide_name": 1,
- "bits": [ 2677 ],
- "attributes": {
- }
- },
- "$abc$32408$n6211": {
- "hide_name": 1,
- "bits": [ 2678 ],
- "attributes": {
- }
- },
- "$abc$32408$n6212": {
- "hide_name": 1,
- "bits": [ 2679 ],
- "attributes": {
- }
- },
- "$abc$32408$n6213": {
- "hide_name": 1,
- "bits": [ 2680 ],
- "attributes": {
- }
- },
- "$abc$32408$n6214": {
- "hide_name": 1,
- "bits": [ 2681 ],
- "attributes": {
- }
- },
- "$abc$32408$n6215": {
- "hide_name": 1,
- "bits": [ 2682 ],
- "attributes": {
- }
- },
- "$abc$32408$n6216": {
- "hide_name": 1,
- "bits": [ 2683 ],
- "attributes": {
- }
- },
- "$abc$32408$n6217": {
- "hide_name": 1,
- "bits": [ 2684 ],
- "attributes": {
- }
- },
- "$abc$32408$n6218": {
- "hide_name": 1,
- "bits": [ 2685 ],
- "attributes": {
- }
- },
- "$abc$32408$n6219": {
- "hide_name": 1,
- "bits": [ 2686 ],
- "attributes": {
- }
- },
- "$abc$32408$n622": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$32408$n6220": {
- "hide_name": 1,
- "bits": [ 2687 ],
- "attributes": {
- }
- },
- "$abc$32408$n6221": {
- "hide_name": 1,
- "bits": [ 2688 ],
- "attributes": {
- }
- },
- "$abc$32408$n6222": {
- "hide_name": 1,
- "bits": [ 2689 ],
- "attributes": {
- }
- },
- "$abc$32408$n6223": {
- "hide_name": 1,
- "bits": [ 2690 ],
- "attributes": {
- }
- },
- "$abc$32408$n6224": {
- "hide_name": 1,
- "bits": [ 2691 ],
- "attributes": {
- }
- },
- "$abc$32408$n6225": {
- "hide_name": 1,
- "bits": [ 2692 ],
- "attributes": {
- }
- },
- "$abc$32408$n6226": {
- "hide_name": 1,
- "bits": [ 2693 ],
- "attributes": {
- }
- },
- "$abc$32408$n6227": {
- "hide_name": 1,
- "bits": [ 2694 ],
- "attributes": {
- }
- },
- "$abc$32408$n6228": {
- "hide_name": 1,
- "bits": [ 2695 ],
- "attributes": {
- }
- },
- "$abc$32408$n6264": {
- "hide_name": 1,
- "bits": [ 2698 ],
- "attributes": {
- }
- },
- "$abc$32408$n6265": {
- "hide_name": 1,
- "bits": [ 2701 ],
- "attributes": {
- }
- },
- "$abc$32408$n6267": {
- "hide_name": 1,
- "bits": [ 2702 ],
- "attributes": {
- }
- },
- "$abc$32408$n6268": {
- "hide_name": 1,
- "bits": [ 2703 ],
- "attributes": {
- }
- },
- "$abc$32408$n6269": {
- "hide_name": 1,
- "bits": [ 2706 ],
- "attributes": {
- }
- },
- "$abc$32408$n6270": {
- "hide_name": 1,
- "bits": [ 2710 ],
- "attributes": {
- }
- },
- "$abc$32408$n6271": {
- "hide_name": 1,
- "bits": [ 2713 ],
- "attributes": {
- }
- },
- "$abc$32408$n6272": {
- "hide_name": 1,
- "bits": [ 2717 ],
- "attributes": {
- }
- },
- "$abc$32408$n6273": {
- "hide_name": 1,
- "bits": [ 2719 ],
- "attributes": {
- }
- },
- "$abc$32408$n6274": {
- "hide_name": 1,
- "bits": [ 2720 ],
- "attributes": {
- }
- },
- "$abc$32408$n6275": {
- "hide_name": 1,
- "bits": [ 2721 ],
- "attributes": {
- }
- },
- "$abc$32408$n6276": {
- "hide_name": 1,
- "bits": [ 2722 ],
- "attributes": {
- }
- },
- "$abc$32408$n6277": {
- "hide_name": 1,
- "bits": [ 2724 ],
- "attributes": {
- }
- },
- "$abc$32408$n628": {
- "hide_name": 1,
- "bits": [ 266 ],
- "attributes": {
- }
- },
- "$abc$32408$n629": {
- "hide_name": 1,
- "bits": [ 272 ],
- "attributes": {
- }
- },
- "$abc$32408$n636": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$32408$n637": {
- "hide_name": 1,
- "bits": [ 1817 ],
- "attributes": {
- }
- },
- "$abc$32408$n638": {
- "hide_name": 1,
- "bits": [ 1818 ],
- "attributes": {
- }
- },
- "$abc$32408$n640": {
- "hide_name": 1,
- "bits": [ 1819 ],
- "attributes": {
- }
- },
- "$abc$32408$n641": {
- "hide_name": 1,
- "bits": [ 1820 ],
- "attributes": {
- }
- },
- "$abc$32408$n644": {
- "hide_name": 1,
- "bits": [ 1814 ],
- "attributes": {
- }
- },
- "$abc$32408$n645": {
- "hide_name": 1,
- "bits": [ 1815 ],
- "attributes": {
- }
- },
- "$abc$32408$n647": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$32408$n648": {
- "hide_name": 1,
- "bits": [ 1816 ],
- "attributes": {
- }
- },
- "$abc$32408$n649": {
- "hide_name": 1,
- "bits": [ 1809 ],
- "attributes": {
- }
- },
- "$abc$32408$n654": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$32408$n655": {
- "hide_name": 1,
- "bits": [ 300 ],
- "attributes": {
- }
- },
- "$abc$32408$n657": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$32408$n660": {
- "hide_name": 1,
- "bits": [ 3239 ],
- "attributes": {
- }
- },
- "$abc$32408$n661": {
- "hide_name": 1,
- "bits": [ 302 ],
- "attributes": {
- }
- },
- "$abc$32408$n672": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$32408$n689": {
- "hide_name": 1,
- "bits": [ 454 ],
- "attributes": {
- }
- },
- "$abc$32408$n6953": {
- "hide_name": 1,
- "bits": [ 2728 ],
- "attributes": {
- }
- },
- "$abc$32408$n6956": {
- "hide_name": 1,
- "bits": [ 2745 ],
- "attributes": {
- }
- },
- "$abc$32408$n6959": {
- "hide_name": 1,
- "bits": [ 2764 ],
- "attributes": {
- }
- },
- "$abc$32408$n696": {
- "hide_name": 1,
- "bits": [ 456 ],
- "attributes": {
- }
- },
- "$abc$32408$n6962": {
- "hide_name": 1,
- "bits": [ 2784 ],
- "attributes": {
- }
- },
- "$abc$32408$n6965": {
- "hide_name": 1,
- "bits": [ 2806 ],
- "attributes": {
- }
- },
- "$abc$32408$n6968": {
- "hide_name": 1,
- "bits": [ 2829 ],
- "attributes": {
- }
- },
- "$abc$32408$n697": {
- "hide_name": 1,
- "bits": [ 472 ],
- "attributes": {
- }
- },
- "$abc$32408$n6971": {
- "hide_name": 1,
- "bits": [ 2851 ],
- "attributes": {
- }
- },
- "$abc$32408$n6974": {
- "hide_name": 1,
- "bits": [ 2873 ],
- "attributes": {
- }
- },
- "$abc$32408$n6977": {
- "hide_name": 1,
- "bits": [ 2895 ],
- "attributes": {
- }
- },
- "$abc$32408$n6980": {
- "hide_name": 1,
- "bits": [ 2920 ],
- "attributes": {
- }
- },
- "$abc$32408$n6983": {
- "hide_name": 1,
- "bits": [ 2944 ],
- "attributes": {
- }
- },
- "$abc$32408$n6986": {
- "hide_name": 1,
- "bits": [ 2967 ],
- "attributes": {
- }
- },
- "$abc$32408$n6989": {
- "hide_name": 1,
- "bits": [ 2990 ],
- "attributes": {
- }
- },
- "$abc$32408$n6992": {
- "hide_name": 1,
- "bits": [ 3011 ],
- "attributes": {
- }
- },
- "$abc$32408$n6995": {
- "hide_name": 1,
- "bits": [ 3031 ],
- "attributes": {
- }
- },
- "$abc$32408$n6998": {
- "hide_name": 1,
- "bits": [ 3051 ],
- "attributes": {
- }
- },
- "$abc$32408$n7": {
- "hide_name": 1,
- "bits": [ 36 ],
- "attributes": {
- }
- },
- "$abc$32408$n7001": {
- "hide_name": 1,
- "bits": [ 3069 ],
- "attributes": {
- }
- },
- "$abc$32408$n7004": {
- "hide_name": 1,
- "bits": [ 3084 ],
- "attributes": {
- }
- },
- "$abc$32408$n7007": {
- "hide_name": 1,
- "bits": [ 3097 ],
- "attributes": {
- }
- },
- "$abc$32408$n7010": {
- "hide_name": 1,
- "bits": [ 3106 ],
- "attributes": {
- }
- },
- "$abc$32408$n7013": {
- "hide_name": 1,
- "bits": [ 3112 ],
- "attributes": {
- }
- },
- "$abc$32408$n7016": {
- "hide_name": 1,
- "bits": [ 3626 ],
- "attributes": {
- }
- },
- "$abc$32408$n7019": {
- "hide_name": 1,
- "bits": [ 3124 ],
- "attributes": {
- }
- },
- "$abc$32408$n7021": {
- "hide_name": 1,
- "bits": [ 3125 ],
- "attributes": {
- }
- },
- "$abc$32408$n7023": {
- "hide_name": 1,
- "bits": [ 3126 ],
- "attributes": {
- }
- },
- "$abc$32408$n7025": {
- "hide_name": 1,
- "bits": [ 3127 ],
- "attributes": {
- }
- },
- "$abc$32408$n7027": {
- "hide_name": 1,
- "bits": [ 3128 ],
- "attributes": {
- }
- },
- "$abc$32408$n7029": {
- "hide_name": 1,
- "bits": [ 3129 ],
- "attributes": {
- }
- },
- "$abc$32408$n703": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$32408$n7031": {
- "hide_name": 1,
- "bits": [ 3130 ],
- "attributes": {
- }
- },
- "$abc$32408$n7033": {
- "hide_name": 1,
- "bits": [ 3131 ],
- "attributes": {
- }
- },
- "$abc$32408$n7035": {
- "hide_name": 1,
- "bits": [ 3132 ],
- "attributes": {
- }
- },
- "$abc$32408$n7037": {
- "hide_name": 1,
- "bits": [ 3133 ],
- "attributes": {
- }
- },
- "$abc$32408$n7039": {
- "hide_name": 1,
- "bits": [ 3134 ],
- "attributes": {
- }
- },
- "$abc$32408$n7041": {
- "hide_name": 1,
- "bits": [ 3135 ],
- "attributes": {
- }
- },
- "$abc$32408$n7043": {
- "hide_name": 1,
- "bits": [ 3136 ],
- "attributes": {
- }
- },
- "$abc$32408$n7045": {
- "hide_name": 1,
- "bits": [ 3137 ],
- "attributes": {
- }
- },
- "$abc$32408$n7047": {
- "hide_name": 1,
- "bits": [ 3138 ],
- "attributes": {
- }
- },
- "$abc$32408$n7049": {
- "hide_name": 1,
- "bits": [ 3139 ],
- "attributes": {
- }
- },
- "$abc$32408$n7051": {
- "hide_name": 1,
- "bits": [ 3140 ],
- "attributes": {
- }
- },
- "$abc$32408$n7053": {
- "hide_name": 1,
- "bits": [ 3141 ],
- "attributes": {
- }
- },
- "$abc$32408$n7055": {
- "hide_name": 1,
- "bits": [ 3142 ],
- "attributes": {
- }
- },
- "$abc$32408$n7057": {
- "hide_name": 1,
- "bits": [ 3143 ],
- "attributes": {
- }
- },
- "$abc$32408$n7059": {
- "hide_name": 1,
- "bits": [ 3144 ],
- "attributes": {
- }
- },
- "$abc$32408$n7061": {
- "hide_name": 1,
- "bits": [ 3145 ],
- "attributes": {
- }
- },
- "$abc$32408$n7063": {
- "hide_name": 1,
- "bits": [ 3147 ],
- "attributes": {
- }
- },
- "$abc$32408$n7065": {
- "hide_name": 1,
- "bits": [ 3148 ],
- "attributes": {
- }
- },
- "$abc$32408$n7067": {
- "hide_name": 1,
- "bits": [ 3149 ],
- "attributes": {
- }
- },
- "$abc$32408$n7068": {
- "hide_name": 1,
- "bits": [ 3150 ],
- "attributes": {
- }
- },
- "$abc$32408$n7073": {
- "hide_name": 1,
- "bits": [ 3151 ],
- "attributes": {
- }
- },
- "$abc$32408$n7076": {
- "hide_name": 1,
- "bits": [ 3152 ],
- "attributes": {
- }
- },
- "$abc$32408$n7079": {
- "hide_name": 1,
- "bits": [ 3153 ],
- "attributes": {
- }
- },
- "$abc$32408$n7082": {
- "hide_name": 1,
- "bits": [ 3154 ],
- "attributes": {
- }
- },
- "$abc$32408$n7085": {
- "hide_name": 1,
- "bits": [ 3155 ],
- "attributes": {
- }
- },
- "$abc$32408$n7088": {
- "hide_name": 1,
- "bits": [ 3156 ],
- "attributes": {
- }
- },
- "$abc$32408$n7091": {
- "hide_name": 1,
- "bits": [ 3157 ],
- "attributes": {
- }
- },
- "$abc$32408$n7094": {
- "hide_name": 1,
- "bits": [ 3158 ],
- "attributes": {
- }
- },
- "$abc$32408$n7097": {
- "hide_name": 1,
- "bits": [ 3159 ],
- "attributes": {
- }
- },
- "$abc$32408$n7100": {
- "hide_name": 1,
- "bits": [ 3160 ],
- "attributes": {
- }
- },
- "$abc$32408$n7103": {
- "hide_name": 1,
- "bits": [ 3161 ],
- "attributes": {
- }
- },
- "$abc$32408$n7106": {
- "hide_name": 1,
- "bits": [ 3162 ],
- "attributes": {
- }
- },
- "$abc$32408$n7109": {
- "hide_name": 1,
- "bits": [ 3163 ],
- "attributes": {
- }
- },
- "$abc$32408$n7112": {
- "hide_name": 1,
- "bits": [ 3164 ],
- "attributes": {
- }
- },
- "$abc$32408$n7114": {
- "hide_name": 1,
- "bits": [ 3165 ],
- "attributes": {
- }
- },
- "$abc$32408$n7117": {
- "hide_name": 1,
- "bits": [ 3166 ],
- "attributes": {
- }
- },
- "$abc$32408$n7119": {
- "hide_name": 1,
- "bits": [ 3167 ],
- "attributes": {
- }
- },
- "$abc$32408$n7121": {
- "hide_name": 1,
- "bits": [ 3168 ],
- "attributes": {
- }
- },
- "$abc$32408$n7123": {
- "hide_name": 1,
- "bits": [ 3169 ],
- "attributes": {
- }
- },
- "$abc$32408$n7125": {
- "hide_name": 1,
- "bits": [ 3170 ],
- "attributes": {
- }
- },
- "$abc$32408$n7127": {
- "hide_name": 1,
- "bits": [ 3171 ],
- "attributes": {
- }
- },
- "$abc$32408$n7129": {
- "hide_name": 1,
- "bits": [ 3172 ],
- "attributes": {
- }
- },
- "$abc$32408$n7131": {
- "hide_name": 1,
- "bits": [ 3173 ],
- "attributes": {
- }
- },
- "$abc$32408$n7133": {
- "hide_name": 1,
- "bits": [ 3174 ],
- "attributes": {
- }
- },
- "$abc$32408$n7135": {
- "hide_name": 1,
- "bits": [ 3175 ],
- "attributes": {
- }
- },
- "$abc$32408$n7137": {
- "hide_name": 1,
- "bits": [ 3176 ],
- "attributes": {
- }
- },
- "$abc$32408$n7139": {
- "hide_name": 1,
- "bits": [ 3177 ],
- "attributes": {
- }
- },
- "$abc$32408$n7141": {
- "hide_name": 1,
- "bits": [ 3178 ],
- "attributes": {
- }
- },
- "$abc$32408$n7143": {
- "hide_name": 1,
- "bits": [ 3179 ],
- "attributes": {
- }
- },
- "$abc$32408$n7145": {
- "hide_name": 1,
- "bits": [ 3180 ],
- "attributes": {
- }
- },
- "$abc$32408$n7147": {
- "hide_name": 1,
- "bits": [ 3181 ],
- "attributes": {
- }
- },
- "$abc$32408$n7149": {
- "hide_name": 1,
- "bits": [ 3182 ],
- "attributes": {
- }
- },
- "$abc$32408$n7151": {
- "hide_name": 1,
- "bits": [ 3183 ],
- "attributes": {
- }
- },
- "$abc$32408$n7152": {
- "hide_name": 1,
- "bits": [ 3184 ],
- "attributes": {
- }
- },
- "$abc$32408$n7153": {
- "hide_name": 1,
- "bits": [ 3185 ],
- "attributes": {
- }
- },
- "$abc$32408$n7154": {
- "hide_name": 1,
- "bits": [ 3186 ],
- "attributes": {
- }
- },
- "$abc$32408$n7155": {
- "hide_name": 1,
- "bits": [ 3187 ],
- "attributes": {
- }
- },
- "$abc$32408$n7156": {
- "hide_name": 1,
- "bits": [ 3188 ],
- "attributes": {
- }
- },
- "$abc$32408$n7157": {
- "hide_name": 1,
- "bits": [ 3189 ],
- "attributes": {
- }
- },
- "$abc$32408$n7158": {
- "hide_name": 1,
- "bits": [ 3190 ],
- "attributes": {
- }
- },
- "$abc$32408$n7159": {
- "hide_name": 1,
- "bits": [ 3191 ],
- "attributes": {
- }
- },
- "$abc$32408$n716": {
- "hide_name": 1,
- "bits": [ 3502 ],
- "attributes": {
- }
- },
- "$abc$32408$n7160": {
- "hide_name": 1,
- "bits": [ 3192 ],
- "attributes": {
- }
- },
- "$abc$32408$n7161": {
- "hide_name": 1,
- "bits": [ 3193 ],
- "attributes": {
- }
- },
- "$abc$32408$n7162": {
- "hide_name": 1,
- "bits": [ 3194 ],
- "attributes": {
- }
- },
- "$abc$32408$n7163": {
- "hide_name": 1,
- "bits": [ 3195 ],
- "attributes": {
- }
- },
- "$abc$32408$n7164": {
- "hide_name": 1,
- "bits": [ 3196 ],
- "attributes": {
- }
- },
- "$abc$32408$n7165": {
- "hide_name": 1,
- "bits": [ 3197 ],
- "attributes": {
- }
- },
- "$abc$32408$n7166": {
- "hide_name": 1,
- "bits": [ 3198 ],
- "attributes": {
- }
- },
- "$abc$32408$n7167": {
- "hide_name": 1,
- "bits": [ 3199 ],
- "attributes": {
- }
- },
- "$abc$32408$n7168": {
- "hide_name": 1,
- "bits": [ 3200 ],
- "attributes": {
- }
- },
- "$abc$32408$n7169": {
- "hide_name": 1,
- "bits": [ 3201 ],
- "attributes": {
- }
- },
- "$abc$32408$n7170": {
- "hide_name": 1,
- "bits": [ 3202 ],
- "attributes": {
- }
- },
- "$abc$32408$n7171": {
- "hide_name": 1,
- "bits": [ 3203 ],
- "attributes": {
- }
- },
- "$abc$32408$n7172": {
- "hide_name": 1,
- "bits": [ 3204 ],
- "attributes": {
- }
- },
- "$abc$32408$n7173": {
- "hide_name": 1,
- "bits": [ 3205 ],
- "attributes": {
- }
- },
- "$abc$32408$n7174": {
- "hide_name": 1,
- "bits": [ 3206 ],
- "attributes": {
- }
- },
- "$abc$32408$n7175": {
- "hide_name": 1,
- "bits": [ 3207 ],
- "attributes": {
- }
- },
- "$abc$32408$n7176": {
- "hide_name": 1,
- "bits": [ 3208 ],
- "attributes": {
- }
- },
- "$abc$32408$n7177": {
- "hide_name": 1,
- "bits": [ 3209 ],
- "attributes": {
- }
- },
- "$abc$32408$n7178": {
- "hide_name": 1,
- "bits": [ 3210 ],
- "attributes": {
- }
- },
- "$abc$32408$n7179": {
- "hide_name": 1,
- "bits": [ 3211 ],
- "attributes": {
- }
- },
- "$abc$32408$n7180": {
- "hide_name": 1,
- "bits": [ 3212 ],
- "attributes": {
- }
- },
- "$abc$32408$n7181": {
- "hide_name": 1,
- "bits": [ 3213 ],
- "attributes": {
- }
- },
- "$abc$32408$n7182": {
- "hide_name": 1,
- "bits": [ 3214 ],
- "attributes": {
- }
- },
- "$abc$32408$n7183": {
- "hide_name": 1,
- "bits": [ 3215 ],
- "attributes": {
- }
- },
- "$abc$32408$n7184": {
- "hide_name": 1,
- "bits": [ 3216 ],
- "attributes": {
- }
- },
- "$abc$32408$n7185": {
- "hide_name": 1,
- "bits": [ 3217 ],
- "attributes": {
- }
- },
- "$abc$32408$n7186": {
- "hide_name": 1,
- "bits": [ 3218 ],
- "attributes": {
- }
- },
- "$abc$32408$n7187": {
- "hide_name": 1,
- "bits": [ 3219 ],
- "attributes": {
- }
- },
- "$abc$32408$n7188": {
- "hide_name": 1,
- "bits": [ 3220 ],
- "attributes": {
- }
- },
- "$abc$32408$n7189": {
- "hide_name": 1,
- "bits": [ 3221 ],
- "attributes": {
- }
- },
- "$abc$32408$n7190": {
- "hide_name": 1,
- "bits": [ 3222 ],
- "attributes": {
- }
- },
- "$abc$32408$n7191": {
- "hide_name": 1,
- "bits": [ 3223 ],
- "attributes": {
- }
- },
- "$abc$32408$n7192": {
- "hide_name": 1,
- "bits": [ 3224 ],
- "attributes": {
- }
- },
- "$abc$32408$n7193": {
- "hide_name": 1,
- "bits": [ 3225 ],
- "attributes": {
- }
- },
- "$abc$32408$n7194": {
- "hide_name": 1,
- "bits": [ 3226 ],
- "attributes": {
- }
- },
- "$abc$32408$n7195": {
- "hide_name": 1,
- "bits": [ 3227 ],
- "attributes": {
- }
- },
- "$abc$32408$n7196": {
- "hide_name": 1,
- "bits": [ 3228 ],
- "attributes": {
- }
- },
- "$abc$32408$n7197": {
- "hide_name": 1,
- "bits": [ 3229 ],
- "attributes": {
- }
- },
- "$abc$32408$n7198": {
- "hide_name": 1,
- "bits": [ 3230 ],
- "attributes": {
- }
- },
- "$abc$32408$n7199": {
- "hide_name": 1,
- "bits": [ 3231 ],
- "attributes": {
- }
- },
- "$abc$32408$n7200": {
- "hide_name": 1,
- "bits": [ 3232 ],
- "attributes": {
- }
- },
- "$abc$32408$n7208": {
- "hide_name": 1,
- "bits": [ 1448 ],
- "attributes": {
- }
- },
- "$abc$32408$n7209": {
- "hide_name": 1,
- "bits": [ 905 ],
- "attributes": {
- }
- },
- "$abc$32408$n7215": {
- "hide_name": 1,
- "bits": [ 1561 ],
- "attributes": {
- }
- },
- "$abc$32408$n7216": {
- "hide_name": 1,
- "bits": [ 1562 ],
- "attributes": {
- }
- },
- "$abc$32408$n7218": {
- "hide_name": 1,
- "bits": [ 1563 ],
- "attributes": {
- }
- },
- "$abc$32408$n7220": {
- "hide_name": 1,
- "bits": [ 1566 ],
- "attributes": {
- }
- },
- "$abc$32408$n7221": {
- "hide_name": 1,
- "bits": [ 1567 ],
- "attributes": {
- }
- },
- "$abc$32408$n7223": {
- "hide_name": 1,
- "bits": [ 1568 ],
- "attributes": {
- }
- },
- "$abc$32408$n7242": {
- "hide_name": 1,
- "bits": [ 3530 ],
- "attributes": {
- }
- },
- "$abc$32408$n7248": {
- "hide_name": 1,
- "bits": [ 445 ],
- "attributes": {
- }
- },
- "$abc$32408$n7249": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$32408$n7250": {
- "hide_name": 1,
- "bits": [ 447 ],
- "attributes": {
- }
- },
- "$abc$32408$n7252": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$32408$n7253": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$32408$n7254": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$32408$n7257": {
- "hide_name": 1,
- "bits": [ 335 ],
- "attributes": {
- }
- },
- "$abc$32408$n7258": {
- "hide_name": 1,
- "bits": [ 336 ],
- "attributes": {
- }
- },
- "$abc$32408$n7259": {
- "hide_name": 1,
- "bits": [ 337 ],
- "attributes": {
- }
- },
- "$abc$32408$n7262": {
- "hide_name": 1,
- "bits": [ 421 ],
- "attributes": {
- }
- },
- "$abc$32408$n7263": {
- "hide_name": 1,
- "bits": [ 422 ],
- "attributes": {
- }
- },
- "$abc$32408$n7264": {
- "hide_name": 1,
- "bits": [ 423 ],
- "attributes": {
- }
- },
- "$abc$32408$n7267": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "$abc$32408$n7268": {
- "hide_name": 1,
- "bits": [ 378 ],
- "attributes": {
- }
- },
- "$abc$32408$n7269": {
- "hide_name": 1,
- "bits": [ 379 ],
- "attributes": {
- }
- },
- "$abc$32408$n7272": {
- "hide_name": 1,
- "bits": [ 362 ],
- "attributes": {
- }
- },
- "$abc$32408$n7273": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$32408$n7274": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$32408$n7277": {
- "hide_name": 1,
- "bits": [ 1997 ],
- "attributes": {
- }
- },
- "$abc$32408$n7278": {
- "hide_name": 1,
- "bits": [ 1998 ],
- "attributes": {
- }
- },
- "$abc$32408$n7279": {
- "hide_name": 1,
- "bits": [ 1999 ],
- "attributes": {
- }
- },
- "$abc$32408$n7281": {
- "hide_name": 1,
- "bits": [ 599 ],
- "attributes": {
- }
- },
- "$abc$32408$n7282": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$32408$n7283": {
- "hide_name": 1,
- "bits": [ 601 ],
- "attributes": {
- }
- },
- "$abc$32408$n7286": {
- "hide_name": 1,
- "bits": [ 595 ],
- "attributes": {
- }
- },
- "$abc$32408$n7287": {
- "hide_name": 1,
- "bits": [ 596 ],
- "attributes": {
- }
- },
- "$abc$32408$n7288": {
- "hide_name": 1,
- "bits": [ 597 ],
- "attributes": {
- }
- },
- "$abc$32408$n7290": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$32408$n7291": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$32408$n7292": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$32408$n7295": {
- "hide_name": 1,
- "bits": [ 537 ],
- "attributes": {
- }
- },
- "$abc$32408$n7296": {
- "hide_name": 1,
- "bits": [ 538 ],
- "attributes": {
- }
- },
- "$abc$32408$n7297": {
- "hide_name": 1,
- "bits": [ 539 ],
- "attributes": {
- }
- },
- "$abc$32408$n7300": {
- "hide_name": 1,
- "bits": [ 3498 ],
- "attributes": {
- }
- },
- "$abc$32408$n7301": {
- "hide_name": 1,
- "bits": [ 3499 ],
- "attributes": {
- }
- },
- "$abc$32408$n7302": {
- "hide_name": 1,
- "bits": [ 3500 ],
- "attributes": {
- }
- },
- "$abc$32408$n7303": {
- "hide_name": 1,
- "bits": [ 1039 ],
- "attributes": {
- }
- },
- "$abc$32408$n7304": {
- "hide_name": 1,
- "bits": [ 1040 ],
- "attributes": {
- }
- },
- "$abc$32408$n7306": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$32408$n7307": {
- "hide_name": 1,
- "bits": [ 668 ],
- "attributes": {
- }
- },
- "$abc$32408$n7309": {
- "hide_name": 1,
- "bits": [ 669 ],
- "attributes": {
- }
- },
- "$abc$32408$n7310": {
- "hide_name": 1,
- "bits": [ 1556 ],
- "attributes": {
- }
- },
- "$abc$32408$n7311": {
- "hide_name": 1,
- "bits": [ 1557 ],
- "attributes": {
- }
- },
- "$abc$32408$n7313": {
- "hide_name": 1,
- "bits": [ 1558 ],
- "attributes": {
- }
- },
- "$abc$32408$n7315": {
- "hide_name": 1,
- "bits": [ 1041 ],
- "attributes": {
- }
- },
- "$abc$32408$n7317": {
- "hide_name": 1,
- "bits": [ 1553 ],
- "attributes": {
- }
- },
- "$abc$32408$n7318": {
- "hide_name": 1,
- "bits": [ 1554 ],
- "attributes": {
- }
- },
- "$abc$32408$n7319": {
- "hide_name": 1,
- "bits": [ 1555 ],
- "attributes": {
- }
- },
- "$abc$32408$n752": {
- "hide_name": 1,
- "bits": [ 654 ],
- "attributes": {
- }
- },
- "$abc$32408$n758": {
- "hide_name": 1,
- "bits": [ 671 ],
- "attributes": {
- }
- },
- "$abc$32408$n764": {
- "hide_name": 1,
- "bits": [ 679 ],
- "attributes": {
- }
- },
- "$abc$32408$n765": {
- "hide_name": 1,
- "bits": [ 694 ],
- "attributes": {
- }
- },
- "$abc$32408$n772": {
- "hide_name": 1,
- "bits": [ 700 ],
- "attributes": {
- }
- },
- "$abc$32408$n773": {
- "hide_name": 1,
- "bits": [ 703 ],
- "attributes": {
- }
- },
- "$abc$32408$n779": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$32408$n780": {
- "hide_name": 1,
- "bits": [ 710 ],
- "attributes": {
- }
- },
- "$abc$32408$n786": {
- "hide_name": 1,
- "bits": [ 715 ],
- "attributes": {
- }
- },
- "$abc$32408$n787": {
- "hide_name": 1,
- "bits": [ 718 ],
- "attributes": {
- }
- },
- "$abc$32408$n790": {
- "hide_name": 1,
- "bits": [ 722 ],
- "attributes": {
- }
- },
- "$abc$32408$n791": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$32408$n793": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$32408$n794": {
- "hide_name": 1,
- "bits": [ 745 ],
- "attributes": {
- }
- },
- "$abc$32408$n796": {
- "hide_name": 1,
- "bits": [ 752 ],
- "attributes": {
- }
- },
- "$abc$32408$n799": {
- "hide_name": 1,
- "bits": [ 757 ],
- "attributes": {
- }
- },
- "$abc$32408$n8": {
- "hide_name": 1,
- "bits": [ 30 ],
- "attributes": {
- }
- },
- "$abc$32408$n804": {
- "hide_name": 1,
- "bits": [ 761 ],
- "attributes": {
- }
- },
- "$abc$32408$n809": {
- "hide_name": 1,
- "bits": [ 763 ],
- "attributes": {
- }
- },
- "$abc$32408$n812": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$32408$n813": {
- "hide_name": 1,
- "bits": [ 769 ],
- "attributes": {
- }
- },
- "$abc$32408$n866": {
- "hide_name": 1,
- "bits": [ 774 ],
- "attributes": {
- }
- },
- "$abc$32408$n867": {
- "hide_name": 1,
- "bits": [ 781 ],
- "attributes": {
- }
- },
- "$abc$32408$n869": {
- "hide_name": 1,
- "bits": [ 784 ],
- "attributes": {
- }
- },
- "$abc$32408$n870": {
- "hide_name": 1,
- "bits": [ 786 ],
- "attributes": {
- }
- },
- "$abc$32408$n872": {
- "hide_name": 1,
- "bits": [ 788 ],
- "attributes": {
- }
- },
- "$abc$32408$n873": {
- "hide_name": 1,
- "bits": [ 790 ],
- "attributes": {
- }
- },
- "$abc$32408$n976": {
- "hide_name": 1,
- "bits": [ 3240 ],
- "attributes": {
- }
- },
- "$abc$32408$n99": {
- "hide_name": 1,
- "bits": [ 3238 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1715.C": {
- "hide_name": 1,
- "bits": [ 4928, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1726.C": {
- "hide_name": 1,
- "bits": [ 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 3692, 3693, 3694, 3695, 3696, 3697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:36|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1735.C": {
- "hide_name": 1,
- "bits": [ 4942, 4943, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3698, 3699, 3700, 3701, 4944 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:221|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1750.C": {
- "hide_name": 1,
- "bits": [ 4945, 4946, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3710, 3711, 3712, 3713, 4947 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:197|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1765.C": {
- "hide_name": 1,
- "bits": [ 4948, 4949, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3722, 3723, 3724, 3725, 4950 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1776.C": {
- "hide_name": 1,
- "bits": [ 4951, 4952, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3734, 3735, 3736, 3737, 4953 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:178|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1787.C": {
- "hide_name": 1,
- "bits": [ 4954, 3746, 3747, 3748, 3749, 3750, 3751 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:98|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1798.C": {
- "hide_name": 1,
- "bits": [ 4955, 3752, 3753, 3754, 3755, 3756, 3757, 3758 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:87|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1809.C": {
- "hide_name": 1,
- "bits": [ 4956, 3759, 3760, 3761, 3762, 3763, 3764, 3765 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:78|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1820.C": {
- "hide_name": 1,
- "bits": [ 4957, 3766, 3767, 3768, 3769, 3770, 3771, 3772 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:109|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1831.C": {
- "hide_name": 1,
- "bits": [ 4958, 3773, 3774, 3775, 3776, 3777, 3778, 3779 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:27|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.AA": {
- "hide_name": 1,
- "bits": [ 3781, 4959, 4960, 4961, 4962, 4963, 4964, 4965 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:41"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1836.C": {
- "hide_name": 1,
- "bits": [ 4966, 3780, 3782, 3783, 3784, 3785, 3786, 3787 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:33|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1841.C": {
- "hide_name": 1,
- "bits": [ 4967, 4968, 3788, 3789, 3790, 3791, 3792, 3793 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:18|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1857.C": {
- "hide_name": 1,
- "bits": [ 4969, 4970, 3794 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:328|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1862.C": {
- "hide_name": 1,
- "bits": [ 4971, 3795, 3796, 3797, 3798, 3799, 3800, 3801 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:50|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1867.C": {
- "hide_name": 1,
- "bits": [ 4972, 3802, 3804, 3805, 3807, 3809, 3811, 3812 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:47|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1872.C": {
- "hide_name": 1,
- "bits": [ 4973, 3813, 3815, 3816, 3817, 3818, 3819, 3820 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:45|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1877.C": {
- "hide_name": 1,
- "bits": [ 4974, 4975, 3821, 3822, 3823, 3824, 3825, 3826 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:63|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.AA": {
- "hide_name": 1,
- "bits": [ 3828, 4976, 4977, 4978, 4979, 4980, 4981, 4982 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:41"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1882.C": {
- "hide_name": 1,
- "bits": [ 4983, 3827, 3829, 3830, 3831, 3832, 3833, 3834 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:28|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1887.C": {
- "hide_name": 1,
- "bits": [ 4984, 3835, 3836, 3837, 3838, 3839, 3840, 3841 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:167|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1900.C": {
- "hide_name": 1,
- "bits": [ 4985, 4986, 3842, 3843, 3844, 3845, 3846, 3847 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:166|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1909.C": {
- "hide_name": 1,
- "bits": [ 4987, 4988, 3848, 3849, 3850, 3851, 3852 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1944.C": {
- "hide_name": 1,
- "bits": [ 4989, 4990, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1949.C": {
- "hide_name": 1,
- "bits": [ 4991, 4992, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3871, 3872, 3873, 3874, 3875, 4993 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:86|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1956.C": {
- "hide_name": 1,
- "bits": [ 4994, 4995, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3884, 3885, 3886, 3887, 3888, 4996 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1963.C": {
- "hide_name": 1,
- "bits": [ 4997, 4998, 3908, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3909, 3910, 3911, 3912, 3913, 3914, 4999 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1976.C": {
- "hide_name": 1,
- "bits": [ 5000, 5001, 3933, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3934, 3935, 3936, 3937, 3938, 3939, 5002 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1989.C": {
- "hide_name": 1,
- "bits": [ 5003, 5004, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3947, 3948, 3949, 3950, 3951, 5005 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1996.C": {
- "hide_name": 1,
- "bits": [ 5006, 5007, 3971, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3972, 3973, 3974, 3975, 3976, 3977, 5008 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2009.C": {
- "hide_name": 1,
- "bits": [ 5009, 5010, 3996, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3997, 3998, 3999, 4000, 4001, 4002, 5011 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2016.C": {
- "hide_name": 1,
- "bits": [ 5012, 5013, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4010, 4011, 4012, 4013, 4014, 5014 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2029.C": {
- "hide_name": 1,
- "bits": [ 5015, 5016, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4023, 4024, 4025, 4026, 4027, 5017 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2042.C": {
- "hide_name": 1,
- "bits": [ 5018, 5019, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4036, 4037, 4038, 4039, 4040, 5020 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2055.C": {
- "hide_name": 1,
- "bits": [ 5021, 5022, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4049, 4050, 4051, 4052, 4053, 5023 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2068.C": {
- "hide_name": 1,
- "bits": [ 5024, 5025, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4062, 4063, 4064, 4065, 4066, 5026 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2081.C": {
- "hide_name": 1,
- "bits": [ 5027, 5028, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4075, 4076, 4077, 4078, 4079, 5029 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2088.C": {
- "hide_name": 1,
- "bits": [ 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 4088, 5044 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:39|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2101.C": {
- "hide_name": 1,
- "bits": [ 5045, 5046, 4089, 4090 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:51|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2104.C": {
- "hide_name": 1,
- "bits": [ 5047, 5048, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4091, 4092, 4093, 4094, 4095, 4096 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2107.C": {
- "hide_name": 1,
- "bits": [ 5049, 5050, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4105, 4106, 4107, 4108, 4109, 4110 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2110.C": {
- "hide_name": 1,
- "bits": [ 5051, 5052, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4119, 4120, 4121, 4122, 4123, 4124 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:57|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2113.C": {
- "hide_name": 1,
- "bits": [ 5053, 5054, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4133, 4134, 4135, 4136, 4137, 4138 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:59|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2116.C": {
- "hide_name": 1,
- "bits": [ 5055, 5056, 4169, 4178, 4180, 4182, 4184, 4186, 4188, 4190, 4148, 4150, 4152, 4154, 4156, 4158, 4160, 4162, 4164, 4166, 4168, 4171, 4173, 4175 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:44|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2119.C": {
- "hide_name": 1,
- "bits": [ 5057, 5058, 4193, 4195, 4197, 4199, 4201, 4203, 4205, 4207 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:54|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2125.C": {
- "hide_name": 1,
- "bits": [ 5059, 5060, 4220, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4221, 4222, 4223, 4224, 4225, 4226, 4227 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:53|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2128.C": {
- "hide_name": 1,
- "bits": [ 5061, 5062, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:31|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2131.C": {
- "hide_name": 1,
- "bits": [ 5063, 5064, 4244, 4246, 4248, 4250, 4252, 4254, 4256, 4258 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:52|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2134.C": {
- "hide_name": 1,
- "bits": [ 5065, 5066, 5067, 5068, 5069, 5070, 5071, 5072, 5073, 5074, 5075, 4260, 4261, 4262, 4263, 4264 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2137.C": {
- "hide_name": 1,
- "bits": [ 5076, 5077, 5078, 5079, 5080, 5081, 5082, 5083, 5084, 5085, 5086, 4265, 4266, 4267, 4268, 4269 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:21|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2140.C": {
- "hide_name": 1,
- "bits": [ 5087, 5088, 4291, 4293, 4295, 4297, 4299, 4301, 4303, 4305, 4271, 4273, 4275, 4277, 4279, 4281, 4283, 4285, 4287, 4289 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:90|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2143.C": {
- "hide_name": 1,
- "bits": [ 5089, 5090, 4308, 4310, 4312, 4314, 4316, 4318 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:108|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2146.C": {
- "hide_name": 1,
- "bits": [ 5091, 5092, 4320, 4321 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:210|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2149.C": {
- "hide_name": 1,
- "bits": [ 5093, 5094, 4323, 4325, 4327, 4329, 4331, 4333 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:117|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2152.C": {
- "hide_name": 1,
- "bits": [ 5095, 5096, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4335, 4336 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:212|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2155.C": {
- "hide_name": 1,
- "bits": [ 5097, 5098, 4345, 4346 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:242|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2158.C": {
- "hide_name": 1,
- "bits": [ 5099, 5100, 4347, 4348 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2161.C": {
- "hide_name": 1,
- "bits": [ 5101, 5102, 4350, 4352 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:162|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2164.C": {
- "hide_name": 1,
- "bits": [ 5103, 5104, 4355, 4357, 4359, 4361, 4363 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:185|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2167.C": {
- "hide_name": 1,
- "bits": [ 5105, 5106, 4366, 4368, 4370 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:192|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2170.C": {
- "hide_name": 1,
- "bits": [ 5107, 5108, 4373, 4375, 4377, 4379, 4381 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:206|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2173.C": {
- "hide_name": 1,
- "bits": [ 5109, 5110, 4384, 4386, 4388, 4390, 4392 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:299|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2176.C": {
- "hide_name": 1,
- "bits": [ 5111, 5112, 4395, 4397, 4399 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:306|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2179.C": {
- "hide_name": 1,
- "bits": [ 5113, 5114, 4402, 4404, 4406, 4408, 4410 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:320|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2194.C": {
- "hide_name": 1,
- "bits": [ 5115, 5116, 4413, 4414 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:106|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2197.C": {
- "hide_name": 1,
- "bits": [ 5117, 5118, 4422, 4424, 4426, 4428, 4430, 4432, 4434, 4436, 4416, 4418, 4420 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:55|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2203.C": {
- "hide_name": 1,
- "bits": [ 5119, 5120, 4438, 4439, 4440 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:37|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2213.C": {
- "hide_name": 1,
- "bits": [ 5121, 5122, 5123, 4442, 4445, 4448, 4451, 4454 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:174|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2216.C": {
- "hide_name": 1,
- "bits": [ 5124, 5125, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:187|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2219.C": {
- "hide_name": 1,
- "bits": [ 5126, 5127, 5128, 4466, 4468, 4470, 4472, 4474 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:215|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2225.C": {
- "hide_name": 1,
- "bits": [ 5129, 5130, 4479, 4481, 4483, 4485, 4487, 4489 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:244|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2231.C": {
- "hide_name": 1,
- "bits": [ 5131, 4491, 4493, 4495, 4497, 4499, 4501, 4502 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:397|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2234.C": {
- "hide_name": 1,
- "bits": [ 5132, 4503, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4504 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:349|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2237.C": {
- "hide_name": 1,
- "bits": [ 5133, 5134, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4513, 4514, 4515 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:389|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2240.C": {
- "hide_name": 1,
- "bits": [ 5135, 5136, 4524 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:469|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2243.C": {
- "hide_name": 1,
- "bits": [ 5137, 5138, 4525, 4526, 4527, 4528, 4529, 4530 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2246.C": {
- "hide_name": 1,
- "bits": [ 5139, 5140, 4531, 4532, 4533, 4534, 4535, 4536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2249.C": {
- "hide_name": 1,
- "bits": [ 5141, 5142, 4537, 4538, 4539, 4540, 4541, 4542 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2252.C": {
- "hide_name": 1,
- "bits": [ 5143, 5144, 4543, 4544, 4545, 4546, 4547, 4548 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:48|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2255.C": {
- "hide_name": 1,
- "bits": [ 5145, 4549, 4550, 4551, 4552, 4553, 4554, 4555 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:32|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$2261.C": {
- "hide_name": 1,
- "bits": [ 5146, 5147, 4563, 4565, 4567, 4569, 4571, 4573, 4575, 4577, 4557, 4559, 4561 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:107|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2820.C": {
- "hide_name": 1,
- "bits": [ 5148, 5149, 4591, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4592, 4593, 4594, 4595, 4596, 4597, 4598 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2827.C": {
- "hide_name": 1,
- "bits": [ 5150, 5151, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4606, 4607, 4608, 4609, 4610, 4611 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2831.C": {
- "hide_name": 1,
- "bits": [ 5152, 5153, 4621, 4623, 4625, 4627, 4629, 4631 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2909.C": {
- "hide_name": 1,
- "bits": [ 5154, 5155, 5156, 5157, 5158, 5159, 4653, 4654, 4655, 4656, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2921.C": {
- "hide_name": 1,
- "bits": [ 5160, 5161, 4657, 4658, 4659, 4660, 4661, 4662 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2928.C": {
- "hide_name": 1,
- "bits": [ 5162, 5163, 4674, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4675, 4676, 4677, 4678, 4679, 4680, 4681 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$3006.C": {
- "hide_name": 1,
- "bits": [ 5164, 5165, 5166, 5167, 5168, 5169, 4709, 4710, 4711, 4712, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$3013.C": {
- "hide_name": 1,
- "bits": [ 5170, 5171, 4724, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4725, 4726, 4727, 4728, 4729, 4730, 4731 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$3022.C": {
- "hide_name": 1,
- "bits": [ 5172, 5173, 4750, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4751, 4752, 4753, 4754, 4755, 4756, 4757 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:245:synth$2832": {
- "hide_name": 1,
- "bits": [ 4620, 5174, 4622, 4624, 4626, 4628, 4630, 4632 ],
- "attributes": {
- }
- },
- "$auto$memory_bram.cc:896:replace_cell$2337": {
- "hide_name": 1,
- "bits": [ 5175, 5176, 5177, 4923 ],
- "attributes": {
- "unused_bits": "0 1 2 3"
- }
- },
- "$auto$memory_bram.cc:896:replace_cell$2338": {
- "hide_name": 1,
- "bits": [ 5178, 5179, 5180, 5181, 5182, 5183, 5184, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 5192, 4926 ],
- "attributes": {
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$auto$memory_bram.cc:896:replace_cell$2339": {
- "hide_name": 1,
- "bits": [ 5193, 5194, 5195, 5196, 5197, 5198, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 5206, 5207, 4927 ],
- "attributes": {
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.C": {
- "hide_name": 1,
- "bits": [ 5208, 5209, 5210, 5211, 5212, 5213, 5214, 5215, 5216, 5217, 4789, 4790, 4791, 4792, 4793 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.C": {
- "hide_name": 1,
- "bits": [ 5218, 5219, 5220, 5221, 5222, 5223, 5224, 5225, 4798, 4799, 4794, 4795, 4796, 4797 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.C": {
- "hide_name": 1,
- "bits": [ 5226, 5227, 5228, 5229, 5230, 5231, 5232, 4803, 4804, 4805, 4800, 4801, 4802 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.C": {
- "hide_name": 1,
- "bits": [ 5233, 5234, 5235, 5236, 5237, 5238, 4808, 4809, 4810, 4811, 4806, 4807 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.C": {
- "hide_name": 1,
- "bits": [ 5239, 5240, 5241, 5242, 5243, 4813, 4814, 4815, 4816, 4817, 4812 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.C": {
- "hide_name": 1,
- "bits": [ 5244, 5245, 5246, 5247, 4818, 4819, 4820, 4821, 4822, 4823 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.C": {
- "hide_name": 1,
- "bits": [ 5248, 5249, 5250, 4824, 4825, 4826, 4827, 4828, 4829 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.C": {
- "hide_name": 1,
- "bits": [ 5251, 5252, 4830, 4831, 4832, 4833, 4834, 4835 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20347.$auto$alumacc.cc:474:replace_alu$20722.C": {
- "hide_name": 1,
- "bits": [ 5253, 5254, 5255, 5256, 5257, 5258, 5259, 4836, 5260, 5261, 5262, 5263, 5264 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.C": {
- "hide_name": 1,
- "bits": [ 5265, 5266, 5267, 5268, 5269, 5270, 4837, 4838, 5271, 5272, 5273, 5274 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.C": {
- "hide_name": 1,
- "bits": [ 5275, 5276, 5277, 5278, 5279, 4839, 4840, 4841, 5280, 5281, 5282 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.C": {
- "hide_name": 1,
- "bits": [ 5283, 5284, 5285, 5286, 4842, 4843, 4844, 4845, 5287, 5288 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67$541.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.C": {
- "hide_name": 1,
- "bits": [ 5289, 5290, 5291, 4846, 4847, 4848, 4849, 4850, 5292 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20338.$auto$alumacc.cc:474:replace_alu$20789.C": {
- "hide_name": 1,
- "bits": [ 5293, 5294, 5295, 5296, 5297, 5298, 5299, 5300, 5301, 5302, 4851, 4852, 4853, 4854, 4855 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20342.$auto$alumacc.cc:474:replace_alu$20757.C": {
- "hide_name": 1,
- "bits": [ 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 4860, 4861, 4856, 4857, 4858, 4859 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20346.$auto$alumacc.cc:474:replace_alu$20726.C": {
- "hide_name": 1,
- "bits": [ 5311, 5312, 5313, 5314, 5315, 5316, 5317, 4865, 4866, 4867, 4862, 4863, 4864 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20350.$auto$alumacc.cc:474:replace_alu$20696.C": {
- "hide_name": 1,
- "bits": [ 5318, 5319, 5320, 5321, 5322, 5323, 4870, 4871, 4872, 4873, 4868, 4869 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20354.$auto$alumacc.cc:474:replace_alu$20667.C": {
- "hide_name": 1,
- "bits": [ 5324, 5325, 5326, 5327, 5328, 4875, 4876, 4877, 4878, 4879, 4874 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20358.$auto$alumacc.cc:474:replace_alu$20639.C": {
- "hide_name": 1,
- "bits": [ 5329, 5330, 5331, 5332, 4880, 4881, 4882, 4883, 4884, 4885 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20362.$auto$alumacc.cc:474:replace_alu$20612.C": {
- "hide_name": 1,
- "bits": [ 5333, 5334, 5335, 4886, 4887, 4888, 4889, 4890, 4891 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$ge$/home/uni-user/tools/yosys/share/techmap.v:301$20366.$auto$alumacc.cc:474:replace_alu$20586.C": {
- "hide_name": 1,
- "bits": [ 5336, 5337, 4892, 4893, 4894, 4895, 4896, 4897 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:301|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20347.$auto$alumacc.cc:474:replace_alu$20722.C": {
- "hide_name": 1,
- "bits": [ 5338, 5339, 5340, 5341, 5342, 5343, 5344, 4898, 5345, 5346, 5347, 5348, 5349 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20351.$auto$alumacc.cc:474:replace_alu$20692.C": {
- "hide_name": 1,
- "bits": [ 5350, 5351, 5352, 5353, 5354, 5355, 4899, 4900, 5356, 5357, 5358, 5359 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20355.$auto$alumacc.cc:474:replace_alu$20663.C": {
- "hide_name": 1,
- "bits": [ 5360, 5361, 5362, 5363, 5364, 4901, 4902, 4903, 5365, 5366, 5367 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20359.$auto$alumacc.cc:474:replace_alu$20635.C": {
- "hide_name": 1,
- "bits": [ 5368, 5369, 5370, 5371, 4904, 4905, 4906, 4907, 5372, 5373 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap$techmap$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.$div$verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22$519.div_mod.div_mod_u.$sub$/home/uni-user/tools/yosys/share/techmap.v:302$20363.$auto$alumacc.cc:474:replace_alu$20608.C": {
- "hide_name": 1,
- "bits": [ 5374, 5375, 5376, 4908, 4909, 4910, 4911, 4912, 5377 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:22|/home/uni-user/tools/yosys/share/techmap.v:302|/home/uni-user/tools/yosys/share/techmap.v:330|/home/uni-user/tools/yosys/share/techmap.v:355|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:67|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SuperTopEntity.v:38|/home/uni-user/tools/yosys/share/ice40/arith_map.v:43"
- }
- },
- "$techmap2348\\main.LedMatrix_ledMatrix_matrixoutput.RAM.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 4913, 5378, 4914, 4915, 4916, 5379, 4917, 4918, 4919, 5380, 4920, 4921, 4922, 5381, 4924, 4925 ],
- "attributes": {
- "src": "/home/uni-user/tools/yosys/share/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap\\main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.$0\\prescaler[9:0]": {
- "hide_name": 1,
- "bits": [ 4192, 5382, 4194, 4196, 4198, 4200, 4202, 4204, 4206, 4208 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:50"
- }
- },
- "$techmap\\main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.$0\\reseter[23:0]": {
- "hide_name": 1,
- "bits": [ 4147, 5383, 4177, 4179, 4181, 4183, 4185, 4187, 4189, 4191, 4149, 4151, 4153, 4155, 4157, 4159, 4161, 4163, 4165, 4167, 4170, 4172, 4174, 4176 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:40"
- }
- },
- "$techmap\\main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.$0\\result_0[7:0]": {
- "hide_name": 1,
- "bits": [ 4307, 5384, 4309, 4311, 4313, 4315, 4317, 4319 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:104"
- }
- },
- "$techmap\\main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.$0\\result_0[7:0]": {
- "hide_name": 1,
- "bits": [ 4322, 5385, 4324, 4326, 4328, 4330, 4332, 4334 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:113"
- }
- },
- "$techmap\\main.LedMatrix_ledMatrix_matrixoutput.$0\\clock1[6:0]": {
- "hide_name": 1,
- "bits": [ 4354, 5386, 4356, 4358, 4360, 4362, 4364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:181"
- }
- },
- "$techmap\\main.LedMatrix_ledMatrix_matrixoutput.$0\\clock1_0[6:0]": {
- "hide_name": 1,
- "bits": [ 4372, 5387, 4374, 4376, 4378, 4380, 4382 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:202"
- }
- },
- "$techmap\\main.LedMatrix_ledMatrix_matrixoutput.$0\\clock1_1[6:0]": {
- "hide_name": 1,
- "bits": [ 4383, 5388, 4385, 4387, 4389, 4391, 4393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:295"
- }
- },
- "$techmap\\main.LedMatrix_ledMatrix_matrixoutput.$0\\clock1_2[6:0]": {
- "hide_name": 1,
- "bits": [ 4401, 5389, 4403, 4405, 4407, 4409, 4411 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:316"
- }
- },
- "$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.$0\\counter1[12:0]": {
- "hide_name": 1,
- "bits": [ 4415, 5390, 4423, 4425, 4427, 4429, 4431, 4433, 4435, 4437, 4417, 4419, 4421 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:51"
- }
- },
- "$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.$0\\counter1[12:0]": {
- "hide_name": 1,
- "bits": [ 4556, 5391, 4564, 4566, 4568, 4570, 4572, 4574, 4576, 4578, 4558, 4560, 4562 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:103"
- }
- },
- "$techmap\\main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.$0\\counter1[9:0]": {
- "hide_name": 1,
- "bits": [ 4243, 5392, 4245, 4247, 4249, 4251, 4253, 4255, 4257, 4259 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:48"
- }
- },
- "BUTRST": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:7"
- }
- },
- "C1": {
- "hide_name": 0,
- "bits": [ 9, 10, 11 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:14"
- }
- },
- "C2": {
- "hide_name": 0,
- "bits": [ 12, 13, 14 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:15"
- }
- },
- "CLK_OUT": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:13"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:3"
- }
- },
- "CS_AG": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:19"
- }
- },
- "CS_ALT": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:21"
- }
- },
- "CS_M": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:20"
- }
- },
- "DATA": {
- "hide_name": 0,
- "bits": [ 15, 16, 17, 18 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:16"
- }
- },
- "DCLOCK": {
- "hide_name": 0,
- "bits": [ 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:34"
- }
- },
- "DRDY_M": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:25"
- }
- },
- "INT": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:24"
- }
- },
- "LAT": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:17"
- }
- },
- "LED": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", "1", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:12"
- }
- },
- "LOCALRESET": {
- "hide_name": 0,
- "bits": [ 3233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:32"
- }
- },
- "MANRST": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:10"
- }
- },
- "OE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:18"
- }
- },
- "PM1_0": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:26"
- }
- },
- "PM1_2": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:27"
- }
- },
- "PM1_5": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:28"
- }
- },
- "PM1_7": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:29"
- }
- },
- "RESET": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:4"
- }
- },
- "RX": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:5"
- }
- },
- "SCK": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:23"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:22"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:6"
- }
- },
- "TX": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:11"
- }
- },
- "counter": {
- "hide_name": 0,
- "bits": [ 3234, 3235, 3236, 3237 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:33"
- }
- },
- "main.#app_arg": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:33"
- }
- },
- "main.#app_arg_fun_arg": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:61"
- }
- },
- "main.#ds1_app_arg": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:58"
- }
- },
- "main.#tup_case_alt": {
- "hide_name": 0,
- "bits": [ 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:51",
- "unused_bits": "0"
- }
- },
- "main.C1": {
- "hide_name": 0,
- "bits": [ 9, 10, 11 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:16"
- }
- },
- "main.C2": {
- "hide_name": 0,
- "bits": [ 12, 13, 14 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:17"
- }
- },
- "main.CLK_OUT": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:15"
- }
- },
- "main.CLOCK": {
- "hide_name": 0,
- "bits": [ 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:6"
- }
- },
- "main.CS_AG": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:21"
- }
- },
- "main.CS_M": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:22"
- }
- },
- "main.Converter_inputManager_ds.#ds1_case_alt": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "x", "x", 5394, 5395 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:20"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.#app_arg": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:20"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.#app_arg_fun_arg": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:36"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.#vec": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:37"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.#gamemode_rec": {
- "hide_name": 0,
- "bits": [ 482, 448, 592 ],
- "attributes": {
- "onehot": 1
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.#rotation_app_arg": {
- "hide_name": 0,
- "bits": [ 1903, 1906, 1908, 1910, 1912, 1914, 1916, 1918, 1920, 1922, 1924, 1926, 1928, 1930, 1932, 1934, 1936, 1938, 1940, 1942, 1944, 1946, 1948, 1950, 1952, 1954, 1956, 1958 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:21"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.#rotation_app_arg_fun_arg": {
- "hide_name": 0,
- "bits": [ 5396, 630, 631, 632, 633, 626, 627, 628, 629, 614, 615, 616, 617, 610, 611, 612, 613, 622, 623, 624, 625, 618, 619, 620, 621, 639, 640, 647, 648, 649, 650, 643, 644, 645, 646, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:35"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.#tup_case_alt_3": {
- "hide_name": 0,
- "bits": [ 5396, 849, 795 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:32"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.#app_arg": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 368, 368, 368, 368, 368, 368, 368, 368, 368, 368, 368, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:12"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.#case_alt": {
- "hide_name": 0,
- "bits": [ 1903, 1906, 1908, 1910, 1912, 1914, 1916, 1918, 1920, 1922, 1924, 1926, 1928, 1930, 1932, 1934, 1936, 1938, 1940, 1942, 1944, 1946, 1948, 1950, 1952, 1954, 1956, 1958 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:10"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.#case_alt_0": {
- "hide_name": 0,
- "bits": [ 1902, 5397, 1907, 1909, 1911, 1913, 1915, 1917, 1919, 1921, 1923, 1925, 1927, 1929, 1931, 1933, 1935, 1937, 1939, 1941, 1943, 1945, 1947, 1949, 1951, 1953, 1955, 1957 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:13"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.#case_alt_1": {
- "hide_name": 0,
- "bits": [ 1902, 5397, 1907, 1909, 1911, 1913, 1915, 1917, 1919, 1921, 1923, 1925, 1927, 1929, 1931, 1933, 1935, 1937, 1939, 1941, 1943, 1945, 1947, 1949, 1951, 1953, 1955, 1957 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:14"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.ds": {
- "hide_name": 0,
- "bits": [ 5396, 630, 631, 632, 633, 626, 627, 628, 629, 614, 615, 616, 617, 610, 611, 612, 613, 622, 623, 624, 625, 618, 619, 620, 621, 639, 640, 647, 648, 649, 650, 643, 644, 645, 646, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:6"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.ds1": {
- "hide_name": 0,
- "bits": [ 630, 631, 632, 633, 626, 627, 628, 629, 614, 615, 616, 617, 610, 611, 612, 613, 622, 623, 624, 625, 618, 619, 620, 621 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:20"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.ds2": {
- "hide_name": 0,
- "bits": [ 5396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:21",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.gyro": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:18"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.old": {
- "hide_name": 0,
- "bits": [ 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:17"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.Converter_updateGamemodeRotation_rotation_app_arg.pre": {
- "hide_name": 0,
- "bits": [ 639, 640, 647, 648, 649, 650, 643, 644, 645, 646 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:81|verilog/TopEntity/TopEntity/Converter_updateGamemodeRotation.v:19"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.a1": {
- "hide_name": 0,
- "bits": [ 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:20"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.b1": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:19"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.c1": {
- "hide_name": 0,
- "bits": [ 639, 640, 647, 648, 649, 650, 643, 644, 645, 646 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:18"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.d1": {
- "hide_name": 0,
- "bits": [ 630, 631, 632, 633, 626, 627, 628, 629, 614, 615, 616, 617, 610, 611, 612, 613, 622, 623, 624, 625, 618, 619, 620, 621 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:17"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.ds": {
- "hide_name": 0,
- "bits": [ 5396, 630, 631, 632, 633, 626, 627, 628, 629, 614, 615, 616, 617, 610, 611, 612, 613, 622, 623, 624, 625, 618, 619, 620, 621, 639, 640, 647, 648, 649, 650, 643, 644, 645, 646, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:15"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.ds_0": {
- "hide_name": 0,
- "bits": [ 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536, "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:24"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.e1": {
- "hide_name": 0,
- "bits": [ 5396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:16",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.gyro_y": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:7"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.prescaler": {
- "hide_name": 0,
- "bits": [ 639, 640, 647, 648, 649, 650, 643, 644, 645, 646 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:14"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.reseter": {
- "hide_name": 0,
- "bits": [ 630, 631, 632, 633, 626, 627, 628, 629, 614, 615, 616, 617, 610, 611, 612, 613, 622, 623, 624, 625, 618, 619, 620, 621 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:13"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.rot": {
- "hide_name": 0,
- "bits": [ 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:23"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.rotation": {
- "hide_name": 0,
- "bits": [ 514, 515, 516, 517, 510, 511, 512, 513, 506, 507, 508, 509, 524, 525, 526, 527, 520, 521, 522, 523, 530, 531, 532, 496, 533, 534, 535, 536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:22"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.x": {
- "hide_name": 0,
- "bits": [ 849, 795 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:33"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_gamemodeChooser_gamemode.y": {
- "hide_name": 0,
- "bits": [ 5396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:89|verilog/TopEntity/TopEntity/Converter_gamemodeChooser.v:12",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.#app_arg": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:25"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.#intRotation_app_arg": {
- "hide_name": 0,
- "bits": [ 1035, 1045, 1057, 1064, 1071, 1078, 1085, 1092, 1099, 1106, 1113, 1120, 1127, 1134, 1141, 1148, 1155, 1162, 1169, 1176, 1183, 1190, 1197, 1204, 1211, 1218, 1225, 1232 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:23"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.#intRotation_app_arg_fun_arg": {
- "hide_name": 0,
- "bits": [ "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 655, 664, 665, 666, 656, 659, 660, 661, 663, 662, 1036, 1043, 1058, 1065, 1072, 1079, 1086, 1093, 1100, 1107, 1114, 1121, 1128, 1135, 1142, 1149, 1156, 1163, 1170, 1177, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:26"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.#prescaler_app_arg": {
- "hide_name": 0,
- "bits": [ 1730, 3367, 1732, 1734, 1736, 1738, 1740, 1742, 1744, 1746 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:13"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.#app_arg": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 398, 398, 398, 398, 398, 398, 398, 398, 398, 398, 398, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:21"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.#app_arg_0": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 341, 341, 341, 341, 341, 341, 341, 341, 341, 341, 341, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:23"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.#case_alt": {
- "hide_name": 0,
- "bits": [ 1035, 1045, 1057, 1064, 1071, 1078, 1085, 1092, 1099, 1106, 1113, 1120, 1127, 1134, 1141, 1148, 1155, 1162, 1169, 1176, 1183, 1190, 1197, 1204, 1211, 1218, 1225, 1232 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:10"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.#case_alt_0": {
- "hide_name": 0,
- "bits": [ 1035, 1045, 1057, 1064, 1071, 1078, 1085, 1092, 1099, 1106, 1113, 1120, 1127, 1134, 1141, 1148, 1155, 1162, 1169, 1176, 1183, 1190, 1197, 1204, 1211, 1218, 1225, 1232 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:17"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.#case_alt_1": {
- "hide_name": 0,
- "bits": [ 1032, 5398, 1054, 1061, 1068, 1075, 1082, 1089, 1096, 1103, 1110, 1117, 1124, 1131, 1138, 1145, 1152, 1159, 1166, 1173, 1180, 1187, 1194, 1201, 1208, 1215, 1222, 1229 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:18"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.ds": {
- "hide_name": 0,
- "bits": [ "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 655, 664, 665, 666, 656, 659, 660, 661, 663, 662, 1036, 1043, 1058, 1065, 1072, 1079, 1086, 1093, 1100, 1107, 1114, 1121, 1128, 1135, 1142, 1149, 1156, 1163, 1170, 1177, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:6"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.ds1": {
- "hide_name": 0,
- "bits": [ 655, 664, 665, 666, 656, 659, 660, 661, 663, 662 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:15"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.gyro_x": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:14"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.gyro_z": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:13"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.Converter_rotationCalcuator_supdatePlayerRotation_intRotation_app_arg.old": {
- "hide_name": 0,
- "bits": [ 1036, 1043, 1058, 1065, 1072, 1079, 1086, 1093, 1100, 1107, 1114, 1121, 1128, 1135, 1142, 1149, 1156, 1163, 1170, 1177, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:71|verilog/TopEntity/TopEntity/Converter_rotationCalcuator_supdatePlayerRotation.v:16"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.a1": {
- "hide_name": 0,
- "bits": [ 1036, 1043, 1058, 1065, 1072, 1079, 1086, 1093, 1100, 1107, 1114, 1121, 1128, 1135, 1142, 1149, 1156, 1163, 1170, 1177, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:22"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.b1": {
- "hide_name": 0,
- "bits": [ 655, 664, 665, 666, 656, 659, 660, 661, 663, 662 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:21"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.c1": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:20"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.d1": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:19"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.ds": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:7"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.ds_0": {
- "hide_name": 0,
- "bits": [ "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 655, 664, 665, 666, 656, 659, 660, 661, 663, 662, 1036, 1043, 1058, 1065, 1072, 1079, 1086, 1093, 1100, 1107, 1114, 1121, 1128, 1135, 1142, 1149, 1156, 1163, 1170, 1177, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:17"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.gyro_x": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:15"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.gyro_z": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:14"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.intRotation": {
- "hide_name": 0,
- "bits": [ 1036, 1043, 1058, 1065, 1072, 1079, 1086, 1093, 1100, 1107, 1114, 1121, 1128, 1135, 1142, 1149, 1156, 1163, 1170, 1177, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:24"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.prescaler": {
- "hide_name": 0,
- "bits": [ 655, 664, 665, 666, 656, 659, 660, 661, 663, 662 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:16"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.Converter_rotationCalcuator_app_arg.result": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:83|verilog/TopEntity/TopEntity/Converter_rotationCalcuator.v:11"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.acc_z": {
- "hide_name": 0,
- "bits": [ 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:18"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.az": {
- "hide_name": 0,
- "bits": [ 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:29"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.ds": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:7"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.ds1_0": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:25"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.ds_0": {
- "hide_name": 0,
- "bits": [ 5399, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:14"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.gx": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:28"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.gy": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:26"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.gz": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:27"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.result": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "x", "x", 5394, 5395 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:10"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.scrut": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:33"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.scrut1": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:32"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.scrut2": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:31"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.scrut3": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:30"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.x": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:22"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.y": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:23"
- }
- },
- "main.Converter_inputManager_ds.Converter_converter_ds1_case_alt.z": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:51|verilog/TopEntity/TopEntity/Converter_converter.v:24"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#app_arg": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:81"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#app_arg_0": {
- "hide_name": 0,
- "bits": [ 24, 22, 21, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:82"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#app_arg_0_fun_arg": {
- "hide_name": 0,
- "bits": [ 64, 63, 24, 5400, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:84"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5401, 5402, 5403, 5404, 5405, 5406, 5407, 5408, "x", "x", "x", "x", "x", "x", "x", "x", 5409, 5410, 5411, "1", 5412, 5413, 5414, "0", "0", 5406 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:22"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_0": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5415, 5416, 5417, 5418, 5419, 5420, 5421, 5422, "x", "x", "x", "x", "x", "x", "x", "x", 5423, 5424, 5425, "1", 5426, 5427, 5428, "0", "0", 5420 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:23"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_1": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5429, 5430, 5431, 5432, 5433, 5434, 5435, 5436, "x", "x", "x", "x", "x", "x", "x", "x", 5437, 5438, 5439, "1", 5440, 5441, 5442, "0", "0", 5434 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:24"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_10": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5443, 5444, 5445, "0", "0", 5446, 5447, 5448, "x", "x", "x", "x", "x", "x", "x", "x", 5449, 5450, 5451, "1", "0", "1", 5452, "0", "0", 5446 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:33"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_11": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5453, 5454, 5455, "0", "0", 5456, 5457, 5458, "x", "x", "x", "x", "x", "x", "x", "x", 5459, 5460, 5461, "1", "0", "1", 5462, "0", "0", 5456 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:34"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_12": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5463, 5464, "1", "0", "0", 5465, 5466, 5467, "x", "x", "x", "x", "x", "x", "x", "x", 5468, 5469, 5470, "1", "0", "1", 5471, "0", "0", 5465 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:35"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_13": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5472, 5455, "1", "0", "0", 5473, 5474, 5475, "x", "x", "x", "x", "x", "x", "x", "x", 5476, "0", 5477, "1", "0", "1", 5478, "0", "0", 5473 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:36"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_14": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5455, "1", "1", "0", "0", 5479, 5480, 5481, "x", "x", "x", "x", "x", "x", "x", "x", 5469, "0", 5469, "1", "0", "1", 5455, "0", "0", 5479 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:37"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_15": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, "1", "1", "1", "0", "0", 5469, 5469, 5455, "x", "x", "x", "x", "x", "x", "x", "x", "0", "0", "0", "1", "0", "1", "1", "0", "0", 5469 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:38"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_17": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", 5482, 5483, "0", "0", 5484, 5485, 5486, 5469, 5484, 5487, 5488, 5489, 5469, 5490, 5469, 5455, 5491, "0", 5492, 5493 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:58",
- "unused_bits": "14 15 18 19 20 21 22 23 24 25 26 27 28 29 30 32 33"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_18": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", 5494, 5495, "0", "0", 5469, 5496, 5469, "0", 5469, 5497, 5498, 5499, "0", 5500, "0", "1", 5500, "0", 5501, 5502 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:59",
- "unused_bits": "14 15 18 19 20 22 23 24 25 27 30 32 33"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_19": {
- "hide_name": 0,
- "bits": [ 5503, 5504, 5505, 5506, 5507, 5508, 5509, 5510, 5511, 5512, 5513, 5514, 5515, 5516, 5517, 5518, 5519, 5520, 5521, 5522, 5523, 5524, 5525, 5526, 5527, 5528, 5529, 5530, 5531, 5532, 5533, 5534, 5535, 5536 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:60",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_2": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5537, 5538, 5539, 5540, 5541, 5542, 5543, 5544, "x", "x", "x", "x", "x", "x", "x", "x", 5545, 5546, 5547, "1", 5548, 5549, 5550, "0", "0", 5542 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:25"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_3": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5551, 5552, 5553, 5554, 5526, 5555, 5556, 5557, "x", "x", "x", "x", "x", "x", "x", "x", 5558, 5559, 5560, "1", 5561, 5562, 5563, "0", "0", 5555 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:26"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_4": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5564, 5565, 5566, 5567, "0", 5568, 5569, 5570, "x", "x", "x", "x", "x", "x", "x", "x", 5571, 5572, 5573, "1", 5574, 5575, 5576, "0", "0", 5568 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:27"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_5": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5577, 5578, 5579, 5580, "0", 5581, 5582, 5583, "x", "x", "x", "x", "x", "x", "x", "x", 5584, 5585, 5586, "1", 5587, 5588, 5589, "0", "0", 5581 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:28"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_6": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5590, 5591, 5592, 5593, "0", 5594, 5595, 5596, "x", "x", "x", "x", "x", "x", "x", "x", 5597, 5598, 5599, "1", 5600, 5601, 5602, "0", "0", 5594 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:29"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_7": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5603, 5604, 5605, 5606, "0", 5607, 5608, 5609, "x", "x", "x", "x", "x", "x", "x", "x", 5610, 5611, 5612, "1", 5613, 5614, 5615, "0", "0", 5607 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:30"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_8": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5616, 5617, 5618, 5619, "0", 5620, 5621, 5622, "x", "x", "x", "x", "x", "x", "x", "x", 5623, 5624, 5625, "1", 5469, 5455, 5626, "0", "0", 5620 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:31"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#case_alt_9": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5627, 5628, 5629, 5469, "0", 5630, 5631, 5632, "x", "x", "x", "x", "x", "x", "x", "x", 5633, 5634, 5635, "1", "0", "1", 5636, "0", "0", 5630 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:32"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#initCount_app_arg": {
- "hide_name": 0,
- "bits": [ 4270, 3375, 4292, 4294, 4296, 4298, 4300, 4302, 4304, 4306, 4272, 4274, 4276, 4278, 4280, 4282, 4284, 4286, 4288, 4290 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#tup_case_alt": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5637, 5638, 5639, 5640, 5641, 5642, 5643, 5644, "x", "x", "x", "x", "x", "x", "x", "x", 5645, 5646, 5647, "1", 5648, 5649, 5650, "0", "0", 5642 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:56"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.#tup_case_alt_2": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, 3609, 1964, "0", "0", 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, "0", 5677, 5678 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:76"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#app_arg_10": {
- "hide_name": 0,
- "bits": [ 5679, 5680, 5681, 5682 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:76",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#app_arg_12": {
- "hide_name": 0,
- "bits": [ 1656, 1658, 1660, 1662, 1664, 1666, 1668, 1670, "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:90"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#app_arg_13": {
- "hide_name": 0,
- "bits": [ 5683 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:97",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#app_arg_16": {
- "hide_name": 0,
- "bits": [ 1672, 1674, 1676, 1678, 1680, 1682, 1684, 1686, "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:112"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#app_arg_20": {
- "hide_name": 0,
- "bits": [ 1705, 1707, 1709, 1711, 1713, 1715, 1717, 1719, "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:134"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#app_arg_8": {
- "hide_name": 0,
- "bits": [ 1640, 1642, 1644, 1646, 1648, 1650, 1652, 1654, "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:68"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_10": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:131"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_3": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:63"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_4": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:65"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_5": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:85"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_6": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:87"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_7": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:107"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_8": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:109"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#buffer_case_alt_9": {
- "hide_name": 0,
- "bits": [ 1690, 1692, 1694, 1696, 1698, 1700, 1702, 1704 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:129"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#case_alt_10": {
- "hide_name": 0,
- "bits": [ 1706, 1708, 1710, 1712, 1714, 1716, 1718, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:143"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#case_alt_4": {
- "hide_name": 0,
- "bits": [ 1641, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:77"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#case_alt_6": {
- "hide_name": 0,
- "bits": [ 1657, 1659, 1661, 1663, 1665, 1667, 1669, 1671, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:99"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.#case_alt_8": {
- "hide_name": 0,
- "bits": [ 1673, 1675, 1677, 1679, 1681, 1683, 1685, 1687, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:121"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.#oldData_case_alt": {
- "hide_name": 0,
- "bits": [ 1657, 1659, 1661, 1663, 1665, 1667, 1669, 1671, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:15"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.#oldData_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1657, 1659, 1661, 1663, 1665, 1667, 1669, 1671, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:16"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.#oldData_rec": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.#pTS_0": {
- "hide_name": 0,
- "bits": [ 1657, 1659, 1661, 1663, 1665, 1667, 1669, 1671, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.a1": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.b1": {
- "hide_name": 0,
- "bits": [ 1657, 1659, 1661, 1663, 1665, 1667, 1669, 1671, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:18"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.ds": {
- "hide_name": 0,
- "bits": [ 5683, 5679, 5680, 5681, 5682, 1657, 1659, 1661, 1663, 1665, 1667, 1669, 1671, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:21"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_0_result_3.oldData": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:475|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_0.v:12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.#oldData_case_alt": {
- "hide_name": 0,
- "bits": [ 1641, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:19"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.#oldData_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1641, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:20"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.#oldData_rec": {
- "hide_name": 0,
- "bits": [ 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:21"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.#pTS_0": {
- "hide_name": 0,
- "bits": [ 1641, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.#pTS_1": {
- "hide_name": 0,
- "bits": [ 5679, 5680, 5681, 5682 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:8",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.#pTS_2": {
- "hide_name": 0,
- "bits": [ 5683 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:9",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.a1": {
- "hide_name": 0,
- "bits": [ 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:18"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.b1": {
- "hide_name": 0,
- "bits": [ 1641, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.c1": {
- "hide_name": 0,
- "bits": [ 5679, 5680, 5681, 5682 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:16",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.d1": {
- "hide_name": 0,
- "bits": [ 5683 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:15",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.ds": {
- "hide_name": 0,
- "bits": [ 5683, 5679, 5680, 5681, 5682, 1641, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_1_result_2.oldData": {
- "hide_name": 0,
- "bits": [ 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:387|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_1.v:12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_2_result_7.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:827|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_2.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.#oldData_case_alt": {
- "hide_name": 0,
- "bits": [ 1673, 1675, 1677, 1679, 1681, 1683, 1685, 1687, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:15"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.#oldData_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1673, 1675, 1677, 1679, 1681, 1683, 1685, 1687, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:16"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.#oldData_rec": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.#pTS_0": {
- "hide_name": 0,
- "bits": [ 1673, 1675, 1677, 1679, 1681, 1683, 1685, 1687, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.#pTS_1": {
- "hide_name": 0,
- "bits": [ 5679, 5680, 5681, 5682 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:8",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.#pTS_2": {
- "hide_name": 0,
- "bits": [ 5683 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:9",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.a1": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.b1": {
- "hide_name": 0,
- "bits": [ 1673, 1675, 1677, 1679, 1681, 1683, 1685, 1687, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:18"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.c1": {
- "hide_name": 0,
- "bits": [ 5679, 5680, 5681, 5682 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:19",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.d1": {
- "hide_name": 0,
- "bits": [ 5683 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:20",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.ds": {
- "hide_name": 0,
- "bits": [ 5683, 5679, 5680, 5681, 5682, 1673, 1675, 1677, 1679, 1681, 1683, 1685, 1687, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:21"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_3_result_4.oldData": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:563|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_3.v:12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_4_result_8.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:915|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_4.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_5_result_1.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:299|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_5.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.#oldData_case_alt": {
- "hide_name": 0,
- "bits": [ 1706, 1708, 1710, 1712, 1714, 1716, 1718, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:15"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.#oldData_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1706, 1708, 1710, 1712, 1714, 1716, 1718, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:16"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.#oldData_rec": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.#pTS_0": {
- "hide_name": 0,
- "bits": [ 1706, 1708, 1710, 1712, 1714, 1716, 1718, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.#pTS_1": {
- "hide_name": 0,
- "bits": [ 5679, 5680, 5681, 5682 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:8",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.#pTS_2": {
- "hide_name": 0,
- "bits": [ 5683 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:9",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.a1": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.b1": {
- "hide_name": 0,
- "bits": [ 1706, 1708, 1710, 1712, 1714, 1716, 1718, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:18"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.c1": {
- "hide_name": 0,
- "bits": [ 5679, 5680, 5681, 5682 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:19",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.d1": {
- "hide_name": 0,
- "bits": [ 5683 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:20",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.ds": {
- "hide_name": 0,
- "bits": [ 5683, 5679, 5680, 5681, 5682, 1706, 1708, 1710, 1712, 1714, 1716, 1718, 1720, 1721, 1722, 1723, 1724, 1725, 1726, 1727, 1728, 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:21"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_6_result_5.oldData": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:651|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_6.v:12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_7_result_0.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:211|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister_7.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.SensorSpi_sensorRegister_result_6.#pTS": {
- "hide_name": 0,
- "bits": [ 3233, 3364 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:739|verilog/TopEntity/TopEntity/SensorSpi_sensorRegister.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.buffer_1": {
- "hide_name": 0,
- "bits": [ 1640, 1642, 1644, 1646, 1648, 1650, 1652, 1654 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:67"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.buffer_2": {
- "hide_name": 0,
- "bits": [ 1656, 1658, 1660, 1662, 1664, 1666, 1668, 1670 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:89"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.buffer_3": {
- "hide_name": 0,
- "bits": [ 1672, 1674, 1676, 1678, 1680, 1682, 1684, 1686 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:111"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.buffer_4": {
- "hide_name": 0,
- "bits": [ 1705, 1707, 1709, 1711, 1713, 1715, 1717, 1719 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:133"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.crt": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:15",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_0": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:20",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_1": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:37",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_10": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:130",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_11": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:147",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_12": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:152",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_13": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:169",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_14": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:174",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_15": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:191",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_16": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:196",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_2": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:42",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_3": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:59",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_4": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:64",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_5": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:81",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_6": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:86",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_7": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:103",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_8": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:108",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.d_9": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:125",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_0": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:25",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_1": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:39"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_10": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:135",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_11": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:149"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_12": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:157",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_13": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:171"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_14": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:179",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_15": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:193"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_16": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:201",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_2": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:47",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_3": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:61"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_4": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:69",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_5": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:83"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_6": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:91",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_7": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:105"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_8": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:113",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds1_9": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:127"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:13",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_0": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:26",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_1": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:35",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_10": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:136",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_11": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:145",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_12": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:158",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_13": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:167",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_14": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:180",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_15": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:189",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_16": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:202",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_2": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:48",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_3": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:57",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_4": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:70",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_5": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:79",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_6": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:92",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_7": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:101",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_8": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:114",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds2_9": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:123",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:27",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_0": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:49",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_1": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:71",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_2": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:93",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_3": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:115",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_4": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:137",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_5": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:159",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_6": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:181",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds3_7": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:203",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:16",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_0": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:29",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_1": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:38",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_10": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:139",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_11": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:148",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_12": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:161",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_13": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:170",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_14": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:183",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_15": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:192",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_16": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:205",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_2": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:51",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_3": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:60",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_4": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:73",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_5": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:82",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_6": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:95",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_7": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:104",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_8": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:117",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds4_9": {
- "hide_name": 0,
- "bits": [ 5659 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:126",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_0": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:36"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_1": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, 1640, 1642, 1644, 1646, 1648, 1650, 1652, 1654 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:58"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_2": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, 1656, 1658, 1660, 1662, 1664, 1666, 1668, 1670 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:80"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_3": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, 1672, 1674, 1676, 1678, 1680, 1682, 1684, 1686 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:102"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_4": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, 1705, 1707, 1709, 1711, 1713, 1715, 1717, 1719 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:124"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_5": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:146"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_6": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:168"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.ds_7": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:190"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.old_1": {
- "hide_name": 0,
- "bits": [ 1640, 1642, 1644, 1646, 1648, 1650, 1652, 1654 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:62"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.old_2": {
- "hide_name": 0,
- "bits": [ 1656, 1658, 1660, 1662, 1664, 1666, 1668, 1670 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:84"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.old_3": {
- "hide_name": 0,
- "bits": [ 1672, 1674, 1676, 1678, 1680, 1682, 1684, 1686 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:106"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.old_4": {
- "hide_name": 0,
- "bits": [ 1705, 1707, 1709, 1711, 1713, 1715, 1717, 1719 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:128"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:28",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_0": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:50",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_1": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:72",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_2": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:94",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_3": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:116",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_4": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:138",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_5": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:160",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_6": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:182",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.reg_7": {
- "hide_name": 0,
- "bits": [ 5660, 5661, 5662, 5663 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:204",
- "unused_bits": "0 1 2 3"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.result": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:10"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.result_2": {
- "hide_name": 0,
- "bits": [ 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:56"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.result_3": {
- "hide_name": 0,
- "bits": [ 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:78"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.result_4": {
- "hide_name": 0,
- "bits": [ 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:100"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_registerManager_app_arg.result_5": {
- "hide_name": 0,
- "bits": [ 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:583|verilog/TopEntity/TopEntity/SensorSpi_registerManager.v:122"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_sensorSelector_app_arg_0.#case_alt": {
- "hide_name": 0,
- "bits": [ 24, 22, 21, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:591|verilog/TopEntity/TopEntity/SensorSpi_sensorSelector.v:10"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_sensorSelector_app_arg_0.ds": {
- "hide_name": 0,
- "bits": [ 64, 63, 24, 5400, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:591|verilog/TopEntity/TopEntity/SensorSpi_sensorSelector.v:6"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_sensorSelector_app_arg_0.ds1": {
- "hide_name": 0,
- "bits": [ 24, 5400, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:591|verilog/TopEntity/TopEntity/SensorSpi_sensorSelector.v:15"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_sensorSelector_app_arg_0.ds2": {
- "hide_name": 0,
- "bits": [ 64, 63 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:591|verilog/TopEntity/TopEntity/SensorSpi_sensorSelector.v:16"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_sensorSelector_app_arg_0.sdi": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:591|verilog/TopEntity/TopEntity/SensorSpi_sensorSelector.v:12"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_sensorSelector_app_arg_0.spc": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:591|verilog/TopEntity/TopEntity/SensorSpi_sensorSelector.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#app_arg": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 53, 66, 56, 57, 59, 62, 61, 60, 96, 95 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:46"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#case_alt": {
- "hide_name": 0,
- "bits": [ 24, 5400, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:47"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#ds1_app_arg": {
- "hide_name": 0,
- "bits": [ 4769, 4770, 4771, 4772, 4773, 4774, 4775, "0", 1900 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:21"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#ds_app_arg": {
- "hide_name": 0,
- "bits": [ 155, 150, 145, 142, 138, 137, 38, 60, 98, 97 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#ds_app_arg_0": {
- "hide_name": 0,
- "bits": [ "0", "0", 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, "0", 1897 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:22"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#tup_case_alt": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 155, 150, 145, 142, 138, 137, 38, 60, "0", 5692, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "x", "0", 155, 150, 145, 142, 138, 137, 38, 60, 5693, 5694 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:36"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#tup_case_alt_0": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", 155, 150, 145, 142, 138, 137, 38, 60, 5695, "0", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "x", "x", "x", "x", "x", "x", "x", "x", "0", 155, 150, 145, 142, 138, 137, 38, 60, 5696, 5697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:37"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.#tup_case_alt_2": {
- "hide_name": 0,
- "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 2138, 954, 955, "0", "0", 2128, 2130, 2131, 2133, 2134, 2135, 157, 152, 143, 143, 139, 2136, "0", "0", 99, 156, 151, 146, 100, 140, 2137, 39, "0", 100, 158, 153, 147, 144, 141, 103, 40, 2138, 885, 792 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:40"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#$j_app_arg": {
- "hide_name": 0,
- "bits": [ 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:45"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#controlBuffer_case_alt": {
- "hide_name": 0,
- "bits": [ 4769, 4770, 4771, 4772, 4773, 4774, 4775, "x", 5698 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:60"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#spc_case_alt": {
- "hide_name": 0,
- "bits": [ 1899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:20"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#state_case_alt": {
- "hide_name": 0,
- "bits": [ 259, 257, 253, 249, 245, 241, 237, 233, 229, 225, 221, 202, 889, 746, 890 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:59"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#tup_app_arg": {
- "hide_name": 0,
- "bits": [ 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:39"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#tup_app_arg_0": {
- "hide_name": 0,
- "bits": [ 5, "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:57"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#tup_case_alt": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5699, 5700, 5701, 259, 257, 253, 249, 245, 241, 237, 233, 229, 225, 221, 202, 889, 746, 890 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:25"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#tup_case_alt_1": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "0", 5702, "0", "x", "x", "x", "x", "0", 242, 238, 234, 230, 226, 222, 217, 751 ],
- "attributes": {
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.#tup_case_alt_2": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "0", "0", 5703, 5704, 5705, 5706, 5707, 243, 239, 235, 231, 227, 223, 219, 200, 5708 ],
- "attributes": {
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.a": {
- "hide_name": 0,
- "bits": [ 243, 239, 235, 231, 227, 223, 219, 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:40"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.a1": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215, 243, 239, 235, 231, 227, 223, 219, 200, 209, 208, 207 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:47"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.a_0": {
- "hide_name": 0,
- "bits": [ 243, 239, 235, 231, 227, 223, 219, 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:43"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.a_1": {
- "hide_name": 0,
- "bits": [ 243, 239, 235, 231, 227, 223, 219, 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:46"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.a_2": {
- "hide_name": 0,
- "bits": [ 242, 238, 234, 230, 226, 222, 217, "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:51"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.a_3": {
- "hide_name": 0,
- "bits": [ 243, 239, 235, 231, 227, 223, 219, 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:52"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.a_4": {
- "hide_name": 0,
- "bits": [ 243, 239, 235, 231, 227, 223, 219, 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:56"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.b1": {
- "hide_name": 0,
- "bits": [ 242, 238, 234, 230, 226, 222, 217, "x", 751 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:48"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.c": {
- "hide_name": 0,
- "bits": [ 740, 741, 742, 743, 736, 737, 738, 739 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.c1": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:49"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.c_0": {
- "hide_name": 0,
- "bits": [ 740, 741, 742, 743, 736, 737, 738, 739 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:61"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.controlBuffer": {
- "hide_name": 0,
- "bits": [ 242, 238, 234, 230, 226, 222, 217, "x", 751 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:54"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.d": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5699 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:15",
- "unused_bits": "0 1 2 3 4 5 6 7 8"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.d1": {
- "hide_name": 0,
- "bits": [ 204 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:50"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds": {
- "hide_name": 0,
- "bits": [ 204, 5, 242, 238, 234, 230, 226, 222, 217, "x", 751, 212, 213, 214, 215, 243, 239, 235, 231, 227, 223, 219, 200, 209, 208, 207 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:53"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds1": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5699, 740, 741, 742, 743, 736, 737, 738, 739 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:19"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds1_0": {
- "hide_name": 0,
- "bits": [ 204, 259, 257, 253, 249, 245, 241, 237, 233, 229, 225, 221, 202, 889, 746, 890, 740, 741, 742, 743, 736, 737, 738, 739 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:28"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds1_1": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215, 243, 239, 235, 231, 227, 223, 219, 200, 209, 208, 207, 259, 257, 253, 249, 245, 241, 237, 233, 229, 225, 221, 202, 889, 746, 890, 740, 741, 742, 743, 736, 737, 738, 739 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:64"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds2": {
- "hide_name": 0,
- "bits": [ 740, 741, 742, 743, 736, 737, 738, 739 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:26"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds3": {
- "hide_name": 0,
- "bits": [ 259, 257, 253, 249, 245, 241, 237, 233, 229, 225, 221, 202, 889, 746, 890 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:23"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds4": {
- "hide_name": 0,
- "bits": [ 204 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:27"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds6": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215, 243, 239, 235, 231, 227, 223, 219, 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:41"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.ds6_0": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215, 243, 239, 235, 231, 227, 223, 219, 200 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:42"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.i": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:36"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.i_0": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:38"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.n": {
- "hide_name": 0,
- "bits": [ 259, 257, 253, 249, 245, 241, 237, 233, 229, 225, 221, 202, 889, 746, 890 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:62"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.o": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215, 243, 239, 235, 231, 227, 223, 219, 200, 209, 208, 207 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:63"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.readControl": {
- "hide_name": 0,
- "bits": [ 4769, 4770, 4771, 4772, 4773, 4774, 4775, "0", 1900 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:8"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.result": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 204, 5700, 5701 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:11"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.result_0": {
- "hide_name": 0,
- "bits": [ 740, 741, 742, 743, 736, 737, 738, 739 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:21"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.result_2": {
- "hide_name": 0,
- "bits": [ 4769, 4770, 4771, 4772, 4773, 4774, 4775, "x", 1901 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:58"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.sdo": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.spc": {
- "hide_name": 0,
- "bits": [ 204 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:16"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.state": {
- "hide_name": 0,
- "bits": [ 212, 213, 214, 215, 243, 239, 235, 231, 227, 223, 219, 200, 209, 208, 207 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:55"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.x": {
- "hide_name": 0,
- "bits": [ 5701 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:17",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.x_0": {
- "hide_name": 0,
- "bits": [ 5700 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:18",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.x_1": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5699 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:24",
- "unused_bits": "0 1 2 3 4 5 6 7 8"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_readSPI_ds1.x_2": {
- "hide_name": 0,
- "bits": [ 259, 257, 253, 249, 245, 241, 237, 233, 229, 225, 221, 202, 889, 746, 890 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:96|verilog/TopEntity/TopEntity/SensorSpi_readSPI.v:44"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#$j_app_arg": {
- "hide_name": 0,
- "bits": [ 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:48"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#controlBuffer_case_alt": {
- "hide_name": 0,
- "bits": [ "0", "0", 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, "x", 5709 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:64"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#spc_case_alt": {
- "hide_name": 0,
- "bits": [ 1030 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:19"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#state_case_alt": {
- "hide_name": 0,
- "bits": [ 197, 193, 188, 180, 176, 173, 170, 164, 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514, 3504, 818, 888 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:59"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_app_arg": {
- "hide_name": 0,
- "bits": [ 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:42"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_app_arg_0": {
- "hide_name": 0,
- "bits": [ 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:55"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_app_arg_1": {
- "hide_name": 0,
- "bits": [ 959, 5710, 974, 840 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:56"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt": {
- "hide_name": 0,
- "bits": [ 5711, 5712, 5713, 197, 193, 188, 180, 176, 173, 170, 164, 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514, 3504, 818, 888 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:24"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_0": {
- "hide_name": 0,
- "bits": [ "0", "0", 5714, 5715, 5716, 5717, 5718, 174, 171, 168, 161, 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813, 5719, 5720, 5721 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:29"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_1": {
- "hide_name": 0,
- "bits": [ "0", 5722, 5723, 194, 195, 189, 178, 174, 171, 168, 161, 5724, 5725, 5726, 5727, 5728, 5729, 5730, 5731, 5732, 5733, 5734, 5735, 5736, 5737, 5738 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:31"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_2": {
- "hide_name": 0,
- "bits": [ "0", 5739, "0", "x", "x", "x", "x", 175, 172, 169, 163, 961, 966, 972, 845, "0", 985, 991, 997, 1003, 1009, 1015, 1020, 731 ],
- "attributes": {
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_3": {
- "hide_name": 0,
- "bits": [ 5740, "1", "0", "x", "x", "x", "x", "x", "x", "x", "x", 960, 5741, 968, 836, 978, 981, 988, 992, 1001, 1004, 1013 ],
- "attributes": {
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_4": {
- "hide_name": 0,
- "bits": [ "0", "0", 813, 5742, 5743, 5744, 5745, 174, 171, 168, 161, 5746, 5747, 5748, 5749, 5750, 5751, 5752, 5753, 5754, 5755, 5756, 5757, 5758 ],
- "attributes": {
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_4_selection_res": {
- "hide_name": 0,
- "bits": [ 182 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:72"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_5": {
- "hide_name": 0,
- "bits": [ "0", 5759, 5760, 194, 195, 189, 178, 174, 171, 168, 161, 5761, 5762, 5763, 5764, 5765, 5766, 5767, 5768, 5769, 5770, 5771, 5772, 5773, 5774, 5775 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:39"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.#tup_case_alt_6": {
- "hide_name": 0,
- "bits": [ "0", "0", 813, 194, 195, 189, 178, 174, 171, 168, 161, 5776, 5777, 5778, 5779, 5780, 5781, 5782, 5783, 5784, 5785, 5786, 5787, 5788, 5789, 5790 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:43"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.a": {
- "hide_name": 0,
- "bits": [ 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:53"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.a_0": {
- "hide_name": 0,
- "bits": [ 985, 991, 997, 1003, 1009, 1015, 1020, "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:60"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.a_1": {
- "hide_name": 0,
- "bits": [ 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:68"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.c": {
- "hide_name": 0,
- "bits": [ 727, 728, 729, 730, 723, 724, 725, 726 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:13"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.c_0": {
- "hide_name": 0,
- "bits": [ 727, 728, 729, 730, 723, 724, 725, 726 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:65"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.controlBuffer": {
- "hide_name": 0,
- "bits": [ 175, 172, 169, 163, 961, 966, 972, 845, 985, 991, 997, 1003, 1009, 1015, 1020, "x", 731 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:50"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.d_0": {
- "hide_name": 0,
- "bits": [ 175, 172, 169, 163, 961, 966, 972, 845 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:52"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.d_1": {
- "hide_name": 0,
- "bits": [ 174, 171, 168, 161, 803, 804, 805, 802 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:54"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.d_2": {
- "hide_name": 0,
- "bits": [ 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:57"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.d_3": {
- "hide_name": 0,
- "bits": [ 174, 171, 168, 161, 803, 804, 805, 802 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:62"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds1": {
- "hide_name": 0,
- "bits": [ 5711, 727, 728, 729, 730, 723, 724, 725, 726 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:18"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds1_0": {
- "hide_name": 0,
- "bits": [ 182, 197, 193, 188, 180, 176, 173, 170, 164, 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514, 3504, 818, 888, 727, 728, 729, 730, 723, 724, 725, 726 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:28"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds1_1": {
- "hide_name": 0,
- "bits": [ 194, 195, 189, 178, 174, 171, 168, 161, 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813, 167, 165, 166, 197, 193, 188, 180, 176, 173, 170, 164, 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514, 3504, 818, 888, 727, 728, 729, 730, 723, 724, 725, 726 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:69"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds2": {
- "hide_name": 0,
- "bits": [ 727, 728, 729, 730, 723, 724, 725, 726 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:26"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds3": {
- "hide_name": 0,
- "bits": [ 197, 193, 188, 180, 176, 173, 170, 164, 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514, 3504, 818, 888 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:22"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds4": {
- "hide_name": 0,
- "bits": [ 182 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:27"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds5": {
- "hide_name": 0,
- "bits": [ 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:40"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds5_0": {
- "hide_name": 0,
- "bits": [ 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:41"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds5_1": {
- "hide_name": 0,
- "bits": [ 174, 171, 168, 161, 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:45"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds5_2": {
- "hide_name": 0,
- "bits": [ 194, 195, 189, 178, 174, 171, 168, 161, 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:46"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds5_3": {
- "hide_name": 0,
- "bits": [ 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:49"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds5_4": {
- "hide_name": 0,
- "bits": [ 175, 172, 169, 163, 961, 966, 972, 845, 985, 991, 997, 1003, 1009, 1015, 1020, "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:61"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.ds5_5": {
- "hide_name": 0,
- "bits": [ 174, 171, 168, 161, 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:63"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.i": {
- "hide_name": 0,
- "bits": [ 958, 965, 971, 839 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:34"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.i_0": {
- "hide_name": 0,
- "bits": [ 194, 195, 189, 178 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:38"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.i_1": {
- "hide_name": 0,
- "bits": [ 803, 804, 805, 802 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:44"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.n": {
- "hide_name": 0,
- "bits": [ 197, 193, 188, 180, 176, 173, 170, 164, 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514, 3504, 818, 888 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:66"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.o": {
- "hide_name": 0,
- "bits": [ 194, 195, 189, 178, 174, 171, 168, 161, 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813, 167, 165, 166 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:67"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.result": {
- "hide_name": 0,
- "bits": [ 5695, 182, 5712, 5713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:10"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.result_0": {
- "hide_name": 0,
- "bits": [ 727, 728, 729, 730, 723, 724, 725, 726 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:20"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.result_1": {
- "hide_name": 0,
- "bits": [ "0", "0", 813, 5742, 5743, 5744, 5745, 174, 171, 168, 161, 5791, 5792, 5793, 5794, 5795, 5796, 5797, 5798, 5799, 5800, 5801, 5802, 5803, 5804, 5805 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:30"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.result_2": {
- "hide_name": 0,
- "bits": [ "0", "0", 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, "x", 1898 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:58"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.spc": {
- "hide_name": 0,
- "bits": [ 182 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:15"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.state": {
- "hide_name": 0,
- "bits": [ 194, 195, 189, 178, 174, 171, 168, 161, 803, 804, 805, 802, 806, 807, 810, 808, 811, 812, 809, 813, 167, 165, 166 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:51"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.writeControl": {
- "hide_name": 0,
- "bits": [ "0", "0", 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, "0", 1897 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.x": {
- "hide_name": 0,
- "bits": [ 5713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:16",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.x_0": {
- "hide_name": 0,
- "bits": [ 5712 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:17",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.x_1": {
- "hide_name": 0,
- "bits": [ 5711 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:23",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.SensorSpi_writeSPI_ds_0.x_2": {
- "hide_name": 0,
- "bits": [ 197, 193, 188, 180, 176, 173, 170, 164, 958, 965, 971, 839, 977, 980, 987, 995, 1000, 1007, 1012, 3514, 3504, 818, 888 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:102|verilog/TopEntity/TopEntity/SensorSpi_writeSPI.v:47"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.a": {
- "hide_name": 0,
- "bits": [ 155, 150, 145, 142, 138, 137, 38, 60 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:19"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.a1": {
- "hide_name": 0,
- "bits": [ 155, 150, 145, 142, 138, 137, 38, 60, 98, 97 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:28"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.a1_0": {
- "hide_name": 0,
- "bits": [ 158, 153, 147, 144, 141, 103, 40, 2138, 885, 792 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:44"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.a_0": {
- "hide_name": 0,
- "bits": [ 155, 150, 145, 142, 138, 137, 38, 60 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:29"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.c1": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:26",
- "unused_bits": "0 1 2 3 4 5 6 7 8"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.c1_0": {
- "hide_name": 0,
- "bits": [ 204, 5700, 5701 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:42"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.d": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:20",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.d1": {
- "hide_name": 0,
- "bits": [ 5695 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:25",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.d1_0": {
- "hide_name": 0,
- "bits": [ 182, 5712, 5713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:41"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.ds": {
- "hide_name": 0,
- "bits": [ 5695, 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, "0", "0", 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, "0", 5677, 5678, 155, 150, 145, 142, 138, 137, 38, 60, 98, 97 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:18"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.ds1": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692, 204, 5700, 5701 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:23"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.ds7": {
- "hide_name": 0,
- "bits": [ "0", "0", 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:31",
- "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.ds_0": {
- "hide_name": 0,
- "bits": [ 5695, 182, 5712, 5713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:24"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.ds_1": {
- "hide_name": 0,
- "bits": [ 182, 5712, 5713, 204, 5700, 5701, "0", "1", "0", 158, 153, 147, 144, 141, 103, 40, 2138, 885, 792 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:39"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.readFinished": {
- "hide_name": 0,
- "bits": [ 5684, 5685, 5686, 5687, 5688, 5689, 5690, 5691, 5692 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:14",
- "unused_bits": "0 1 2 3 4 5 6 7 8"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.readOut": {
- "hide_name": 0,
- "bits": [ 204, 5700, 5701 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:35"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.result": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 53, 66, 56, 57, 59, 62, 61, 60, 96, 95, 24, 5400, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:11"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.sdo": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.spiCrt": {
- "hide_name": 0,
- "bits": [ "0", "0", 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, "0", 5677, 5678 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:8",
- "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 16 17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.writeFinished": {
- "hide_name": 0,
- "bits": [ 5695 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:13",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.writeOut": {
- "hide_name": 0,
- "bits": [ 182, 5712, 5713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:34"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.x": {
- "hide_name": 0,
- "bits": [ 156, 151, 146, 100, 140, 2137, 39, "0", 100 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:15"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.x_0": {
- "hide_name": 0,
- "bits": [ "0", "0", 2128, 2130, 2131, 2133, 2134, 2135, 157, 152, 143, 143, 139, 2136, "0", "0", 99 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:16"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.x_1": {
- "hide_name": 0,
- "bits": [ 158, 153, 147, 144, 141, 103, 40, 2138, 885, 792 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:33"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.SensorSpi_spi_ds.x_2": {
- "hide_name": 0,
- "bits": [ 939, 940, 941, 942, 943, 944, 945, 946, 947, 948, 949, 950, 951, 952, 953, 2138, 954, 955 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:553|verilog/TopEntity/TopEntity/SensorSpi_spi.v:45"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.d": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.ds": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 53, 66, 56, 57, 59, 62, 61, 60, 96, 95, 24, 5400, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:75"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.ds1": {
- "hide_name": 0,
- "bits": [ 64, 63, 5806, 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 53, 66, 56, 57, 59, 62, 61, 60, 96, 95 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:18"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.ds2": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 53, 66, 56, 57, 59, 62, 61, 60, 96, 95 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:71"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.ds3": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 53, 66, 56, 57, 59, 62, 61, 60 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:16"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.ds3_0": {
- "hide_name": 0,
- "bits": [ 53, 66, 56, 57, 59, 62, 61, 60 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:20"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.ds4": {
- "hide_name": 0,
- "bits": [ 53, 66, 56, 57, 59, 62, 61, 60 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:21"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.initCount": {
- "hide_name": 0,
- "bits": [ 129, 130, 131, 132, 125, 126, 127, 128, 121, 122, 123, 124, 117, 118, 119, 120, 113, 114, 115, 116 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:13"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.input": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:7"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.pre_output": {
- "hide_name": 0,
- "bits": [ 24, 5400, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:78"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 24, 22, 21, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:10"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_0": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, "1", "1", "1", "0", "0", 5807, 5807, 5808, "x", "x", "x", "x", "x", "x", "x", "x", "0", "0", "0", "1", "0", "1", "1", "0", "0", 5807 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:39"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_1": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5809, "1", "1", "0", "0", 5810, 5811, 5812, "x", "x", "x", "x", "x", "x", "x", "x", 5813, "0", 5813, "1", "0", "1", 5809, "0", "0", 5810 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:40"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_10": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5814, 5815, 5816, 5817, "0", 5818, 5819, 5820, "x", "x", "x", "x", "x", "x", "x", "x", 5821, 5822, 5823, "1", 5824, 5825, 5826, "0", "0", 5818 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:49"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_11": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5827, 5828, 5829, 5830, "0", 5831, 5832, 5833, "x", "x", "x", "x", "x", "x", "x", "x", 5834, 5835, 5836, "1", 5837, 5838, 5839, "0", "0", 5831 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:50"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_12": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5840, 5841, 5842, 5843, 5844, 5845, 5846, 5847, "x", "x", "x", "x", "x", "x", "x", "x", 5848, 5849, 5850, "1", 5851, 5852, 5853, "0", "0", 5845 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:51"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_13": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, "x", "x", "x", "x", "x", "x", "x", "x", 5862, 5863, 5864, "1", 5865, 5866, 5867, "0", "0", 5859 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:52"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_14": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5868, 5869, 5870, 5871, 5872, 5873, 5874, 5875, "x", "x", "x", "x", "x", "x", "x", "x", 5876, 5877, 5878, "1", 5879, 5880, 5881, "0", "0", 5873 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:53"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_15": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5882, 5883, 5884, 5885, 5886, 5887, 5888, 5889, "x", "x", "x", "x", "x", "x", "x", "x", 5890, 5891, 5892, "1", 5893, 5894, 5895, "0", "0", 5887 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:54"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_16": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5896, 5897, 5898, 5899, 5900, 5901, 5902, 5903, "x", "x", "x", "x", "x", "x", "x", "x", 5904, 5905, 5906, "1", 5907, 5908, 5909, "0", "0", 5901 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:55"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_2": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5910, 5911, "1", "0", "0", 5912, 5913, 5914, "x", "x", "x", "x", "x", "x", "x", "x", 5915, "0", 5916, "1", "0", "1", 5917, "0", "0", 5912 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:41"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_23": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5918, 5919, 5920, 5921, 5922, 5923, 5924, 5925, "x", "x", "x", "x", "x", "x", "x", "x", 5926, 5927, 5928, "1", 5929, 5930, 5931, "0", "0", 5923 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:73"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_3": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5932, 5933, "1", "0", "0", 5934, 5935, 5936, "x", "x", "x", "x", "x", "x", "x", "x", 5937, 5938, 5939, "1", "0", "1", 5940, "0", "0", 5934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:42"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_4": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5941, 5942, 5943, "0", "0", 5944, 5945, 5946, "x", "x", "x", "x", "x", "x", "x", "x", 5947, 5948, 5949, "1", "0", "1", 5950, "0", "0", 5944 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:43"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_5": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5951, 5952, 5953, "0", "0", 5954, 5955, 5956, "x", "x", "x", "x", "x", "x", "x", "x", 5957, 5958, 5959, "1", "0", "1", 5960, "0", "0", 5954 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:44"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_6": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5961, 5962, 5963, 5964, "0", 5965, 5966, 5967, "x", "x", "x", "x", "x", "x", "x", "x", 5968, 5969, 5970, "1", "0", "1", 5971, "0", "0", 5965 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:45"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_7": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5972, 5973, 5974, 5975, "0", 5976, 5977, 5978, "x", "x", "x", "x", "x", "x", "x", "x", 5979, 5980, 5981, "1", 5982, 5983, 5984, "0", "0", 5976 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:46"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_8": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5985, 5986, 5987, 5988, "0", 5989, 5990, 5991, "x", "x", "x", "x", "x", "x", "x", "x", 5992, 5993, 5994, "1", 5995, 5996, 5997, "0", "0", 5989 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:47"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.result_9": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 5998, 5999, 6000, 6001, "0", 6002, 6003, 6004, "x", "x", "x", "x", "x", "x", "x", "x", 6005, 6006, 6007, "1", 6008, 6009, 6010, "0", "0", 6002 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:48"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.sensType": {
- "hide_name": 0,
- "bits": [ 64, 63 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:15"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.sensorType": {
- "hide_name": 0,
- "bits": [ 64, 63 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:79"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.spiOutput": {
- "hide_name": 0,
- "bits": [ 1689, 1691, 1693, 1695, 1697, 1699, 1701, 1703, 53, 66, 56, 57, 59, 62, 61, 60, 96, 95 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:14"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.x": {
- "hide_name": 0,
- "bits": [ "0", "0", 5664, 5665, 5666, 5667, 5668, 5669, 5670, 5671, 5672, 5673, 5674, 5675, 5676, "0", 5677, 5678 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:70",
- "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 16 17"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.x_0": {
- "hide_name": 0,
- "bits": [ 3609, 1964 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:77"
- }
- },
- "main.Converter_inputManager_ds.SensorSpi_sensor_ds.x_1": {
- "hide_name": 0,
- "bits": [ 5651, 5652, 5653, 5654, 5655, 5656, 5657, 5658, 5659, 5660, 5661, 5662, 5663, 42 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:60|verilog/TopEntity/TopEntity/SensorSpi_sensor.v:80"
- }
- },
- "main.Converter_inputManager_ds.ds": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 24, 22, 21, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:23"
- }
- },
- "main.Converter_inputManager_ds.registerVec": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 319, 332, 333, 334, 328, 329, 330, 331, 342, 343, 344, 345, 338, 339, 340, 341, 346, 359, 360, 361, 355, 356, 357, 358, 369, 370, 371, 372, 365, 366, 367, 368, 373, 388, 389, 390, 384, 385, 386, 387, 391, 392, 393, 394, 395, 396, 397, 398, 434, 435, 436, 437, 430, 431, 432, 433, 438, 439, 441, 442, 427, 443, 426, 444, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:21"
- }
- },
- "main.Converter_inputManager_ds.reset": {
- "hide_name": 0,
- "bits": [ 5395 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:19",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.result": {
- "hide_name": 0,
- "bits": [ 5395, 5394, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "x", "x", 22, "1", 24, 23, 21 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:10"
- }
- },
- "main.Converter_inputManager_ds.rotation": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:17"
- }
- },
- "main.Converter_inputManager_ds.sdo": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:7"
- }
- },
- "main.Converter_inputManager_ds.sensorOut1": {
- "hide_name": 0,
- "bits": [ 24, 22, 21, 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:22"
- }
- },
- "main.Converter_inputManager_ds.shot": {
- "hide_name": 0,
- "bits": [ 5394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:18",
- "unused_bits": "0"
- }
- },
- "main.Converter_inputManager_ds.x": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:12"
- }
- },
- "main.Converter_inputManager_ds.x_0": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:13"
- }
- },
- "main.Converter_inputManager_ds.x_1": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:14"
- }
- },
- "main.Converter_inputManager_ds.x_2": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:125|verilog/TopEntity/TopEntity/Converter_inputManager.v:15"
- }
- },
- "main.DATA": {
- "hide_name": 0,
- "bits": [ 15, 16, 17, 18 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:18"
- }
- },
- "main.LAT": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:19"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#*#Out": {
- "hide_name": 0,
- "bits": [ "0", "0", "0", "0", "0", 908, 917, 924, 931, 937 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:76"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#*#Out_app_arg": {
- "hide_name": 0,
- "bits": [ 908, 917, 924, 931, 937 ],
- "attributes": {
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#*#Out_case_alt": {
- "hide_name": 0,
- "bits": [ 274, 916, 923, 930, "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:83"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#*#Out_case_alt_0": {
- "hide_name": 0,
- "bits": [ 902, 913, 920, 927, 934, "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:84"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#acct_x1_case_alt": {
- "hide_name": 0,
- "bits": [ 4365, 3379, 4367, 4369, 4371 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:24"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#acct_x1_case_alt_0": {
- "hide_name": 0,
- "bits": [ 4394, 3382, 4396, 4398, 4400 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:49"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#acct_y1_case_alt": {
- "hide_name": 0,
- "bits": [ 4349, 3383, 4351, 4353 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:18"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#acct_y1_case_alt_0": {
- "hide_name": 0,
- "bits": [ 4349, 3383, 4351, 4353 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:19"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#c1reg_case_alt": {
- "hide_name": 0,
- "bits": [ 4766, 4767, 4768 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:37"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#c2reg_case_alt": {
- "hide_name": 0,
- "bits": [ 4766, 4767, 4768 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:36"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#case_alt": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:12"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#case_alt_0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:13"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#case_alt_1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:14"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#wild_app_arg": {
- "hide_name": 0,
- "bits": [ 1449, 1450, 1451, 1452, 1453, 908, 917, 924, 931, 937, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:64"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#wild_app_arg_0": {
- "hide_name": 0,
- "bits": [ 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:65"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#wrM_app_arg": {
- "hide_name": 0,
- "bits": [ 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:73"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#wrM_app_arg_0": {
- "hide_name": 0,
- "bits": [ 1424, 1427, 1431, 1434, 1437, "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:78"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#wrM_app_arg_1": {
- "hide_name": 0,
- "bits": [ 902, 913, 920, 927, 934, "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:90"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#wrM_case_alt": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671, 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934, "1" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:67"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#x_app_arg": {
- "hide_name": 0,
- "bits": [ 299, 1441, 1442, 1443, 1444, "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:74"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#x_app_arg_0": {
- "hide_name": 0,
- "bits": [ 1424, 1427, 1431, 1434, 1437, "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:75"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.#x_case_alt": {
- "hide_name": 0,
- "bits": [ 1446, 1441, 1442, 1443, 1444, 908, 917, 924, 931, 937 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:71"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.a1_0": {
- "hide_name": 0,
- "bits": [ 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:85"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.acct_x1": {
- "hide_name": 0,
- "bits": [ 271, 285, 280, 281, 282 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:29"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.acct_x1_0": {
- "hide_name": 0,
- "bits": [ 299, 1441, 1442, 1443, 1444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:54"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.acct_y1": {
- "hide_name": 0,
- "bits": [ 274, 916, 923, 930 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:31"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.b1": {
- "hide_name": 0,
- "bits": [ 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:80"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.b1_0": {
- "hide_name": 0,
- "bits": [ 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:86"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c": {
- "hide_name": 0,
- "bits": [ 279, 284, 286, 287, 288, 289, 283 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:20"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c1": {
- "hide_name": 0,
- "bits": [ 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:81"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c1_0": {
- "hide_name": 0,
- "bits": [ 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:87"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c1reg": {
- "hide_name": 0,
- "bits": [ 9, 10, 11 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:17"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c2reg": {
- "hide_name": 0,
- "bits": [ 12, 13, 14 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:16"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c_0": {
- "hide_name": 0,
- "bits": [ 264, 267, 265, 268, 269, 270, 262 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:25"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c_1": {
- "hide_name": 0,
- "bits": [ 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:39"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c_2": {
- "hide_name": 0,
- "bits": [ 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:42"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c_3": {
- "hide_name": 0,
- "bits": [ 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:45"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c_4": {
- "hide_name": 0,
- "bits": [ 292, 295, 293, 296, 297, 298, 290 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:50"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.c_5": {
- "hide_name": 0,
- "bits": [ 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:55"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.clock1": {
- "hide_name": 0,
- "bits": [ 279, 284, 286, 287, 288, 289, 283 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:23"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.clock1_0": {
- "hide_name": 0,
- "bits": [ 264, 267, 265, 268, 269, 270, 262 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:27"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.clock1_1": {
- "hide_name": 0,
- "bits": [ 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:48"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.clock1_2": {
- "hide_name": 0,
- "bits": [ 292, 295, 293, 296, 297, 298, 290 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:52"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.d1": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:82"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.d1_0": {
- "hide_name": 0,
- "bits": [ 299, 1441, 1442, 1443, 1444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:88"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds": {
- "hide_name": 0,
- "bits": [ 271, 285, 280, 281, 282, 264, 267, 265, 268, 269, 270, 262 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:28"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds1": {
- "hide_name": 0,
- "bits": [ 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:94"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds2": {
- "hide_name": 0,
- "bits": [ 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:95"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds3": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:96"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_0": {
- "hide_name": 0,
- "bits": [ 271, 285, 280, 281, 282, 274, 916, 923, 930, 279, 284, 286, 287, 288, 289, 283 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:30"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_1": {
- "hide_name": 0,
- "bits": [ 299, 1441, 1442, 1443, 1444, 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:38"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_2": {
- "hide_name": 0,
- "bits": [ 299, 1441, 1442, 1443, 1444, 292, 295, 293, 296, 297, 298, 290 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:53"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_3": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", 4766, 4767, 4768, 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:56"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_4": {
- "hide_name": 0,
- "bits": [ 12, 13, 14, 4766, 4767, 4768, 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:57"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_5": {
- "hide_name": 0,
- "bits": [ 9, 10, 11, 4766, 4767, 4768, 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:58"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_6": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671, 902, 913, 920, 927, 934, 1424, 1427, 1431, 1434, 1437, "1" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:91"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.ds_7": {
- "hide_name": 0,
- "bits": [ 274, 916, 923, 930, 299, 1441, 1442, 1443, 1444, 902, 913, 920, 927, 934, 1424, 1427, 1431, 1434, 1437, 893, 892, 894, 896, 897, 898, 899 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:92"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.e1": {
- "hide_name": 0,
- "bits": [ 274, 916, 923, 930 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:89"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.input": {
- "hide_name": 0,
- "bits": [ "1", 1343, 1407, 3671, 902, 913, 920, 927, 934, 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:7"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.new": {
- "hide_name": 0,
- "bits": [ 4766, 4767, 4768 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:40"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.new_0": {
- "hide_name": 0,
- "bits": [ 4766, 4767, 4768 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:43"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.new_1": {
- "hide_name": 0,
- "bits": [ 4766, 4767, 4768 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:46"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.old": {
- "hide_name": 0,
- "bits": [ 274, 916, 923, 930 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:21"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.old_0": {
- "hide_name": 0,
- "bits": [ 271, 285, 280, 281, 282 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:26"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.old_2": {
- "hide_name": 0,
- "bits": [ 12, 13, 14 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:44"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.old_3": {
- "hide_name": 0,
- "bits": [ 9, 10, 11 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:47"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.old_4": {
- "hide_name": 0,
- "bits": [ 299, 1441, 1442, 1443, 1444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:51"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.result": {
- "hide_name": 0,
- "bits": [ 20, 19, 15, 16, 17, 18, 12, 13, 14, 9, 10, 11, 8 ],
- "attributes": {
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.result_0": {
- "hide_name": 0,
- "bits": [ 4766, 4767, 4768 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:59"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.result_1": {
- "hide_name": 0,
- "bits": [ 1449, 1450, 1451, 1452, 1453, 908, 917, 924, 931, 937 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:69"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.tup": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671, 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:66"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.wild": {
- "hide_name": 0,
- "bits": [ 1449, 1450, 1451, 1452, 1453, 908, 917, 924, 931, 937, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:61"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.wild_0": {
- "hide_name": 0,
- "bits": [ 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:62"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.x": {
- "hide_name": 0,
- "bits": [ 271, 285, 280, 281, 282 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:22"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.x_0": {
- "hide_name": 0,
- "bits": [ 299, 1441, 1442, 1443, 1444 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:34"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.x_1": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671, 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:70"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.x_2": {
- "hide_name": 0,
- "bits": [ 4412, 1427, 1431, 1434, 1437, 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:72"
- }
- },
- "main.LedMatrix_ledMatrix_matrixoutput.y": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:120|verilog/TopEntity/TopEntity/LedMatrix_ledMatrix.v:63"
- }
- },
- "main.MANRST": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:12"
- }
- },
- "main.OE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:20"
- }
- },
- "main.RESET": {
- "hide_name": 0,
- "bits": [ 3233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:7"
- }
- },
- "main.RX": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:8",
- "unused_bits": "0"
- }
- },
- "main.SCK": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:25"
- }
- },
- "main.SDI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:24"
- }
- },
- "main.SDO": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:9"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.#case_alt": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671, 902, 913, 920, 927, 934, 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.#ds_app_arg": {
- "hide_name": 0,
- "bits": [ 1384, 1408, 1412, 903, 914, 921, 928, 935, 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.#ds_app_arg_0": {
- "hide_name": 0,
- "bits": [ 6011, 6012, 6013, 904, 915, 922, 929, 936, 1390, 1391, 1392, 1393, 1396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.#ds_app_arg_0_fun_arg": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:33"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.#ds_app_arg_1": {
- "hide_name": 0,
- "bits": [ 6014, 6015, 6016, 901, 912, 919, 926, 933, 1423, 1418, 1430, 1420, 1416 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:23"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.#ds_app_arg_1_fun_arg": {
- "hide_name": 0,
- "bits": [ 449, 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525, 6017, 6018, 6019 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:34"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.#ds_app_arg_fun_arg": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:32"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.#draw_case_scrut_fun_arg": {
- "hide_name": 0,
- "bits": [ 3361, 3360, 3359, 3358, 3357, 3356, 3355, 3354, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:45"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.#draw_case_scrut_fun_arg_0": {
- "hide_name": 0,
- "bits": [ 903, 914, 921, 928, 935, 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:46"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.#wild_app_arg": {
- "hide_name": 0,
- "bits": [ 1603, 1602, 1604, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:44"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.#$j_app_arg": {
- "hide_name": 0,
- "bits": [ 3803, 1965, 3806, 3808, 3810 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.#$j_app_arg_0": {
- "hide_name": 0,
- "bits": [ 3814, 914, 921, 928, 3392 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.#relativ_angle_app_arg": {
- "hide_name": 0,
- "bits": [ 1425, 1428, 1432, 1435, 1438, "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.ds": {
- "hide_name": 0,
- "bits": [ 3361, 3360, 3359, 3358, 3357, 3356, 3355, 3354, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.ds1": {
- "hide_name": 0,
- "bits": [ 903, 914, 921, 928, 935, 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.radius": {
- "hide_name": 0,
- "bits": [ 3361, 3360, 3359, 3358, 3357, 3356, 3355, 3354 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.relativ_angle": {
- "hide_name": 0,
- "bits": [ 3415, 3387, 3417, 3419, 3421, 3423, 3425, 3427 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:17"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.x": {
- "hide_name": 0,
- "bits": [ 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.SpaceShooter_pointInside_draw_case_scrut.y": {
- "hide_name": 0,
- "bits": [ 903, 914, 921, 928, 935 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:159|verilog/TopEntity/TopEntity/SpaceShooter_pointInside.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.a1": {
- "hide_name": 0,
- "bits": [ 1603, 1602, 1604 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.b1": {
- "hide_name": 0,
- "bits": [ 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:23"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.c1": {
- "hide_name": 0,
- "bits": [ 903, 914, 921, 928, 935 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.counter1": {
- "hide_name": 0,
- "bits": [ 1603, 1602, 1604, 1425, 1428, 1432, 1435, 1438, 903, 914, 921, 928, 935 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.d1": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.ds": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.ds_0": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589, 1609, 1611, 1615, 1613, 1612, 1614, 1616, 1610, 1384, 1408, 1412, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, 903, 914, 921, 928, 935, 1425, 1428, 1432, 1435, 1438, 1603, 1602, 1604 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:30"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.e1": {
- "hide_name": 0,
- "bits": [ 1384, 1408, 1412 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:26"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.e_angle": {
- "hide_name": 0,
- "bits": [ 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:42",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.e_color": {
- "hide_name": 0,
- "bits": [ 6028, 6029, 6030 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:31",
- "unused_bits": "0 1 2"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.e_radius1": {
- "hide_name": 0,
- "bits": [ 3361, 3360, 3359, 3358, 3357, 3356, 3355, 3354 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:39"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.enemies": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:37"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.f1": {
- "hide_name": 0,
- "bits": [ 1609, 1611, 1615, 1613, 1612, 1614, 1616, 1610 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:27"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.g1": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.player_rotation": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:38"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.result": {
- "hide_name": 0,
- "bits": [ 1384, 1408, 1412, 903, 914, 921, 928, 935, 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.result_0": {
- "hide_name": 0,
- "bits": [ 1581, 1620, 1622, 1624, 1626, 1628, 1630, 1632, 1635, 1637, 1639 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.result_2": {
- "hide_name": 0,
- "bits": [ 1603, 1602, 1604 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:34"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.result_3": {
- "hide_name": 0,
- "bits": [ 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:35"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.result_4": {
- "hide_name": 0,
- "bits": [ 903, 914, 921, 928, 935 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:36"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.result_5": {
- "hide_name": 0,
- "bits": [ 6028, 6029, 6030, 3361, 3360, 3359, 3358, 3357, 3356, 3355, 3354, 6020, 6021, 6022, 6023, 6024, 6025, 6026, 6027 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:41"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.tup": {
- "hide_name": 0,
- "bits": [ 1609, 1611, 1615, 1613, 1612, 1614, 1616, 1610, 1384, 1408, 1412 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.vec[0]": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.vec[1]": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.vec[2]": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.vec[3]": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.wild": {
- "hide_name": 0,
- "bits": [ 1603, 1602, 1604, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:43"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.x": {
- "hide_name": 0,
- "bits": [ 1384, 1408, 1412 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_cockpitBoard_ds_app_arg.y": {
- "hide_name": 0,
- "bits": [ 1609, 1611, 1615, 1613, 1612, 1614, 1616, 1610 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:64|verilog/TopEntity/TopEntity/SpaceShooter_cockpitBoard.v:40"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#app_arg_fun_arg": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:86"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#e1_case_alt": {
- "hide_name": 0,
- "bits": [ 6031 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:27",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#e1_case_alt_0": {
- "hide_name": 0,
- "bits": [ 713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#e2_case_alt": {
- "hide_name": 0,
- "bits": [ 706 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:30"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#e3_case_alt": {
- "hide_name": 0,
- "bits": [ 697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:34"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#e4_case_alt": {
- "hide_name": 0,
- "bits": [ 6032 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:45",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#e4_case_alt_0": {
- "hide_name": 0,
- "bits": [ 675 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:46"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#game_over_case_alt": {
- "hide_name": 0,
- "bits": [ 1477 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#move_clock_case_alt": {
- "hide_name": 0,
- "bits": [ 1510, 1511, 1513, 1515, 1517, 1519, 1521, 1523, 1525, 1527, 1529, 1531, 1533 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:51"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#move_clock_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1509, 6033, 1512, 1514, 1516, 1518, 1520, 1522, 1524, 1526, 1528, 1530, 1532 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:58"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#move_tick_norm_case_alt": {
- "hide_name": 0,
- "bits": [ 6034 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:39",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#pre_move_clock_app_arg": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525, "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:73"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#pre_move_clock_case_alt": {
- "hide_name": 0,
- "bits": [ 1488, 1490, 1492, 1494, 1496, 1498, 1500, 1502, 1504, 1506, 1508 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:50"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#score_case_alt": {
- "hide_name": 0,
- "bits": [ 1457, 1459, 1461, 1463, 1465, 1467, 1469, 1471, 1473, 1475 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#score_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1456, 6035, 1460, 1462, 1464, 1466, 1468, 1470, 1472, 1474 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#score_case_alt_1": {
- "hide_name": 0,
- "bits": [ 1456, 6035, 1460, 1462, 1464, 1466, 1468, 1470, 1472, 1474 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:23"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#shot_counter_case_alt": {
- "hide_name": 0,
- "bits": [ 1535, 1536, 1538 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:77"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.#wild_app_arg": {
- "hide_name": 0,
- "bits": [ 676, 677, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:79"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.#angle_case_alt": {
- "hide_name": 0,
- "bits": [ 1967, 1966, 1979, 4443, 4446, 4449, 4452, 4455 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.#radius_case_alt": {
- "hide_name": 0,
- "bits": [ 1793, 3369, 1796, 1798, 1800, 1802, 1804, 1806 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.#radius_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1794, 1795, 1797, 1799, 1801, 1803, 1805, 1807 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.angle": {
- "hide_name": 0,
- "bits": [ 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.ds": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 1967, 1966, 1979, 4443, 4446, 4449, 4452, 4455, 713, 6031 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.ds1": {
- "hide_name": 0,
- "bits": [ 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 6031, 713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.ds1_0": {
- "hide_name": 0,
- "bits": [ 1967, 1966, 1979, 4443, 4446, 4449, 4452, 4455, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589, 713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.new": {
- "hide_name": 0,
- "bits": [ 1967, 1966, 1979, 4443, 4446, 4449, 4452, 4455 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.old": {
- "hide_name": 0,
- "bits": [ 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.r": {
- "hide_name": 0,
- "bits": [ 713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:23"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.rad": {
- "hide_name": 0,
- "bits": [ 719, 1378, 1373, 1358, 1366, 1356, 854, 851 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.radius": {
- "hide_name": 0,
- "bits": [ 719, 1378, 1373, 1358, 1366, 1356, 854, 851 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:27"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.reset": {
- "hide_name": 0,
- "bits": [ 713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.reset_angle": {
- "hide_name": 0,
- "bits": [ 1967, 1966, 1979, 4443, 4446, 4449, 4452, 4455 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.result": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e1.rst": {
- "hide_name": 0,
- "bits": [ 713 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:150|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.#angle_case_alt": {
- "hide_name": 0,
- "bits": [ 4441, 3385, 3390, 4444, 4447, 4450, 4453, 4456 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.#radius_case_alt": {
- "hide_name": 0,
- "bits": [ 1778, 6036, 1781, 1783, 1785, 1787, 1789, 1791 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.#radius_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1779, 1780, 1782, 1784, 1786, 1788, 1790, 1792 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.angle": {
- "hide_name": 0,
- "bits": [ 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.ds": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 4441, 3385, 3390, 4444, 4447, 4450, 4453, 4456, 706, 6034 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.ds1": {
- "hide_name": 0,
- "bits": [ 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 6034, 706 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.ds1_0": {
- "hide_name": 0,
- "bits": [ 4441, 3385, 3390, 4444, 4447, 4450, 4453, 4456, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, 706 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.new": {
- "hide_name": 0,
- "bits": [ 4441, 3385, 3390, 4444, 4447, 4450, 4453, 4456 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.old": {
- "hide_name": 0,
- "bits": [ 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.r": {
- "hide_name": 0,
- "bits": [ 706 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:23"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.rad": {
- "hide_name": 0,
- "bits": [ 711, 1374, 1377, 1372, 1357, 1365, 1355, 853 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.radius": {
- "hide_name": 0,
- "bits": [ 711, 1374, 1377, 1372, 1357, 1365, 1355, 853 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:27"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.reset": {
- "hide_name": 0,
- "bits": [ 706 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.reset_angle": {
- "hide_name": 0,
- "bits": [ 4441, 3385, 3390, 4444, 4447, 4450, 4453, 4456 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.result": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e2.rst": {
- "hide_name": 0,
- "bits": [ 706 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:176|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.#angle_case_alt": {
- "hide_name": 0,
- "bits": [ 4465, 3385, 1980, 4467, 4469, 4471, 4473, 4475 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.#radius_case_alt": {
- "hide_name": 0,
- "bits": [ 1763, 3368, 1766, 1768, 1770, 1772, 1774, 1776 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.#radius_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1764, 1765, 1767, 1769, 1771, 1773, 1775, 1777 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.angle": {
- "hide_name": 0,
- "bits": [ 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.clk": {
- "hide_name": 0,
- "bits": [ 6034 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:17",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.clock": {
- "hide_name": 0,
- "bits": [ 6034 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:14",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.ds": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 4465, 3385, 1980, 4467, 4469, 4471, 4473, 4475, 697, 6034 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.ds1": {
- "hide_name": 0,
- "bits": [ 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 6034, 697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.ds1_0": {
- "hide_name": 0,
- "bits": [ 4465, 3385, 1980, 4467, 4469, 4471, 4473, 4475, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, 697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.new": {
- "hide_name": 0,
- "bits": [ 4465, 3385, 1980, 4467, 4469, 4471, 4473, 4475 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.old": {
- "hide_name": 0,
- "bits": [ 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.r": {
- "hide_name": 0,
- "bits": [ 697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:23"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.rad": {
- "hide_name": 0,
- "bits": [ 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.radius": {
- "hide_name": 0,
- "bits": [ 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:27"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.reset": {
- "hide_name": 0,
- "bits": [ 697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.reset_angle": {
- "hide_name": 0,
- "bits": [ 4465, 3385, 1980, 4467, 4469, 4471, 4473, 4475 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.result": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e3.rst": {
- "hide_name": 0,
- "bits": [ 697 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:217|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.#angle_case_alt": {
- "hide_name": 0,
- "bits": [ 4478, 1968, 4480, 4482, 4484, 4486, 4488, 4490 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.#radius_case_alt": {
- "hide_name": 0,
- "bits": [ 1747, 6037, 1751, 1753, 1755, 1757, 1759, 1761 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.#radius_case_alt_0": {
- "hide_name": 0,
- "bits": [ 1748, 1750, 1752, 1754, 1756, 1758, 1760, 1762 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.angle": {
- "hide_name": 0,
- "bits": [ 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.ds": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 4478, 1968, 4480, 4482, 4484, 4486, 4488, 4490, 675, 6032 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.ds1": {
- "hide_name": 0,
- "bits": [ 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 6032, 675 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.ds1_0": {
- "hide_name": 0,
- "bits": [ 4478, 1968, 4480, 4482, 4484, 4486, 4488, 4490, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, 675 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.new": {
- "hide_name": 0,
- "bits": [ 4478, 1968, 4480, 4482, 4484, 4486, 4488, 4490 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.old": {
- "hide_name": 0,
- "bits": [ 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.r": {
- "hide_name": 0,
- "bits": [ 675 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:23"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.rad": {
- "hide_name": 0,
- "bits": [ 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.radius": {
- "hide_name": 0,
- "bits": [ 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:27"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.reset": {
- "hide_name": 0,
- "bits": [ 675 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.reset_angle": {
- "hide_name": 0,
- "bits": [ 4478, 1968, 4480, 4482, 4484, 4486, 4488, 4490 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.result": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyModul_e4.rst": {
- "hide_name": 0,
- "bits": [ 675 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:246|verilog/TopEntity/TopEntity/SpaceShooter_enemyModul.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.#app_arg": {
- "hide_name": 0,
- "bits": [ 6038 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:12",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.#case_alt": {
- "hide_name": 0,
- "bits": [ 6039, 6040 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:10",
- "unused_bits": "0 1"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.#case_alt_0_fun_arg": {
- "hide_name": 0,
- "bits": [ 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 3431, 3433, 3435, 3437, 3439, 3441, 3443, 3445 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.angle": {
- "hide_name": 0,
- "bits": [ 3431, 3433, 3435, 3437, 3439, 3441, 3443, 3445 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.ds": {
- "hide_name": 0,
- "bits": [ 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 3431, 3433, 3435, 3437, 3439, 3441, 3443, 3445 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.SpaceShooter_pointHit_case_alt_0.radius": {
- "hide_name": 0,
- "bits": [ 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:34|verilog/TopEntity/TopEntity/SpaceShooter_pointHit.v:14",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.angle": {
- "hide_name": 0,
- "bits": [ 3593, 3595, 3597, 3599, 3601, 3603, 3605, 3607 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:17"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.ds": {
- "hide_name": 0,
- "bits": [ "x", 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "x", "x", "x", 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 3593, 3595, 3597, 3599, 3601, 3603, 3605, 3607 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.ds1": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 3593, 3595, 3597, 3599, 3601, 3603, 3605, 3607 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.player_rotation": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_enemyStateCheck_tup.radius": {
- "hide_name": 0,
- "bits": [ 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:330|verilog/TopEntity/TopEntity/SpaceShooter_enemyStateCheck.v:14",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.#case_scrut": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.#case_scrut_0": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.#case_scrut_1": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.#case_scrut_2": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.ds": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.r1": {
- "hide_name": 0,
- "bits": [ 719, 1378, 1373, 1358, 1366, 1356, 854, 851 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:27"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.r2": {
- "hide_name": 0,
- "bits": [ 711, 1374, 1377, 1372, 1357, 1365, 1355, 853 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.r2'": {
- "hide_name": 0,
- "bits": [ 1374, 1377, 1372, 1357, 1365, 1355, 853 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.r3": {
- "hide_name": 0,
- "bits": [ 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.r3'": {
- "hide_name": 0,
- "bits": [ 1370, 1376, 1371, 1352, 1364, 1354, 1367 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.r4": {
- "hide_name": 0,
- "bits": [ 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:26"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.r4'": {
- "hide_name": 0,
- "bits": [ 3494, 1381, 1382, 3490, 1379, 3487 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.scrut": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.scrut1": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.SpaceShooter_fastestEnemy_app_arg.scrut2": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:108|verilog/TopEntity/TopEntity/SpaceShooter_fastestEnemy.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.b1": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:36"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.c": {
- "hide_name": 0,
- "bits": [ 676, 677 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:62"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.c_0": {
- "hide_name": 0,
- "bits": [ 459, 460, 467, 468, 469, 470, 463, 464, 465, 466, 457 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:66"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.c_1": {
- "hide_name": 0,
- "bits": [ 471, 691, 692, 686, 687, 688, 689, 683, 684, 685, 690, 701, 716 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:69"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.c_2": {
- "hide_name": 0,
- "bits": [ 676, 677 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:82"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.counter1": {
- "hide_name": 0,
- "bits": [ 676, 677 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:44"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.d1": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:38"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds": {
- "hide_name": 0,
- "bits": [ 5394, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds2": {
- "hide_name": 0,
- "bits": [ 5393, 449, 6039 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:26"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds2_0": {
- "hide_name": 0,
- "bits": [ 471, 691, 692, 686, 687, 688, 689, 683, 684, 685, 690, 701, 716, 459, 460, 467, 468, 469, 470, 463, 464, 465, 466, 457 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:41"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds2_1": {
- "hide_name": 0,
- "bits": [ 5393, 6038, 676, 677 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:64"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds2_2": {
- "hide_name": 0,
- "bits": [ 449, 459, 460, 467, 468, 469, 470, 463, 464, 465, 466, 457, 471, 691, 692, 686, 687, 688, 689, 683, 684, 685, 690, 701, 716 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:71"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds2_3": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525, 459, 460, 467, 468, 469, 470, 463, 464, 465, 466, 457 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:74"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds2_4": {
- "hide_name": 0,
- "bits": [ 676, 677, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:76"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds2_5": {
- "hide_name": 0,
- "bits": [ 5394, 475, 477, 478 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:84"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.ds_0": {
- "hide_name": 0,
- "bits": [ 449, 5393, 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525, 6038 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:42"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:67"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e1": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:17"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e1_fun_arg": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 1967, 1966, 1979, 4443, 4446, 4449, 4452, 4455, 713, 6031 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:87"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e2": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e2_fun_arg": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 4441, 3385, 3390, 4444, 4447, 4450, 4453, 4456, 706, 6034 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:88"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e3": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:29"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e3_fun_arg": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 4465, 3385, 1980, 4467, 4469, 4471, 4473, 4475, 697, 6034 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:90"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e4": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:32"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.e4_fun_arg": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 4478, 1968, 4480, 4482, 4484, 4486, 4488, 4490, 675, 6032 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:92"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.enemies": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.g": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:59"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.game_over": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.move_clock": {
- "hide_name": 0,
- "bits": [ 471, 691, 692, 686, 687, 688, 689, 683, 684, 685, 690, 701, 716 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:49"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.old": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.old_0": {
- "hide_name": 0,
- "bits": [ 475, 477, 478 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:83"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.p": {
- "hide_name": 0,
- "bits": [ 459, 460, 467, 468, 469, 470, 463, 464, 465, 466, 457 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:53"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.player_rotation": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:65"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.player_shot": {
- "hide_name": 0,
- "bits": [ 5394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:85",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.pre_move_clock": {
- "hide_name": 0,
- "bits": [ 459, 460, 467, 468, 469, 470, 463, 464, 465, 466, 457 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:47"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.r": {
- "hide_name": 0,
- "bits": [ 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:55",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.random_angle1": {
- "hide_name": 0,
- "bits": [ 1967, 1966, 1979, 4443, 4446, 4449, 4452, 4455 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:31"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.res": {
- "hide_name": 0,
- "bits": [ 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:20",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.reset": {
- "hide_name": 0,
- "bits": [ 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:33",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.result": {
- "hide_name": 0,
- "bits": [ 6017, 6018, 6019, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589, 449, 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.result_0": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 3593, 3595, 3597, 3599, 3601, 3603, 3605, 3607 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:57"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.s": {
- "hide_name": 0,
- "bits": [ 459, 460, 467, 468, 469, 470, 463, 464, 465, 466, 457 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:70"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.s_0": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:78"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.s_1": {
- "hide_name": 0,
- "bits": [ 5394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:81",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.score": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.shot_counter": {
- "hide_name": 0,
- "bits": [ 475, 477, 478 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:68"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.t": {
- "hide_name": 0,
- "bits": [ 471, 691, 692, 686, 687, 688, 689, 683, 684, 685, 690, 701, 716 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:61"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.tup": {
- "hide_name": 0,
- "bits": [ 6039, 6038 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:48",
- "unused_bits": "0 1"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.tup_fun_arg": {
- "hide_name": 0,
- "bits": [ "x", 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "x", "x", "x", 6041, 6042, 6043, 6044, 6045, 6046, 6047, 6048, 3593, 3595, 3597, 3599, 3601, 3603, 3605, 3607 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:95"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.v": {
- "hide_name": 0,
- "bits": [ 6039 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:24",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.vec[0]": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.vec[1]": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.vec[2]": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.vec[3]": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.wild": {
- "hide_name": 0,
- "bits": [ 676, 677, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:75"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.x": {
- "hide_name": 0,
- "bits": [ 6038 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:56",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_gameLogicManager_ds1.y": {
- "hide_name": 0,
- "bits": [ 6039 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:46|verilog/TopEntity/TopEntity/SpaceShooter_gameLogicManager.v:40",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#ds_app_arg": {
- "hide_name": 0,
- "bits": [ 1386, 1410, 1415 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#ds_app_arg_0": {
- "hide_name": 0,
- "bits": [ 1539, 1574, 1576 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:30"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#ds_app_arg_5": {
- "hide_name": 0,
- "bits": [ "0", 1850, 1848, 1841, 1846, 1844, 2226, 2252, 2275, "0", "0", "0", "0", "0", 2394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:66"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#ds_app_arg_6": {
- "hide_name": 0,
- "bits": [ 4476, 4492, 4494, 4496, 4498, 4500, 1989, 1990 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:70"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#ds_app_arg_7": {
- "hide_name": 0,
- "bits": [ 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 6057, 6058 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:74",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#ds_case_alt": {
- "hide_name": 0,
- "bits": [ 1541, 1575, 1577 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#tmp_x_coord_app_arg": {
- "hide_name": 0,
- "bits": [ 1866, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 863, 1890, 1892, 1894, 1896, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:36"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#tmp_y_coord_app_arg": {
- "hide_name": 0,
- "bits": [ 1829, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 780, 1858, 1860, 1862, 1864, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:40"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#wild_app_arg": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:51"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#wild_app_arg_0": {
- "hide_name": 0,
- "bits": [ 4579, 4492, 4494, 4496, 4498, 4500, 1989, 3394 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.#wild_app_arg_1": {
- "hide_name": 0,
- "bits": [ 4477, 4492, 4494, 4496, 4498, 4500, 3393, 1991 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fFractionalFixed_c_result_9.result": {
- "hide_name": 0,
- "bits": [ "0", 1810, 1821, 1822, 1823, 1824, 1825, 1826, 1827, "0", "0", "0", "0", "0", 1808 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:394|verilog/TopEntity/TopEntity/SpaceShooter_fFractionalFixed_c.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.#app_arg": {
- "hide_name": 0,
- "bits": [ 6075, 880, 878, 876, 874, 872, 870, 868, 866, 766, 767, 1891, 1893, 1895, 3634 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.#app_arg_0": {
- "hide_name": 0,
- "bits": [ 3633, 3632, 3630, 3629, 3628, 3640, 3639, 3638, 3636, 865, 3634 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:17"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.#app_arg_1": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", 6075, 880, 878, 876, 874, 872, 870, 868, 866, 766, 767, 1891, 1893, 1895, 3634, 3633, 3632, 3630, 3629, 3628, 3640, 3639, 3638, 3636, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.#case_alt": {
- "hide_name": 0,
- "bits": [ 1866, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 863, 1890, 1892, 1894, 1896, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.#case_alt_0": {
- "hide_name": 0,
- "bits": [ 6076, 6076, 6076, 6076, 6076, 6076, 6076, 6076, 6076, 6076, 6076, 6076, 6076, 6076, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.#case_alt_0_selection_res": {
- "hide_name": 0,
- "bits": [ 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.#case_scrut": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", 6075, 880, 878, 876, 874, 872, 870, 868, 866, 766, 767, 1891, 1893, 1895, 3634, 3633, 3632, 3630, 3629, 3628, 3640, 3639, 3638, 3636, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.ds1": {
- "hide_name": 0,
- "bits": [ 1879, 1880, 1877, 1881, 1882, 2739, 2758, 2778, 2802, 2820, 2843, 2865, 2887, 2914, 2938 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.ds2": {
- "hide_name": 0,
- "bits": [ "0", 1850, 1848, 1841, 1846, 1844, 2226, 2252, 2275, "0", "0", "0", "0", "0", 2394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.rL": {
- "hide_name": 0,
- "bits": [ 3633, 3632, 3630, 3629, 3628, 3640, 3639, 3638, 3636, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_x_coord_app_arg.rR": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", 6075, 880, 878, 876, 874, 872, 870, 868, 866, 766, 767, 1891, 1893, 1895, 3634 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:230|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.#app_arg": {
- "hide_name": 0,
- "bits": [ 6077, 832, 830, 828, 826, 789, 787, 785, 783, 776, 777, 1859, 1861, 1863, 3648 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.#app_arg_0": {
- "hide_name": 0,
- "bits": [ 3647, 3646, 3644, 3643, 3642, 3654, 3653, 3652, 3650, 782, 3648 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:17"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.#app_arg_1": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", 6077, 832, 830, 828, 826, 789, 787, 785, 783, 776, 777, 1859, 1861, 1863, 3648, 3647, 3646, 3644, 3643, 3642, 3654, 3653, 3652, 3650, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.#case_alt": {
- "hide_name": 0,
- "bits": [ 1829, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 780, 1858, 1860, 1862, 1864, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.#case_alt_0": {
- "hide_name": 0,
- "bits": [ 6078, 6078, 6078, 6078, 6078, 6078, 6078, 6078, 6078, 6078, 6078, 6078, 6078, 6078, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.#case_alt_0_selection_res": {
- "hide_name": 0,
- "bits": [ 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.#case_scrut": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", 6077, 832, 830, 828, 826, 789, 787, 785, 783, 776, 777, 1859, 1861, 1863, 3648, 3647, 3646, 3644, 3643, 3642, 3654, 3653, 3652, 3650, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.ds1": {
- "hide_name": 0,
- "bits": [ 1843, 1845, 1840, 1847, 1849, 2235, 2251, 2272, 2297, 2315, 2338, 2360, 2382, 2409, 2434 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.ds2": {
- "hide_name": 0,
- "bits": [ "0", 1850, 1848, 1841, 1846, 1844, 2226, 2252, 2275, "0", "0", "0", "0", "0", 2394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.rL": {
- "hide_name": 0,
- "bits": [ 3647, 3646, 3644, 3643, 3642, 3654, 3653, 3652, 3650, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fNumFixed_csatMult_tmp_y_coord_app_arg.rR": {
- "hide_name": 0,
- "bits": [ "x", "x", "x", "x", "x", 6077, 832, 830, 828, 826, 789, 787, 785, 783, 776, 777, 1859, 1861, 1863, 3648 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:261|verilog/TopEntity/TopEntity/SpaceShooter_fNumFixed_csatMult.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_x_coord.#app_arg": {
- "hide_name": 0,
- "bits": [ 882, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6079, 6080, 861, 859, 857, 770, 771 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_x_coord.#bv": {
- "hide_name": 0,
- "bits": [ 1866, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 863, 1890, 1892, 1894, 1896, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_x_coord.#pTS": {
- "hide_name": 0,
- "bits": [ 1866, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 863, 1890, 1892, 1894, 1896, 865 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_x_coord.#r'_projection": {
- "hide_name": 0,
- "bits": [ 882, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6079, 6080, 861, 859, 857, 770, 771 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_x_coord.r": {
- "hide_name": 0,
- "bits": [ 882, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6079, 6080, 861, 859, 857, 770, 771 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_x_coord.r'": {
- "hide_name": 0,
- "bits": [ 882, 6059, 6060, 6061, 6062, 6063, 6064, 6065, 6066, 6079, 6080, 861, 859, 857, 770 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:215|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_y_coord.#app_arg": {
- "hide_name": 0,
- "bits": [ 834, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6081, 6082, 824, 822, 820, 778, 779 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_y_coord.#bv": {
- "hide_name": 0,
- "bits": [ 1829, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 780, 1858, 1860, 1862, 1864, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_y_coord.#pTS": {
- "hide_name": 0,
- "bits": [ 1829, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 780, 1858, 1860, 1862, 1864, 782 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:6"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_y_coord.#r'_projection": {
- "hide_name": 0,
- "bits": [ 834, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6081, 6082, 824, 822, 820, 778, 779 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_y_coord.r": {
- "hide_name": 0,
- "bits": [ 834, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6081, 6082, 824, 822, 820, 778, 779 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus_tmp_y_coord.r'": {
- "hide_name": 0,
- "bits": [ 834, 6067, 6068, 6069, 6070, 6071, 6072, 6073, 6074, 6081, 6082, 824, 822, 820, 778 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:244|verilog/TopEntity/TopEntity/SpaceShooter_fSaturatingNumSigned_sfSaturatingNumSigned_csatPlus.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.a": {
- "hide_name": 0,
- "bits": [ 3549, 3555, 3561, 3567, 3573, 3579, 3585, 3591 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:71"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.a1": {
- "hide_name": 0,
- "bits": [ 1386, 1410, 1415 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:16"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.a1_0": {
- "hide_name": 0,
- "bits": [ 6083 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:24",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.a1_1": {
- "hide_name": 0,
- "bits": [ 1879, 1880, 1877, 1881, 1882, 2739, 2758, 2778, 2802, 2820, 2843, 2865, 2887, 2914, 2938 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:46"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.b": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:72"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.b1": {
- "hide_name": 0,
- "bits": [ 1390, 1391, 1392, 1393, 1396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:17"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.b1_0": {
- "hide_name": 0,
- "bits": [ 1539, 1574, 1576 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.b1_1": {
- "hide_name": 0,
- "bits": [ 1843, 1845, 1840, 1847, 1849, 2235, 2251, 2272, 2297, 2315, 2338, 2360, 2382, 2409, 2434 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:47"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.bv": {
- "hide_name": 0,
- "bits": [ 1879, 1880, 1877, 1881, 1882, 2739, 2758, 2778, 2802, 2820, 2843, 2865, 2887, 2914, 2938 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:59"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.bv_0": {
- "hide_name": 0,
- "bits": [ 1843, 1845, 1840, 1847, 1849, 2235, 2251, 2272, 2297, 2315, 2338, 2360, 2382, 2409, 2434 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:63"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.c": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:55"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.c1": {
- "hide_name": 0,
- "bits": [ 904, 915, 922, 929, 936 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.c1_0": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:26"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.c1_1": {
- "hide_name": 0,
- "bits": [ "0", 1850, 1848, 1841, 1846, 1844, 2226, 2252, 2275, "0", "0", "0", "0", "0", 2394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:48"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.counter1": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400, 1390, 1391, 1392, 1393, 1396, 904, 915, 922, 929, 936 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.d1": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400, 1390, 1391, 1392, 1393, 1396, 904, 915, 922, 929, 936 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.d1_1": {
- "hide_name": 0,
- "bits": [ 1390, 1391, 1392, 1393, 1396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:49"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.ds": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.ds1": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:45"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.ds2": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, 3549, 3555, 3561, 3567, 3573, 3579, 3585, 3591 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:73"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.ds_0": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400, 1390, 1391, 1392, 1393, 1396, 904, 915, 922, 929, 936, 904, 915, 922, 929, 936, 1390, 1391, 1392, 1393, 1396, 1386, 1410, 1415 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.ds_1": {
- "hide_name": 0,
- "bits": [ 6011, 6012, 6013, 1399, 1398, 1400, 1539, 1574, 1576, 6083 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.ds_2": {
- "hide_name": 0,
- "bits": [ 904, 915, 922, 929, 936, 1390, 1391, 1392, 1393, 1396, "0", 1850, 1848, 1841, 1846, 1844, 2226, 2252, 2275, "0", "0", "0", "0", "0", 2394, 1843, 1845, 1840, 1847, 1849, 2235, 2251, 2272, 2297, 2315, 2338, 2360, 2382, 2409, 2434, 1879, 1880, 1877, 1881, 1882, 2739, 2758, 2778, 2802, 2820, 2843, 2865, 2887, 2914, 2938 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:54"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.e": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:41"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.e1": {
- "hide_name": 0,
- "bits": [ 904, 915, 922, 929, 936 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:50"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.enemies": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:53"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.player_rotation": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:75"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result": {
- "hide_name": 0,
- "bits": [ 6011, 6012, 6013, 904, 915, 922, 929, 936, 1390, 1391, 1392, 1393, 1396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_1": {
- "hide_name": 0,
- "bits": [ 6083 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:29",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_3": {
- "hide_name": 0,
- "bits": [ 3370, 1959, 3371, 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056, 3549, 3555, 3561, 3567, 3573, 3579, 3585, 3591 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:39"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_4": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:52"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_5": {
- "hide_name": 0,
- "bits": [ 1879, 1880, 1877, 1881, 1882, 2739, 2758, 2778, 2802, 2820, 2843, 2865, 2887, 2914, 2938 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:58"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_6": {
- "hide_name": 0,
- "bits": [ 1843, 1845, 1840, 1847, 1849, 2235, 2251, 2272, 2297, 2315, 2338, 2360, 2382, 2409, 2434 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:62"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_7": {
- "hide_name": 0,
- "bits": [ 1390, 1391, 1392, 1393, 1396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:67"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_8": {
- "hide_name": 0,
- "bits": [ 904, 915, 922, 929, 936 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:68"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_9": {
- "hide_name": 0,
- "bits": [ "0", 1810, 1821, 1822, 1823, 1824, 1825, 1826, 1827, "0", "0", "0", "0", "0", 1808 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:69"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.result_9_fun_arg": {
- "hide_name": 0,
- "bits": [ "0", "0", "0", "0", "0", 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056 ],
- "attributes": {
- "unused_bits": "5 6 7 8 9 10 11 12"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.vec[0]": {
- "hide_name": 0,
- "bits": [ "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.vec[1]": {
- "hide_name": 0,
- "bits": [ "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.vec[2]": {
- "hide_name": 0,
- "bits": [ "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.vec[3]": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:4"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.wild": {
- "hide_name": 0,
- "bits": [ 1399, 1398, 1400, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:44"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.wild_0": {
- "hide_name": 0,
- "bits": [ 4579, 4492, 4494, 4496, 4498, 4500, 1989, 3394 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.wild_1": {
- "hide_name": 0,
- "bits": [ 4477, 4492, 4494, 4496, 4498, 4500, 3393, 1991 ],
- "attributes": {
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.x": {
- "hide_name": 0,
- "bits": [ 3370, 1959, 3371 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:34"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.x_0": {
- "hide_name": 0,
- "bits": [ 3549, 3555, 3561, 3567, 3573, 3579, 3585, 3591 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:76"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_radarBoard_ds_app_arg_0.x_1": {
- "hide_name": 0,
- "bits": [ 6049, 6050, 6051, 6052, 6053, 6054, 6055, 6056 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:72|verilog/TopEntity/TopEntity/SpaceShooter_radarBoard.v:77",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.#app_arg": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.a1": {
- "hide_name": 0,
- "bits": [ 1423, 1418, 1430, 1420, 1416 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.b1": {
- "hide_name": 0,
- "bits": [ 901, 912, 919, 926, 933 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.c1": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:20"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.counter1": {
- "hide_name": 0,
- "bits": [ 1423, 1418, 1430, 1420, 1416, 901, 912, 919, 926, 933 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:13"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.d1": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:21"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.ds": {
- "hide_name": 0,
- "bits": [ 6017, 6018, 6019, 1423, 1418, 1430, 1420, 1416, 901, 912, 919, 926, 933, 449, 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525, 901, 912, 919, 926, 933, 1423, 1418, 1430, 1420, 1416 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.e1": {
- "hide_name": 0,
- "bits": [ 1423, 1418, 1430, 1420, 1416, 901, 912, 919, 926, 933 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:22"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.eta": {
- "hide_name": 0,
- "bits": [ 449, 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525, 6017, 6018, 6019 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.f1": {
- "hide_name": 0,
- "bits": [ 6017, 6018, 6019 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:23",
- "unused_bits": "0 1 2"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.gameover": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:29"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.result": {
- "hide_name": 0,
- "bits": [ 6014, 6015, 6016, 901, 912, 919, 926, 933, 1423, 1418, 1430, 1420, 1416 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:10"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.result_0": {
- "hide_name": 0,
- "bits": [ 1423, 1418, 1430, 1420, 1416 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.result_1": {
- "hide_name": 0,
- "bits": [ 901, 912, 919, 926, 933 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:26"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.score": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.SpaceShooter_scoreBoard_ds_app_arg_1.score_color": {
- "hide_name": 0,
- "bits": [ 6017, 6018, 6019 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:81|verilog/TopEntity/TopEntity/SpaceShooter_scoreBoard.v:27",
- "unused_bits": "0 1 2"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.b1": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:28"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.c1": {
- "hide_name": 0,
- "bits": [ 6014, 6015, 6016, 901, 912, 919, 926, 933, 1423, 1418, 1430, 1420, 1416 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:27"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.d1": {
- "hide_name": 0,
- "bits": [ 6011, 6012, 6013, 904, 915, 922, 929, 936, 1390, 1391, 1392, 1393, 1396 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:26"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.ds": {
- "hide_name": 0,
- "bits": [ "x", "x", 5394, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:7"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.ds1": {
- "hide_name": 0,
- "bits": [ 6017, 6018, 6019, "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589, 449, 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:15"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.ds1_fun_arg": {
- "hide_name": 0,
- "bits": [ 5394, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:31"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.ds_0": {
- "hide_name": 0,
- "bits": [ 1384, 1408, 1412, 903, 914, 921, 928, 935, 1425, 1428, 1432, 1435, 1438, 6011, 6012, 6013, 904, 915, 922, 929, 936, 1390, 1391, 1392, 1393, 1396, 6014, 6015, 6016, 901, 912, 919, 926, 933, 1423, 1418, 1430, 1420, 1416, 449, "x", "x" ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:24"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.e1": {
- "hide_name": 0,
- "bits": [ 1384, 1408, 1412, 903, 914, 921, 928, 935, 1425, 1428, 1432, 1435, 1438 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:25"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.enemies1": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", 695, 1749, 3494, 1381, 1382, 3490, 1379, 3487, 3545, 3551, 3557, 3563, 3569, 3575, 3581, 3587, "0", "1", "1", 704, 1370, 1376, 1371, 1352, 1364, 1354, 1367, 3544, 3550, 3556, 3562, 3568, 3574, 3580, 3586, "0", "1", "0", 711, 1374, 1377, 1372, 1357, 1365, 1355, 853, 3548, 3554, 3560, 3566, 3572, 3578, 3584, 3590, "1", "0", "1", 719, 1378, 1373, 1358, 1366, 1356, 854, 851, 3547, 3553, 3559, 3565, 3571, 3577, 3583, 3589 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:14"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.fastest_color": {
- "hide_name": 0,
- "bits": [ 6017, 6018, 6019 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:17",
- "unused_bits": "0 1 2"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.gameover1": {
- "hide_name": 0,
- "bits": [ 449 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:19"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.player_rotation": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:18"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.player_shot": {
- "hide_name": 0,
- "bits": [ 5394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:12",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.reset": {
- "hide_name": 0,
- "bits": [ 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:13",
- "unused_bits": "0"
- }
- },
- "main.SpaceShooter_spaceShooter_tup.score": {
- "hide_name": 0,
- "bits": [ 303, 1458, 3526, 3666, 3667, 3662, 1404, 3528, 3664, 3525 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:141|verilog/TopEntity/TopEntity/SpaceShooter_spaceShooter.v:16"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.#ds_app_arg": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:18"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.#resetPin_case_alt": {
- "hide_name": 0,
- "bits": [ 1455 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:12"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.#resetPin_case_alt_0": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:13"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.RAM[0]": {
- "hide_name": 0,
- "bits": [ 4765 ],
- "attributes": {
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.ds": {
- "hide_name": 0,
- "bits": [ 306, 1454 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:16"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.h": {
- "hide_name": 0,
- "bits": [ 1454 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:14"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.i": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:15"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.result": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:10"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.result_0": {
- "hide_name": 0,
- "bits": [ 1454 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:17"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.wrM": {
- "hide_name": 0,
- "bits": [ "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 1455 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:22"
- }
- },
- "main.TopEntity_initalHadwareReset_app_arg.wrM_selection_res": {
- "hide_name": 0,
- "bits": [ 1455 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:92|verilog/TopEntity/TopEntity/TopEntity_initalHadwareReset.v:26"
- }
- },
- "main.a": {
- "hide_name": 0,
- "bits": [ 5395 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:52",
- "unused_bits": "0"
- }
- },
- "main.b": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:56"
- }
- },
- "main.cs_ag": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:40"
- }
- },
- "main.cs_m": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:41"
- }
- },
- "main.ds": {
- "hide_name": 0,
- "bits": [ 5395, 5394, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, "x", "x", 22, "1", 24, 23, 21 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:46"
- }
- },
- "main.ds1": {
- "hide_name": 0,
- "bits": [ 306, 5395 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:57"
- }
- },
- "main.ds2": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:34"
- }
- },
- "main.ds3": {
- "hide_name": 0,
- "bits": [ 9, 10, 11 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:35"
- }
- },
- "main.ds4": {
- "hide_name": 0,
- "bits": [ 12, 13, 14 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:36"
- }
- },
- "main.ds5": {
- "hide_name": 0,
- "bits": [ 15, 16, 17, 18 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:37"
- }
- },
- "main.ds6": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:38"
- }
- },
- "main.ds7": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:39"
- }
- },
- "main.matrixoutput": {
- "hide_name": 0,
- "bits": [ 20, 19, 15, 16, 17, 18, 12, 13, 14, 9, 10, 11, 8 ],
- "attributes": {
- }
- },
- "main.matrixoutput_fun_arg": {
- "hide_name": 0,
- "bits": [ "1", 1343, 1407, 3671, 902, 913, 920, 927, 934, 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:62"
- }
- },
- "main.player_rotation": {
- "hide_name": 0,
- "bits": [ 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:53"
- }
- },
- "main.player_shot": {
- "hide_name": 0,
- "bits": [ 5394 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:54",
- "unused_bits": "0"
- }
- },
- "main.reset_output": {
- "hide_name": 0,
- "bits": [ 5395 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:59",
- "unused_bits": "0"
- }
- },
- "main.result": {
- "hide_name": 0,
- "bits": [ "0", "0", "0", "0", "0", "0", 24, 23, "1", 22, 21, 20, 19, 15, 16, 17, 18, 12, 13, 14, 9, 10, 11, 8, "1", "0", "0", "1", "0", "0", "0", "0", 7 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:64"
- }
- },
- "main.sck": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:44"
- }
- },
- "main.sdi": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:43"
- }
- },
- "main.tup": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671, 902, 913, 920, 927, 934, 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:50"
- }
- },
- "main.tup_fun_arg": {
- "hide_name": 0,
- "bits": [ "x", "x", 5394, 1184, 1191, 1198, 1205, 1212, 1219, 1226, 1233, 5393 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:63"
- }
- },
- "main.x": {
- "hide_name": 0,
- "bits": [ 1424, 1427, 1431, 1434, 1437 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:47"
- }
- },
- "main.x_0": {
- "hide_name": 0,
- "bits": [ 902, 913, 920, 927, 934 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:48"
- }
- },
- "main.x_1": {
- "hide_name": 0,
- "bits": [ 1343, 1407, 3671 ],
- "attributes": {
- "src": "verilog/TopEntity/TopEntity/SuperTopEntity.v:38|verilog/TopEntity/TopEntity/TopEntity.v:49"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0183/TopEntity.json.gz b/ice40/regressions/issue0183/TopEntity.json.gz
new file mode 100644
index 0000000..1da2e06
--- /dev/null
+++ b/ice40/regressions/issue0183/TopEntity.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0186/test.json b/ice40/regressions/issue0186/test.json
deleted file mode 100644
index 5010b0a..0000000
--- a/ice40/regressions/issue0186/test.json
+++ /dev/null
@@ -1,6838 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "test.v:3"
- },
- "ports": {
- "pclk": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "led1": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "led2": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "led3": {
- "direction": "output",
- "bits": [ 5 ]
- }
- },
- "cells": {
- "$abc$231$auto$blifparse.cc:492:parse_blif$232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ 7 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 8 ]
- }
- },
- "$abc$231$auto$blifparse.cc:492:parse_blif$233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 9 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 10 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 6 ],
- "I3": [ "0" ],
- "O": [ 11 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 12 ],
- "I3": [ 13 ],
- "O": [ 14 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 13 ],
- "CO": [ 15 ],
- "I0": [ "0" ],
- "I1": [ 12 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 16 ],
- "I3": [ 15 ],
- "O": [ 17 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 15 ],
- "CO": [ 18 ],
- "I0": [ "0" ],
- "I1": [ 16 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 19 ],
- "I3": [ 18 ],
- "O": [ 20 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 18 ],
- "CO": [ 21 ],
- "I0": [ "0" ],
- "I1": [ 19 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 22 ],
- "I3": [ 21 ],
- "O": [ 23 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 21 ],
- "CO": [ 24 ],
- "I0": [ "0" ],
- "I1": [ 22 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 25 ],
- "I3": [ 24 ],
- "O": [ 26 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 24 ],
- "CO": [ 27 ],
- "I0": [ "0" ],
- "I1": [ 25 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 28 ],
- "I3": [ 27 ],
- "O": [ 29 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 27 ],
- "CO": [ 30 ],
- "I0": [ "0" ],
- "I1": [ 28 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 31 ],
- "I3": [ 30 ],
- "O": [ 32 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 30 ],
- "CO": [ 33 ],
- "I0": [ "0" ],
- "I1": [ 31 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 35 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 33 ],
- "CO": [ 36 ],
- "I0": [ "0" ],
- "I1": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 3 ],
- "I3": [ 36 ],
- "O": [ 37 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 36 ],
- "CO": [ 38 ],
- "I0": [ "0" ],
- "I1": [ 3 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4 ],
- "I3": [ 38 ],
- "O": [ 39 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 38 ],
- "CO": [ 40 ],
- "I0": [ "0" ],
- "I1": [ 4 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 6 ],
- "CO": [ 41 ],
- "I0": [ "0" ],
- "I1": [ 9 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 5 ],
- "I3": [ 40 ],
- "O": [ 42 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 43 ],
- "I3": [ 41 ],
- "O": [ 44 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 41 ],
- "CO": [ 45 ],
- "I0": [ "0" ],
- "I1": [ 43 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 46 ],
- "I3": [ 45 ],
- "O": [ 47 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 45 ],
- "CO": [ 48 ],
- "I0": [ "0" ],
- "I1": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 49 ],
- "I3": [ 48 ],
- "O": [ 50 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 48 ],
- "CO": [ 51 ],
- "I0": [ "0" ],
- "I1": [ 49 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 52 ],
- "I3": [ 51 ],
- "O": [ 53 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 51 ],
- "CO": [ 54 ],
- "I0": [ "0" ],
- "I1": [ 52 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 55 ],
- "I3": [ 54 ],
- "O": [ 56 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 54 ],
- "CO": [ 57 ],
- "I0": [ "0" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 58 ],
- "I3": [ 57 ],
- "O": [ 59 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 57 ],
- "CO": [ 60 ],
- "I0": [ "0" ],
- "I1": [ 58 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 61 ],
- "I3": [ 60 ],
- "O": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 60 ],
- "CO": [ 63 ],
- "I0": [ "0" ],
- "I1": [ 61 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 64 ],
- "I3": [ 63 ],
- "O": [ 65 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 63 ],
- "CO": [ 13 ],
- "I0": [ "0" ],
- "I1": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$100": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 29 ],
- "Q": [ 28 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$101": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 32 ],
- "Q": [ 31 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$102": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 35 ],
- "Q": [ 34 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$103": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 37 ],
- "Q": [ 3 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$104": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 39 ],
- "Q": [ 4 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$105": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 42 ],
- "Q": [ 5 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$85": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 11 ],
- "Q": [ 6 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$86": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 10 ],
- "E": [ 8 ],
- "Q": [ 9 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$87": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 44 ],
- "Q": [ 43 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$88": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 47 ],
- "Q": [ 46 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$89": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 50 ],
- "Q": [ 49 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$90": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 53 ],
- "Q": [ 52 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$91": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 56 ],
- "Q": [ 55 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$92": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 59 ],
- "Q": [ 58 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$93": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 62 ],
- "Q": [ 61 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$94": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 65 ],
- "Q": [ 64 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$95": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 14 ],
- "Q": [ 12 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$96": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 17 ],
- "Q": [ 16 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$97": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 20 ],
- "Q": [ 19 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$98": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 23 ],
- "Q": [ 22 ],
- "R": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$99": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 66 ],
- "D": [ 26 ],
- "Q": [ 25 ],
- "R": [ 7 ]
- }
- },
- "mypll.uut": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 47,
- "DIVQ": 3,
- "DIVR": 4,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:14|pll.v:19"
- },
- "port_directions": {
- "BYPASS": "input",
- "LOCK": "output",
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "LOCK": [ 7 ],
- "PLLOUTCORE": [ 66 ],
- "REFERENCECLK": [ 2 ],
- "RESETB": [ "1" ]
- }
- }
- },
- "netnames": {
- "$abc$231$n2": {
- "hide_name": 1,
- "bits": [ 8 ],
- "attributes": {
- }
- },
- "$abc$231$n4": {
- "hide_name": 1,
- "bits": [ 10 ],
- "attributes": {
- }
- },
- "$add$test.v:18$2_Y": {
- "hide_name": 1,
- "bits": [ 11, 67, 44, 47, 50, 53, 56, 59, 62, 65, 14, 17, 20, 23, 26, 29, 32, 35, 37, 39, 42 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$8.C": {
- "hide_name": 1,
- "bits": [ 68, 69, 41, 45, 48, 51, 54, 57, 60, 63, 13, 15, 18, 21, 24, 27, 30, 33, 36, 38, 40 ],
- "attributes": {
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "cntr": {
- "hide_name": 0,
- "bits": [ 6, 9, 43, 46, 49, 52, 55, 58, 61, 64, 12, 16, 19, 22, 25, 28, 31, 34, 3, 4, 5 ],
- "attributes": {
- "src": "test.v:10"
- }
- },
- "fclock": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "test.v:12"
- }
- },
- "led1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "test.v:5"
- }
- },
- "led2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "test.v:6"
- }
- },
- "led3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "test.v:7"
- }
- },
- "lock": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "test.v:11"
- }
- },
- "mypll.clock_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "test.v:14|pll.v:14"
- }
- },
- "mypll.clock_out": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "test.v:14|pll.v:15"
- }
- },
- "mypll.locked": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "test.v:14|pll.v:16"
- }
- },
- "pclk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "test.v:4"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0186/test.json.gz b/ice40/regressions/issue0186/test.json.gz
new file mode 100644
index 0000000..0cb9e1f
--- /dev/null
+++ b/ice40/regressions/issue0186/test.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0188/test.json b/ice40/regressions/issue0188/test.json
deleted file mode 100644
index 0be2a02..0000000
--- a/ice40/regressions/issue0188/test.json
+++ /dev/null
@@ -1,6906 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "test.v:3"
- },
- "ports": {
- "pclk": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "led1": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "led2": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "button": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "led3": {
- "direction": "output",
- "bits": [ 6 ]
- }
- },
- "cells": {
- "$abc$277$auto$blifparse.cc:492:parse_blif$278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 7 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 9 ]
- }
- },
- "$abc$277$auto$blifparse.cc:492:parse_blif$279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 10 ]
- }
- },
- "$abc$277$auto$blifparse.cc:492:parse_blif$280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 11 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 12 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 7 ],
- "I3": [ "0" ],
- "O": [ 13 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 14 ],
- "I3": [ 15 ],
- "O": [ 16 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 15 ],
- "CO": [ 17 ],
- "I0": [ "0" ],
- "I1": [ 14 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 18 ],
- "I3": [ 17 ],
- "O": [ 19 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 17 ],
- "CO": [ 20 ],
- "I0": [ "0" ],
- "I1": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 21 ],
- "I3": [ 20 ],
- "O": [ 22 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 20 ],
- "CO": [ 23 ],
- "I0": [ "0" ],
- "I1": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 24 ],
- "I3": [ 23 ],
- "O": [ 25 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 23 ],
- "CO": [ 26 ],
- "I0": [ "0" ],
- "I1": [ 24 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 27 ],
- "I3": [ 26 ],
- "O": [ 28 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 26 ],
- "CO": [ 29 ],
- "I0": [ "0" ],
- "I1": [ 27 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 30 ],
- "I3": [ 29 ],
- "O": [ 31 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 29 ],
- "CO": [ 32 ],
- "I0": [ "0" ],
- "I1": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 33 ],
- "I3": [ 32 ],
- "O": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 32 ],
- "CO": [ 35 ],
- "I0": [ "0" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 36 ],
- "I3": [ 35 ],
- "O": [ 37 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 35 ],
- "CO": [ 38 ],
- "I0": [ "0" ],
- "I1": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 39 ],
- "I3": [ 38 ],
- "O": [ 40 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 38 ],
- "CO": [ 41 ],
- "I0": [ "0" ],
- "I1": [ 39 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 4 ],
- "I3": [ 41 ],
- "O": [ 42 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 41 ],
- "CO": [ 43 ],
- "I0": [ "0" ],
- "I1": [ 4 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 7 ],
- "CO": [ 44 ],
- "I0": [ "0" ],
- "I1": [ 11 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 6 ],
- "I3": [ 43 ],
- "O": [ 45 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 46 ],
- "I3": [ 44 ],
- "O": [ 47 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 44 ],
- "CO": [ 48 ],
- "I0": [ "0" ],
- "I1": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 49 ],
- "I3": [ 48 ],
- "O": [ 50 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 48 ],
- "CO": [ 51 ],
- "I0": [ "0" ],
- "I1": [ 49 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 52 ],
- "I3": [ 51 ],
- "O": [ 53 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 51 ],
- "CO": [ 54 ],
- "I0": [ "0" ],
- "I1": [ 52 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 55 ],
- "I3": [ 54 ],
- "O": [ 56 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 54 ],
- "CO": [ 57 ],
- "I0": [ "0" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 58 ],
- "I3": [ 57 ],
- "O": [ 59 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 57 ],
- "CO": [ 60 ],
- "I0": [ "0" ],
- "I1": [ 58 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 61 ],
- "I3": [ 60 ],
- "O": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 60 ],
- "CO": [ 63 ],
- "I0": [ "0" ],
- "I1": [ 61 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 64 ],
- "I3": [ 63 ],
- "O": [ 65 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 63 ],
- "CO": [ 66 ],
- "I0": [ "0" ],
- "I1": [ 64 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 67 ],
- "I3": [ 66 ],
- "O": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 66 ],
- "CO": [ 15 ],
- "I0": [ "0" ],
- "I1": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$100": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 22 ],
- "Q": [ 21 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$101": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 25 ],
- "Q": [ 24 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$102": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 28 ],
- "Q": [ 27 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$103": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 31 ],
- "Q": [ 30 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$104": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 34 ],
- "Q": [ 33 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$105": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 37 ],
- "Q": [ 36 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$106": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 40 ],
- "Q": [ 39 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$107": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 42 ],
- "Q": [ 4 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$108": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 45 ],
- "Q": [ 6 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$87": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 5 ],
- "Q": [ 3 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$88": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 13 ],
- "Q": [ 7 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$89": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 12 ],
- "E": [ 9 ],
- "Q": [ 11 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$90": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 47 ],
- "Q": [ 46 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$91": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 50 ],
- "Q": [ 49 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$92": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 53 ],
- "Q": [ 52 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$93": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 56 ],
- "Q": [ 55 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$94": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 59 ],
- "Q": [ 58 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$95": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 62 ],
- "Q": [ 61 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$96": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 65 ],
- "Q": [ 64 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$97": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 68 ],
- "Q": [ 67 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$98": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 16 ],
- "Q": [ 14 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$99": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:18|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 69 ],
- "D": [ 19 ],
- "Q": [ 18 ],
- "R": [ 10 ]
- }
- },
- "mypll.uut": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 40,
- "DIVQ": 6,
- "DIVR": 3,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:16|pll.v:19"
- },
- "port_directions": {
- "BYPASS": "input",
- "LOCK": "output",
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "LOCK": [ 8 ],
- "PLLOUTCORE": [ 69 ],
- "REFERENCECLK": [ 2 ],
- "RESETB": [ "1" ]
- }
- }
- },
- "netnames": {
- "$abc$277$n1": {
- "hide_name": 1,
- "bits": [ 10 ],
- "attributes": {
- }
- },
- "$abc$277$n4": {
- "hide_name": 1,
- "bits": [ 9 ],
- "attributes": {
- }
- },
- "$abc$277$n6": {
- "hide_name": 1,
- "bits": [ 12 ],
- "attributes": {
- }
- },
- "$add$test.v:20$3_Y": {
- "hide_name": 1,
- "bits": [ 13, 70, 47, 50, 53, 56, 59, 62, 65, 68, 16, 19, 22, 25, 28, 31, 34, 37, 40, 42, 45 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$10.C": {
- "hide_name": 1,
- "bits": [ 71, 72, 44, 48, 51, 54, 57, 60, 63, 66, 15, 17, 20, 23, 26, 29, 32, 35, 38, 41, 43 ],
- "attributes": {
- "src": "test.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "button": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "test.v:7"
- }
- },
- "button_read": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "test.v:11"
- }
- },
- "cntr": {
- "hide_name": 0,
- "bits": [ 7, 11, 46, 49, 52, 55, 58, 61, 64, 67, 14, 18, 21, 24, 27, 30, 33, 36, 39, 4, 6 ],
- "attributes": {
- "src": "test.v:12"
- }
- },
- "fclock": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "test.v:14"
- }
- },
- "led1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "test.v:5"
- }
- },
- "led2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "test.v:6"
- }
- },
- "led3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "test.v:8"
- }
- },
- "lock": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "test.v:13"
- }
- },
- "mypll.clock_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "test.v:16|pll.v:14"
- }
- },
- "mypll.clock_out": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "test.v:16|pll.v:15"
- }
- },
- "mypll.locked": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "test.v:16|pll.v:16"
- }
- },
- "pclk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "test.v:4"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0188/test.json.gz b/ice40/regressions/issue0188/test.json.gz
new file mode 100644
index 0000000..dfa9a30
--- /dev/null
+++ b/ice40/regressions/issue0188/test.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0203/ringosc.json b/ice40/regressions/issue0203/ringosc.json
deleted file mode 100644
index 81164ca..0000000
--- a/ice40/regressions/issue0203/ringosc.json
+++ /dev/null
@@ -1,5377 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "ringosc.v:5"
- },
- "ports": {
- "D1": {
- "direction": "output",
- "bits": [ 2 ]
- }
- },
- "cells": {
- "$abc$67$auto$blifparse.cc:492:parse_blif$68": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2 ]
- }
- },
- "buffers[0]": {
- "hide_name": 0,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "ringosc.v:9"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "buffers[1]": {
- "hide_name": 0,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "ringosc.v:9"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 3 ]
- }
- }
- },
- "netnames": {
- "D1": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "ringosc.v:5"
- }
- },
- "buffers_in": {
- "hide_name": 0,
- "bits": [ 2, 4 ],
- "attributes": {
- "src": "ringosc.v:7"
- }
- },
- "buffers_out": {
- "hide_name": 0,
- "bits": [ 4, 3 ],
- "attributes": {
- "src": "ringosc.v:7"
- }
- },
- "random": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "ringosc.v:19"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0203/ringosc.json.gz b/ice40/regressions/issue0203/ringosc.json.gz
new file mode 100644
index 0000000..42cfbd9
--- /dev/null
+++ b/ice40/regressions/issue0203/ringosc.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0209/test.json b/ice40/regressions/issue0209/test.json
deleted file mode 100644
index 5435715..0000000
--- a/ice40/regressions/issue0209/test.json
+++ /dev/null
@@ -1,5327 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "test": {
- "attributes": {
- "top": 1,
- "src": "test.v:2"
- },
- "ports": {
- "PIN_5": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PIN_18": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "in.lvds_in": {
- "hide_name": 0,
- "type": "SB_GB_IO",
- "parameters": {
- "IO_STANDARD": "SB_LVDS_INPUT",
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "test.v:3|test.v:7"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 3 ],
- "PACKAGE_PIN": [ 2 ]
- }
- }
- },
- "netnames": {
- "PIN_18": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "test.v:2"
- }
- },
- "PIN_5": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "test.v:2"
- }
- },
- "in.pin": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "test.v:3|test.v:6",
- "unused_bits": "0"
- }
- },
- "in.rd": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "test.v:3|test.v:6"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0209/test.json.gz b/ice40/regressions/issue0209/test.json.gz
new file mode 100644
index 0000000..3ca58e7
--- /dev/null
+++ b/ice40/regressions/issue0209/test.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0218/rx_cdr_divider.json b/ice40/regressions/issue0218/rx_cdr_divider.json
deleted file mode 100644
index bb58dd8..0000000
--- a/ice40/regressions/issue0218/rx_cdr_divider.json
+++ /dev/null
@@ -1,5468 +0,0 @@
-{
- "creator": "Yosys 0.8 (git sha1 UNKNOWN, clang 6.0.1 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "rx_cdr_divider": {
- "attributes": {
- "top": 1,
- "src": "rx_cdr_divider.v:21"
- },
- "ports": {
- "dclk": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "rclk": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "rst": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- "$abc$91$auto$blifparse.cc:492:parse_blif$92": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 5 ],
- "I1": [ 6 ],
- "I2": [ 3 ],
- "I3": [ "0" ],
- "O": [ 2 ]
- }
- },
- "$abc$91$auto$blifparse.cc:492:parse_blif$93": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 7 ],
- "I1": [ 3 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 9 ]
- }
- },
- "$abc$91$auto$blifparse.cc:492:parse_blif$94": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3857
- },
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ 10 ],
- "I2": [ 5 ],
- "I3": [ 3 ],
- "O": [ 8 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$70": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_divider.v:58|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3 ],
- "D": [ 9 ],
- "Q": [ 5 ],
- "R": [ 4 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$71": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_divider.v:58|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3 ],
- "D": [ 2 ],
- "Q": [ 7 ],
- "R": [ 4 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$72": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_divider.v:46|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3 ],
- "D": [ 9 ],
- "Q": [ 6 ],
- "R": [ 4 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$73": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_divider.v:46|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3 ],
- "D": [ 2 ],
- "Q": [ 10 ],
- "R": [ 4 ]
- }
- }
- },
- "netnames": {
- "$abc$91$n11": {
- "hide_name": 1,
- "bits": [ 8 ],
- "attributes": {
- }
- },
- "d_1_2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "rx_cdr_divider.v:28"
- }
- },
- "d_3_4": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "rx_cdr_divider.v:28"
- }
- },
- "dclk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "rx_cdr_divider.v:22"
- }
- },
- "dl1": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "rx_cdr_divider.v:27"
- }
- },
- "dl2": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "rx_cdr_divider.v:27"
- }
- },
- "dl3": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "rx_cdr_divider.v:27"
- }
- },
- "dl4": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "rx_cdr_divider.v:27"
- }
- },
- "mux1": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "rx_cdr_divider.v:29"
- }
- },
- "rclk": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "rx_cdr_divider.v:23"
- }
- },
- "rst": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "rx_cdr_divider.v:24"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0218/rx_cdr_divider.json.gz b/ice40/regressions/issue0218/rx_cdr_divider.json.gz
new file mode 100644
index 0000000..4dc0775
--- /dev/null
+++ b/ice40/regressions/issue0218/rx_cdr_divider.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0224/rx_cdr_bbpd.json b/ice40/regressions/issue0224/rx_cdr_bbpd.json
deleted file mode 100644
index 99ef7a9..0000000
--- a/ice40/regressions/issue0224/rx_cdr_bbpd.json
+++ /dev/null
@@ -1,5418 +0,0 @@
-{
- "creator": "Yosys 0.8 (git sha1 UNKNOWN, clang 6.0.1 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "rx_cdr_bbpd": {
- "attributes": {
- "top": 1,
- "src": "rx_cdr_bbpd.v:5"
- },
- "ports": {
- "early": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "late": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "in": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "rclk": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "rst": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- "$abc$134$auto$blifparse.cc:492:parse_blif$137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 560
- },
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2 ],
- "I1": [ 7 ],
- "I2": [ 8 ],
- "I3": [ 9 ],
- "O": [ 2 ]
- }
- },
- "$abc$134$auto$blifparse.cc:492:parse_blif$138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 560
- },
- "attributes": {
- "src": "/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ 7 ],
- "I2": [ 9 ],
- "I3": [ 8 ],
- "O": [ 3 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$113": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_bbpd.v:25|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 5 ],
- "D": [ 8 ],
- "Q": [ 9 ],
- "R": [ 6 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$114": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_bbpd.v:25|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 5 ],
- "D": [ 10 ],
- "Q": [ 7 ],
- "R": [ 6 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$115": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_bbpd.v:25|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:17"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 5 ],
- "D": [ 4 ],
- "Q": [ 8 ],
- "R": [ 6 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$82": {
- "hide_name": 1,
- "type": "SB_DFFNR",
- "parameters": {
- },
- "attributes": {
- "src": "rx_cdr_bbpd.v:35|/usr/local/fpga/bin/../share/yosys/ice40/cells_map.v:15"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 5 ],
- "D": [ 4 ],
- "Q": [ 10 ],
- "R": [ 6 ]
- }
- }
- },
- "netnames": {
- "early": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "rx_cdr_bbpd.v:6"
- }
- },
- "in": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "rx_cdr_bbpd.v:8"
- }
- },
- "late": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "rx_cdr_bbpd.v:7"
- }
- },
- "rclk": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "rx_cdr_bbpd.v:9"
- }
- },
- "rst": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "rx_cdr_bbpd.v:10"
- }
- },
- "s": {
- "hide_name": 0,
- "bits": [ 10, 9, 7, 8 ],
- "attributes": {
- "src": "rx_cdr_bbpd.v:13"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0224/rx_cdr_bbpd.json.gz b/ice40/regressions/issue0224/rx_cdr_bbpd.json.gz
new file mode 100644
index 0000000..e51aaf8
--- /dev/null
+++ b/ice40/regressions/issue0224/rx_cdr_bbpd.json.gz
Binary files differ
diff --git a/ice40/regressions/issue0229/top.json b/ice40/regressions/issue0229/top.json
deleted file mode 100644
index 14df665..0000000
--- a/ice40/regressions/issue0229/top.json
+++ /dev/null
@@ -1,16243 +0,0 @@
-{
- "creator": "Yosys 0.8+148 (git sha1 e112d2fb, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "clk_if": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "i2c_scl": {
- "direction": "inout",
- "bits": [ 3 ]
- },
- "i2c_sda": {
- "direction": "inout",
- "bits": [ 4 ]
- },
- "fx2_sloe": {
- "direction": "inout",
- "bits": [ 5 ]
- },
- "fx2_slrd": {
- "direction": "inout",
- "bits": [ 6 ]
- },
- "fx2_slwr": {
- "direction": "inout",
- "bits": [ 7 ]
- },
- "fx2_pktend": {
- "direction": "inout",
- "bits": [ 8 ]
- },
- "fx2_fifoadr": {
- "direction": "inout",
- "bits": [ 9, 10 ]
- },
- "fx2_flag": {
- "direction": "inout",
- "bits": [ 11, 12, 13, 14 ]
- },
- "fx2_fd": {
- "direction": "inout",
- "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ]
- },
- "port_a_io": {
- "direction": "inout",
- "bits": [ 23 ]
- },
- "port_a_oe": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "port_a_io_1": {
- "direction": "inout",
- "bits": [ 24 ]
- },
- "port_a_oe_1": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "port_a_io_2": {
- "direction": "inout",
- "bits": [ 25 ]
- },
- "port_a_oe_2": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "port_a_io_3": {
- "direction": "inout",
- "bits": [ 26 ]
- },
- "port_a_oe_3": {
- "direction": "output",
- "bits": [ "1" ]
- },
- "port_a_io_4": {
- "direction": "inout",
- "bits": [ 27 ]
- },
- "port_a_oe_4": {
- "direction": "output",
- "bits": [ "1" ]
- }
- },
- "cells": {
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ 29 ],
- "I2": [ 30 ],
- "I3": [ 31 ],
- "O": [ 32 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7936
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 34 ],
- "I2": [ 35 ],
- "I3": [ 28 ],
- "O": [ 30 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 37 ],
- "I2": [ 38 ],
- "I3": [ 39 ],
- "O": [ 28 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 41 ],
- "I2": [ 42 ],
- "I3": [ "0" ],
- "O": [ 39 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 43 ],
- "I1": [ 44 ],
- "I2": [ 45 ],
- "I3": [ "0" ],
- "O": [ 40 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 47 ],
- "I2": [ 48 ],
- "I3": [ 49 ],
- "O": [ 41 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 51 ],
- "I2": [ 52 ],
- "I3": [ 53 ],
- "O": [ 42 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 38 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ 58 ],
- "I3": [ "0" ],
- "O": [ 37 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 35 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 55 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 59 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 62 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 60 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 38 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 33 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 57 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 63 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 34 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 54 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 65 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 66 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 70 ],
- "I2": [ 38 ],
- "I3": [ 56 ],
- "O": [ 31 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 74 ],
- "O": [ 69 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 74 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 77 ],
- "I1": [ 78 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 71 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 83 ],
- "I3": [ 84 ],
- "O": [ 72 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ 85 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 73 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 70 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 66 ],
- "I2": [ 65 ],
- "I3": [ 86 ],
- "O": [ 29 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 87 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 62 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 88 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 39 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 89 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 91 ],
- "I2": [ 92 ],
- "I3": [ "0" ],
- "O": [ 93 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 91 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 96 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 90 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 91 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 95 ],
- "I2": [ 91 ],
- "I3": [ 92 ],
- "O": [ 99 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 39 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 101 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 103 ],
- "I2": [ 67 ],
- "I3": [ 68 ],
- "O": [ 100 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 57 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 102 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ 64 ],
- "I3": [ "0" ],
- "O": [ 103 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62207
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 106 ],
- "I3": [ 30 ],
- "O": [ 107 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 54 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 105 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 108 ],
- "I2": [ 109 ],
- "I3": [ 110 ],
- "O": [ 106 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ "0" ],
- "O": [ 110 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ 114 ],
- "O": [ 108 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ 118 ],
- "O": [ 113 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 109 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 55 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 120 ],
- "I2": [ 39 ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 63 ],
- "I2": [ 38 ],
- "I3": [ "0" ],
- "O": [ 119 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 235
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 57 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 122 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 38 ],
- "I2": [ 70 ],
- "I3": [ "0" ],
- "O": [ 120 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 39 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 65 ],
- "I2": [ 35 ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 126 ],
- "I2": [ 33 ],
- "I3": [ 39 ],
- "O": [ 127 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ 37 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 36 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 74 ],
- "I1": [ 39 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 128 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 511
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 95 ],
- "I2": [ 91 ],
- "I3": [ 39 ],
- "O": [ 129 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 129 ],
- "I1": [ 130 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 130 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 91 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 132 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 129 ],
- "I1": [ 133 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 134 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 132 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 133 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 95 ],
- "I1": [ 91 ],
- "I2": [ 94 ],
- "I3": [ 39 ],
- "O": [ 135 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 136 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 138 ],
- "I2": [ 139 ],
- "I3": [ 140 ],
- "O": [ 141 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 137 ],
- "I2": [ 143 ],
- "I3": [ 144 ],
- "O": [ 139 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 146 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 147 ],
- "I1": [ 148 ],
- "I2": [ 149 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 150 ],
- "I1": [ 151 ],
- "I2": [ 152 ],
- "I3": [ 153 ],
- "O": [ 137 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 146 ],
- "I1": [ 143 ],
- "I2": [ 142 ],
- "I3": [ 145 ],
- "O": [ 138 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57599
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 155 ],
- "I2": [ 156 ],
- "I3": [ 140 ],
- "O": [ 157 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 158 ],
- "I1": [ 159 ],
- "I2": [ 160 ],
- "I3": [ "0" ],
- "O": [ 156 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ 162 ],
- "I2": [ 163 ],
- "I3": [ 164 ],
- "O": [ 160 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 166 ],
- "I2": [ 167 ],
- "I3": [ 168 ],
- "O": [ 154 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 168 ],
- "I2": [ 165 ],
- "I3": [ 167 ],
- "O": [ 155 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 150 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 169 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 172 ],
- "I2": [ 143 ],
- "I3": [ 173 ],
- "O": [ 170 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 152 ],
- "I1": [ 153 ],
- "I2": [ 147 ],
- "I3": [ 149 ],
- "O": [ 173 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 148 ],
- "I2": [ 151 ],
- "I3": [ 150 ],
- "O": [ 172 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57599
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 166 ],
- "I2": [ 170 ],
- "I3": [ 140 ],
- "O": [ 175 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 155 ],
- "I2": [ 161 ],
- "I3": [ "0" ],
- "O": [ 174 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 158 ],
- "I1": [ 163 ],
- "I2": [ 177 ],
- "I3": [ "0" ],
- "O": [ 176 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 162 ],
- "I2": [ 164 ],
- "I3": [ "0" ],
- "O": [ 177 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57599
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 178 ],
- "I2": [ 179 ],
- "I3": [ 140 ],
- "O": [ 180 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 142 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 179 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 147 ],
- "I2": [ 148 ],
- "I3": [ 149 ],
- "O": [ 178 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 156 ],
- "I2": [ 182 ],
- "I3": [ 140 ],
- "O": [ 183 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ 181 ],
- "I2": [ 176 ],
- "I3": [ "0" ],
- "O": [ 182 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 167 ],
- "I2": [ 166 ],
- "I3": [ 168 ],
- "O": [ 181 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 184 ],
- "I1": [ 69 ],
- "I2": [ 75 ],
- "I3": [ "0" ],
- "O": [ 185 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6144
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 36 ],
- "I2": [ 55 ],
- "I3": [ 126 ],
- "O": [ 184 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 178 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 186 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 187 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 188 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 168 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 189 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 190 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 191 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 192 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 193 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 194 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 195 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 196 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 199 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 201 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 202 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 206 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 207 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 211 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 213 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 214 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 215 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 216 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 217 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 218 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 219 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 220 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 222 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 223 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 225 ],
- "I2": [ 226 ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 225 ],
- "I2": [ 226 ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 225 ],
- "I2": [ 226 ],
- "I3": [ "0" ],
- "O": [ 231 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 232 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 36 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 115 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 234 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 116 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 117 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 236 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 237 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 238 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 111 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 239 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 112 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 240 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 61 ],
- "I2": [ 241 ],
- "I3": [ "0" ],
- "O": [ 242 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 65 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 36 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 115 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 244 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 116 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 117 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 246 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 248 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 111 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 249 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 250 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 251 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 56 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 252 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 253 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 255 ],
- "I2": [ 120 ],
- "I3": [ "0" ],
- "O": [ 256 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 257 ],
- "I1": [ 258 ],
- "I2": [ 35 ],
- "I3": [ "0" ],
- "O": [ 254 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2816
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 110 ],
- "I2": [ 58 ],
- "I3": [ 109 ],
- "O": [ 258 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 38 ],
- "I2": [ 37 ],
- "I3": [ "0" ],
- "O": [ 257 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 252 ],
- "I2": [ 63 ],
- "I3": [ 35 ],
- "O": [ 255 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65524
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 259 ],
- "I2": [ 184 ],
- "I3": [ 255 ],
- "O": [ 260 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ 56 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 259 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 125 ],
- "I2": [ 126 ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 262 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 263 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 264 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 268 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 270 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 272 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 274 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 276 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 277 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 119 ],
- "I3": [ "0" ],
- "O": [ 278 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 279 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 280 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 95 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 281 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 284 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 289 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 297 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 300 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 301 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 302 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 303 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 304 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 305 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 306 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 307 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 308 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 309 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 53 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 310 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 311 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 312 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 313 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 49 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 314 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 43 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 315 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 316 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 317 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 318 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 320 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 321 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 77 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 322 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 323 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 324 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 325 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 151 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 326 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 250 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 327 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 329 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 330 ],
- "I1": [ 331 ],
- "I2": [ 250 ],
- "I3": [ 97 ],
- "O": [ 332 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 334 ],
- "I2": [ 250 ],
- "I3": [ 332 ],
- "O": [ 335 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62719
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 335 ],
- "I2": [ 94 ],
- "I3": [ 95 ],
- "O": [ 92 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 106 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 336 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3167
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 69 ],
- "I2": [ 57 ],
- "I3": [ 56 ],
- "O": [ 337 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64831
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 55 ],
- "I2": [ 337 ],
- "I3": [ 54 ],
- "O": [ 338 ]
- }
- },
- "$abc$3656$auto$blifparse.cc:492:parse_blif$3852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 338 ],
- "I2": [ 336 ],
- "I3": [ 60 ],
- "O": [ 339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1080.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 325 ],
- "CO": [ 340 ],
- "I0": [ "0" ],
- "I1": [ 324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1080.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 340 ],
- "CO": [ 341 ],
- "I0": [ "0" ],
- "I1": [ 323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1080.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 341 ],
- "CO": [ 342 ],
- "I0": [ "0" ],
- "I1": [ 322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1080.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 342 ],
- "CO": [ 343 ],
- "I0": [ "0" ],
- "I1": [ 321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1080.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 343 ],
- "CO": [ 344 ],
- "I0": [ "0" ],
- "I1": [ 320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1080.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 344 ],
- "CO": [ 85 ],
- "I0": [ "0" ],
- "I1": [ 319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1091.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 162 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 230 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1091.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 345 ],
- "I0": [ 162 ],
- "I1": [ 142 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1091.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 163 ],
- "I2": [ 145 ],
- "I3": [ 345 ],
- "O": [ 228 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1091.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 345 ],
- "CO": [ 346 ],
- "I0": [ 163 ],
- "I1": [ 145 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1091.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 164 ],
- "I2": [ 148 ],
- "I3": [ 346 ],
- "O": [ 224 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 187 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 166 ],
- "CO": [ 347 ],
- "I0": [ "0" ],
- "I1": [ 168 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 165 ],
- "I3": [ 347 ],
- "O": [ 190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 347 ],
- "CO": [ 348 ],
- "I0": [ "0" ],
- "I1": [ 165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 167 ],
- "I3": [ 348 ],
- "O": [ 192 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 348 ],
- "CO": [ 349 ],
- "I0": [ "0" ],
- "I1": [ 167 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 158 ],
- "I3": [ 349 ],
- "O": [ 194 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 349 ],
- "CO": [ 350 ],
- "I0": [ "0" ],
- "I1": [ 158 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 162 ],
- "I3": [ 350 ],
- "O": [ 196 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 350 ],
- "CO": [ 351 ],
- "I0": [ "0" ],
- "I1": [ 162 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 163 ],
- "I3": [ 351 ],
- "O": [ 198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 351 ],
- "CO": [ 352 ],
- "I0": [ "0" ],
- "I1": [ 163 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 164 ],
- "I3": [ 352 ],
- "O": [ 200 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 352 ],
- "CO": [ 353 ],
- "I0": [ "0" ],
- "I1": [ 164 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 159 ],
- "I3": [ 353 ],
- "O": [ 202 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 353 ],
- "CO": [ 354 ],
- "I0": [ "0" ],
- "I1": [ 159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 161 ],
- "I3": [ 354 ],
- "O": [ 204 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 150 ],
- "I3": [ "0" ],
- "O": [ 206 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 150 ],
- "CO": [ 355 ],
- "I0": [ "0" ],
- "I1": [ 151 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 152 ],
- "I3": [ 355 ],
- "O": [ 208 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 355 ],
- "CO": [ 356 ],
- "I0": [ "0" ],
- "I1": [ 152 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 153 ],
- "I3": [ 356 ],
- "O": [ 210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 356 ],
- "CO": [ 357 ],
- "I0": [ "0" ],
- "I1": [ 153 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 143 ],
- "I3": [ 357 ],
- "O": [ 212 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 357 ],
- "CO": [ 358 ],
- "I0": [ "0" ],
- "I1": [ 143 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 142 ],
- "I3": [ 358 ],
- "O": [ 214 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 358 ],
- "CO": [ 359 ],
- "I0": [ "0" ],
- "I1": [ 142 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 145 ],
- "I3": [ 359 ],
- "O": [ 216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 359 ],
- "CO": [ 360 ],
- "I0": [ "0" ],
- "I1": [ 145 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 148 ],
- "I3": [ 360 ],
- "O": [ 218 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 360 ],
- "CO": [ 361 ],
- "I0": [ "0" ],
- "I1": [ 148 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 147 ],
- "I3": [ 361 ],
- "O": [ 220 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 361 ],
- "CO": [ 362 ],
- "I0": [ "0" ],
- "I1": [ 147 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 149 ],
- "I3": [ 362 ],
- "O": [ 222 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1100.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 67 ],
- "I3": [ "0" ],
- "O": [ 276 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1100.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 67 ],
- "CO": [ 363 ],
- "I0": [ "0" ],
- "I1": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1100.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 64 ],
- "I3": [ 363 ],
- "O": [ 279 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 307 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 286 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 317 ],
- "I2": [ "1" ],
- "I3": [ 364 ],
- "O": [ 304 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 307 ],
- "CO": [ 365 ],
- "I0": [ 308 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 309 ],
- "I2": [ "1" ],
- "I3": [ 365 ],
- "O": [ 288 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 365 ],
- "CO": [ 366 ],
- "I0": [ 309 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 310 ],
- "I2": [ "1" ],
- "I3": [ 366 ],
- "O": [ 290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 366 ],
- "CO": [ 367 ],
- "I0": [ 310 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 311 ],
- "I2": [ "1" ],
- "I3": [ 367 ],
- "O": [ 292 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 367 ],
- "CO": [ 368 ],
- "I0": [ 311 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 312 ],
- "I2": [ "1" ],
- "I3": [ 368 ],
- "O": [ 294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 368 ],
- "CO": [ 369 ],
- "I0": [ 312 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 313 ],
- "I2": [ "1" ],
- "I3": [ 369 ],
- "O": [ 296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 369 ],
- "CO": [ 370 ],
- "I0": [ 313 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 314 ],
- "I2": [ "1" ],
- "I3": [ 370 ],
- "O": [ 298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 370 ],
- "CO": [ 371 ],
- "I0": [ 314 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 315 ],
- "I2": [ "1" ],
- "I3": [ 371 ],
- "O": [ 300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 371 ],
- "CO": [ 372 ],
- "I0": [ 315 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 316 ],
- "I2": [ "1" ],
- "I3": [ 372 ],
- "O": [ 302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 372 ],
- "CO": [ 364 ],
- "I0": [ 316 ],
- "I1": [ "1" ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2244": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 233 ],
- "E": [ 101 ],
- "Q": [ 84 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2245": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 234 ],
- "E": [ 101 ],
- "Q": [ 81 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2246": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 235 ],
- "E": [ 101 ],
- "Q": [ 82 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2247": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 236 ],
- "E": [ 101 ],
- "Q": [ 83 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2248": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 237 ],
- "E": [ 101 ],
- "Q": [ 77 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2249": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 238 ],
- "E": [ 101 ],
- "Q": [ 78 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2250": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 239 ],
- "E": [ 101 ],
- "Q": [ 79 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2251": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 240 ],
- "E": [ 101 ],
- "Q": [ 80 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2252": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 32 ],
- "E": [ 107 ],
- "Q": [ 374 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 87 ],
- "Q": [ 54 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 88 ],
- "Q": [ 61 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2255": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 277 ],
- "E": [ 121 ],
- "Q": [ 67 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2256": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 278 ],
- "E": [ 121 ],
- "Q": [ 68 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2257": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 280 ],
- "E": [ 121 ],
- "Q": [ 64 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2258": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 242 ],
- "E": [ 124 ],
- "Q": [ 36 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2259": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 243 ],
- "E": [ 124 ],
- "Q": [ 115 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2260": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 244 ],
- "E": [ 124 ],
- "Q": [ 116 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2261": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 245 ],
- "E": [ 124 ],
- "Q": [ 117 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2262": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 246 ],
- "E": [ 124 ],
- "Q": [ 114 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2263": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 247 ],
- "E": [ 124 ],
- "Q": [ 118 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2264": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 248 ],
- "E": [ 124 ],
- "Q": [ 111 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2265": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 249 ],
- "E": [ 124 ],
- "Q": [ 112 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2266": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 261 ],
- "E": [ 127 ],
- "Q": [ 262 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2267": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 263 ],
- "E": [ 127 ],
- "Q": [ 264 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2268": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 265 ],
- "E": [ 127 ],
- "Q": [ 266 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2269": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 267 ],
- "E": [ 127 ],
- "Q": [ 268 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2270": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 269 ],
- "E": [ 127 ],
- "Q": [ 270 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2271": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 271 ],
- "E": [ 127 ],
- "Q": [ 272 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2272": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 273 ],
- "E": [ 127 ],
- "Q": [ 274 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2273": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 275 ],
- "E": [ 127 ],
- "Q": [ 86 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2274": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 100 ],
- "Q": [ 76 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2275": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 89 ],
- "E": [ 128 ],
- "Q": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2276": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 339 ],
- "Q": [ 58 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2277": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 256 ],
- "Q": [ 57 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2278": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 260 ],
- "Q": [ 56 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2279": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 185 ],
- "Q": [ 75 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2280": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 327 ],
- "E": [ 129 ],
- "Q": [ 250 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2281": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 251 ],
- "E": [ 129 ],
- "Q": [ 97 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2282": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 130 ],
- "E": [ 131 ],
- "Q": [ 375 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2283": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 133 ],
- "E": [ 134 ],
- "Q": [ 328 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2284": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 93 ],
- "E": [ 135 ],
- "Q": [ 94 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2285": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 98 ],
- "E": [ 135 ],
- "Q": [ 91 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2286": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 99 ],
- "E": [ 135 ],
- "Q": [ 95 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 283 ],
- "Q": [ 376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 376 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 285 ],
- "Q": [ 377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 377 ],
- "Q": [ 62 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2291": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 287 ],
- "E": [ 318 ],
- "Q": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2292": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 308 ],
- "E": [ 136 ],
- "Q": [ 51 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2293": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 289 ],
- "E": [ 318 ],
- "Q": [ 52 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2294": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 291 ],
- "E": [ 318 ],
- "Q": [ 53 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2295": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 293 ],
- "E": [ 318 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2296": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 295 ],
- "E": [ 318 ],
- "Q": [ 47 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2297": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 297 ],
- "E": [ 318 ],
- "Q": [ 48 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2298": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 299 ],
- "E": [ 318 ],
- "Q": [ 49 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2299": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 301 ],
- "E": [ 318 ],
- "Q": [ 43 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2300": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 303 ],
- "E": [ 318 ],
- "Q": [ 44 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2301": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 373 ],
- "D": [ 305 ],
- "E": [ 318 ],
- "Q": [ 45 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2302": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 139 ],
- "E": [ 141 ],
- "Q": [ 379 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2303": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 232 ],
- "E": [ 157 ],
- "Q": [ 380 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2304": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 231 ],
- "Q": [ 381 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2305": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 229 ],
- "Q": [ 382 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2306": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 227 ],
- "Q": [ 383 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2307": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 207 ],
- "Q": [ 150 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2308": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 326 ],
- "E": [ 169 ],
- "Q": [ 151 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2309": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 209 ],
- "Q": [ 152 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2310": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 211 ],
- "Q": [ 153 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2311": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 213 ],
- "Q": [ 143 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2312": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 215 ],
- "Q": [ 142 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2313": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 217 ],
- "Q": [ 145 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2314": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 219 ],
- "Q": [ 148 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2315": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 221 ],
- "Q": [ 147 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2316": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 223 ],
- "Q": [ 149 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2317": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 188 ],
- "E": [ 171 ],
- "Q": [ 166 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2318": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 189 ],
- "E": [ 175 ],
- "Q": [ 168 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2319": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 191 ],
- "E": [ 171 ],
- "Q": [ 165 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2320": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 193 ],
- "E": [ 171 ],
- "Q": [ 167 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2321": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 195 ],
- "E": [ 171 ],
- "Q": [ 158 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2322": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 197 ],
- "E": [ 171 ],
- "Q": [ 162 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2323": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 199 ],
- "E": [ 171 ],
- "Q": [ 163 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2324": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 201 ],
- "E": [ 171 ],
- "Q": [ 164 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2325": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 203 ],
- "E": [ 171 ],
- "Q": [ 159 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2326": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 205 ],
- "E": [ 171 ],
- "Q": [ 161 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2327": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 186 ],
- "E": [ 180 ],
- "Q": [ 226 ],
- "R": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2328": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 378 ],
- "D": [ 182 ],
- "E": [ 183 ],
- "Q": [ 225 ],
- "R": [ 306 ]
- }
- },
- "SB_GB_IO": {
- "hide_name": 0,
- "type": "SB_GB_IO",
- "parameters": {
- "PIN_TYPE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1036"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 373 ],
- "PACKAGE_PIN": [ 2 ]
- }
- },
- "SB_IO": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1043"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 384 ],
- "D_OUT_0": [ 250 ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 9 ]
- }
- },
- "SB_IO_1": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1054"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 385 ],
- "D_OUT_0": [ 97 ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 10 ]
- }
- },
- "SB_IO_10": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1153"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 386 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 19 ]
- }
- },
- "SB_IO_11": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1164"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 387 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 20 ]
- }
- },
- "SB_IO_12": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1175"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 388 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 21 ]
- }
- },
- "SB_IO_13": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1186"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 389 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 22 ]
- }
- },
- "SB_IO_14": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1197"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 390 ],
- "D_OUT_0": [ 329 ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "SB_IO_15": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1208"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 391 ],
- "D_OUT_0": [ 96 ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "SB_IO_16": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1219"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 392 ],
- "D_OUT_0": [ "1" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 7 ]
- }
- },
- "SB_IO_17": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1230"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 393 ],
- "D_OUT_0": [ 281 ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 8 ]
- }
- },
- "SB_IO_18": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1241"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 394 ],
- "D_OUT_0": [ 379 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 23 ]
- }
- },
- "SB_IO_19": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1250"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 395 ],
- "D_OUT_0": [ 380 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 24 ]
- }
- },
- "SB_IO_2": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1065"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 333 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 11 ]
- }
- },
- "SB_IO_20": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1259"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 396 ],
- "D_OUT_0": [ 381 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 25 ]
- }
- },
- "SB_IO_21": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1268"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 397 ],
- "D_OUT_0": [ 382 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 26 ]
- }
- },
- "SB_IO_22": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1277"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 398 ],
- "D_OUT_0": [ 383 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 27 ]
- }
- },
- "SB_IO_23": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1286"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 282 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "SB_IO_24": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1295"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 284 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ 374 ],
- "PACKAGE_PIN": [ 4 ]
- }
- },
- "SB_IO_3": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1076"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 330 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 12 ]
- }
- },
- "SB_IO_4": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1087"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 334 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 13 ]
- }
- },
- "SB_IO_5": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1098"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 331 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 14 ]
- }
- },
- "SB_IO_6": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1109"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 399 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 15 ]
- }
- },
- "SB_IO_7": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1120"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 400 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 16 ]
- }
- },
- "SB_IO_8": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 401 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 17 ]
- }
- },
- "SB_IO_9": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1142"
- },
- "port_directions": {
- "D_IN_1": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_1": [ 402 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 373 ],
- "OUTPUT_CLK": [ 373 ],
- "OUTPUT_ENABLE": [ 375 ],
- "PACKAGE_PIN": [ 18 ]
- }
- },
- "SB_PLL40_CORE": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 26,
- "DIVQ": 5,
- "DIVR": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 6,
- "PLLOUT_SELECT": "GENCLK"
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1304"
- },
- "port_directions": {
- "BYPASS": "input",
- "PLLOUTGLOBAL": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "PLLOUTGLOBAL": [ 378 ],
- "REFERENCECLK": [ 373 ],
- "RESETB": [ 39 ]
- }
- }
- },
- "netnames": {
- "$0\\reset_delay[10:0]": {
- "hide_name": 1,
- "bits": [ 286, 51, 288, 290, 292, 294, 296, 298, 300, 302, 304 ],
- "attributes": {
- "src": "top.v:956"
- }
- },
- "$abc$3656$n1": {
- "hide_name": 1,
- "bits": [ 32 ],
- "attributes": {
- }
- },
- "$abc$3656$n11": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$3656$n13": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$3656$n15": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$3656$n17": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$3656$n171": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$3656$n172": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$3656$n174": {
- "hide_name": 1,
- "bits": [ 321 ],
- "attributes": {
- }
- },
- "$abc$3656$n175": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$3656$n177": {
- "hide_name": 1,
- "bits": [ 323 ],
- "attributes": {
- }
- },
- "$abc$3656$n178": {
- "hide_name": 1,
- "bits": [ 324 ],
- "attributes": {
- }
- },
- "$abc$3656$n180": {
- "hide_name": 1,
- "bits": [ 325 ],
- "attributes": {
- }
- },
- "$abc$3656$n19": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$3656$n21": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$3656$n23": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$3656$n243": {
- "hide_name": 1,
- "bits": [ 30 ],
- "attributes": {
- }
- },
- "$abc$3656$n244": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$3656$n246": {
- "hide_name": 1,
- "bits": [ 40 ],
- "attributes": {
- }
- },
- "$abc$3656$n247": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$3656$n248": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$3656$n249": {
- "hide_name": 1,
- "bits": [ 38 ],
- "attributes": {
- }
- },
- "$abc$3656$n25": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$3656$n250": {
- "hide_name": 1,
- "bits": [ 37 ],
- "attributes": {
- }
- },
- "$abc$3656$n251": {
- "hide_name": 1,
- "bits": [ 35 ],
- "attributes": {
- }
- },
- "$abc$3656$n252": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$3656$n253": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$3656$n254": {
- "hide_name": 1,
- "bits": [ 33 ],
- "attributes": {
- }
- },
- "$abc$3656$n255": {
- "hide_name": 1,
- "bits": [ 63 ],
- "attributes": {
- }
- },
- "$abc$3656$n256": {
- "hide_name": 1,
- "bits": [ 34 ],
- "attributes": {
- }
- },
- "$abc$3656$n257": {
- "hide_name": 1,
- "bits": [ 65 ],
- "attributes": {
- }
- },
- "$abc$3656$n258": {
- "hide_name": 1,
- "bits": [ 66 ],
- "attributes": {
- }
- },
- "$abc$3656$n259": {
- "hide_name": 1,
- "bits": [ 31 ],
- "attributes": {
- }
- },
- "$abc$3656$n260": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$3656$n261": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$3656$n262": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$3656$n263": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$3656$n264": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$3656$n265": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$3656$n266": {
- "hide_name": 1,
- "bits": [ 29 ],
- "attributes": {
- }
- },
- "$abc$3656$n27": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$3656$n276": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$3656$n281": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$3656$n282": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$3656$n284": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$3656$n285": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$3656$n286": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$3656$n287": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$3656$n288": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$3656$n289": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$3656$n29": {
- "hide_name": 1,
- "bits": [ 303 ],
- "attributes": {
- }
- },
- "$abc$3656$n290": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$3656$n292": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$3656$n293": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$3656$n294": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$3656$n296": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$3656$n298": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$3656$n299": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$3656$n3": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$3656$n304": {
- "hide_name": 1,
- "bits": [ 132 ],
- "attributes": {
- }
- },
- "$abc$3656$n31": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$3656$n311": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$3656$n312": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$3656$n313": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$3656$n314": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$3656$n314_1": {
- "hide_name": 1,
- "bits": [ 138 ],
- "attributes": {
- }
- },
- "$abc$3656$n316": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$3656$n316_1": {
- "hide_name": 1,
- "bits": [ 156 ],
- "attributes": {
- }
- },
- "$abc$3656$n317": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$3656$n318": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$3656$n318_1": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$3656$n319": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- }
- },
- "$abc$3656$n32": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$3656$n320": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$3656$n322": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$3656$n322_1": {
- "hide_name": 1,
- "bits": [ 170 ],
- "attributes": {
- }
- },
- "$abc$3656$n323_1": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$3656$n324": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$3656$n326": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$3656$n327": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$3656$n328": {
- "hide_name": 1,
- "bits": [ 177 ],
- "attributes": {
- }
- },
- "$abc$3656$n329": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$3656$n330": {
- "hide_name": 1,
- "bits": [ 179 ],
- "attributes": {
- }
- },
- "$abc$3656$n331": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$3656$n331_1": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$3656$n333": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$3656$n334": {
- "hide_name": 1,
- "bits": [ 181 ],
- "attributes": {
- }
- },
- "$abc$3656$n335": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$3656$n336": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$3656$n336_1": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$3656$n34": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$3656$n340": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$3656$n354": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$3656$n36": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$3656$n368": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$3656$n371": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$3656$n371_1": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$3656$n376": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$3656$n377": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$3656$n379": {
- "hide_name": 1,
- "bits": [ 180 ],
- "attributes": {
- }
- },
- "$abc$3656$n38": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$3656$n381": {
- "hide_name": 1,
- "bits": [ 183 ],
- "attributes": {
- }
- },
- "$abc$3656$n385_1": {
- "hide_name": 1,
- "bits": [ 252 ],
- "attributes": {
- }
- },
- "$abc$3656$n388_1": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$3656$n391": {
- "hide_name": 1,
- "bits": [ 254 ],
- "attributes": {
- }
- },
- "$abc$3656$n392_1": {
- "hide_name": 1,
- "bits": [ 258 ],
- "attributes": {
- }
- },
- "$abc$3656$n393": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$3656$n394_1": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$3656$n396": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$3656$n40": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$3656$n408": {
- "hide_name": 1,
- "bits": [ 185 ],
- "attributes": {
- }
- },
- "$abc$3656$n411": {
- "hide_name": 1,
- "bits": [ 182 ],
- "attributes": {
- }
- },
- "$abc$3656$n412": {
- "hide_name": 1,
- "bits": [ 186 ],
- "attributes": {
- }
- },
- "$abc$3656$n413": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$3656$n414": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$3656$n416": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$3656$n417": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$3656$n418": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$3656$n419": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$3656$n42": {
- "hide_name": 1,
- "bits": [ 50 ],
- "attributes": {
- }
- },
- "$abc$3656$n420": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$3656$n421": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$3656$n422": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$3656$n423": {
- "hide_name": 1,
- "bits": [ 196 ],
- "attributes": {
- }
- },
- "$abc$3656$n424": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$3656$n425": {
- "hide_name": 1,
- "bits": [ 198 ],
- "attributes": {
- }
- },
- "$abc$3656$n426": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$3656$n427": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$3656$n428": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$3656$n429": {
- "hide_name": 1,
- "bits": [ 202 ],
- "attributes": {
- }
- },
- "$abc$3656$n430": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$3656$n431": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$3656$n432": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$3656$n433": {
- "hide_name": 1,
- "bits": [ 206 ],
- "attributes": {
- }
- },
- "$abc$3656$n434": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$3656$n436": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$3656$n437": {
- "hide_name": 1,
- "bits": [ 208 ],
- "attributes": {
- }
- },
- "$abc$3656$n438": {
- "hide_name": 1,
- "bits": [ 209 ],
- "attributes": {
- }
- },
- "$abc$3656$n439": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$3656$n440": {
- "hide_name": 1,
- "bits": [ 211 ],
- "attributes": {
- }
- },
- "$abc$3656$n441": {
- "hide_name": 1,
- "bits": [ 212 ],
- "attributes": {
- }
- },
- "$abc$3656$n442": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$3656$n443": {
- "hide_name": 1,
- "bits": [ 214 ],
- "attributes": {
- }
- },
- "$abc$3656$n444": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$abc$3656$n444_1": {
- "hide_name": 1,
- "bits": [ 332 ],
- "attributes": {
- }
- },
- "$abc$3656$n445": {
- "hide_name": 1,
- "bits": [ 216 ],
- "attributes": {
- }
- },
- "$abc$3656$n445_1": {
- "hide_name": 1,
- "bits": [ 335 ],
- "attributes": {
- }
- },
- "$abc$3656$n446": {
- "hide_name": 1,
- "bits": [ 217 ],
- "attributes": {
- }
- },
- "$abc$3656$n446_1": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$3656$n447": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$3656$n448": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$3656$n449": {
- "hide_name": 1,
- "bits": [ 220 ],
- "attributes": {
- }
- },
- "$abc$3656$n450": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$3656$n450_1": {
- "hide_name": 1,
- "bits": [ 336 ],
- "attributes": {
- }
- },
- "$abc$3656$n451": {
- "hide_name": 1,
- "bits": [ 222 ],
- "attributes": {
- }
- },
- "$abc$3656$n452": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$3656$n452_1": {
- "hide_name": 1,
- "bits": [ 337 ],
- "attributes": {
- }
- },
- "$abc$3656$n453": {
- "hide_name": 1,
- "bits": [ 338 ],
- "attributes": {
- }
- },
- "$abc$3656$n455": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$3656$n457": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$3656$n459": {
- "hide_name": 1,
- "bits": [ 231 ],
- "attributes": {
- }
- },
- "$abc$3656$n46": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$3656$n460": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$3656$n461": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$3656$n48": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$3656$n5": {
- "hide_name": 1,
- "bits": [ 88 ],
- "attributes": {
- }
- },
- "$abc$3656$n50": {
- "hide_name": 1,
- "bits": [ 46 ],
- "attributes": {
- }
- },
- "$abc$3656$n52": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$3656$n54": {
- "hide_name": 1,
- "bits": [ 48 ],
- "attributes": {
- }
- },
- "$abc$3656$n56": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$3656$n58": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$3656$n60": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$3656$n62": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$3656$n7": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$3656$n727": {
- "hide_name": 1,
- "bits": [ 276 ],
- "attributes": {
- }
- },
- "$abc$3656$n731": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$3656$n803": {
- "hide_name": 1,
- "bits": [ 39 ],
- "attributes": {
- }
- },
- "$abc$3656$n805": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$3656$n9": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1080.C": {
- "hide_name": 1,
- "bits": [ 403, 404, 405, 340, 341, 342, 343, 344 ],
- "attributes": {
- "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1091.C": {
- "hide_name": 1,
- "bits": [ 406, 345, 346 ],
- "attributes": {
- "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1094.C": {
- "hide_name": 1,
- "bits": [ 407, 408, 347, 348, 349, 350, 351, 352, 353, 354 ],
- "attributes": {
- "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1097.C": {
- "hide_name": 1,
- "bits": [ 409, 410, 355, 356, 357, 358, 359, 360, 361, 362 ],
- "attributes": {
- "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1100.C": {
- "hide_name": 1,
- "bits": [ 411, 412, 363 ],
- "attributes": {
- "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1103.C": {
- "hide_name": 1,
- "bits": [ 413, 414, 365, 366, 367, 368, 369, 370, 371, 372, 364 ],
- "attributes": {
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$3597": {
- "hide_name": 1,
- "bits": [ 376 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$3605": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "b": {
- "hide_name": 0,
- "bits": [ 383 ],
- "attributes": {
- "src": "top.v:119"
- }
- },
- "b_i": {
- "hide_name": 0,
- "bits": [ 398 ],
- "attributes": {
- "src": "top.v:114",
- "unused_bits": "0"
- }
- },
- "b_o": {
- "hide_name": 0,
- "bits": [ 383 ],
- "attributes": {
- "src": "top.v:112"
- }
- },
- "basiclowerer_array_muxed0": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "top.v:161"
- }
- },
- "basiclowerer_array_muxed1": {
- "hide_name": 0,
- "bits": [ 399, 400, 401, 402, 386, 387, 388, 389 ],
- "attributes": {
- "src": "top.v:162",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "clk_if": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "fd_t_registeredtristate1": {
- "hide_name": 0,
- "bits": [ 399, 400, 401, 402, 386, 387, 388, 389 ],
- "attributes": {
- "src": "top.v:70",
- "unused_bits": "0 1 2 3 4 5 6 7"
- }
- },
- "fd_t_registeredtristate_oe": {
- "hide_name": 0,
- "bits": [ 375 ],
- "attributes": {
- "src": "top.v:68"
- }
- },
- "fifoadr_t_registeredtristate0": {
- "hide_name": 0,
- "bits": [ 250, 97 ],
- "attributes": {
- "src": "top.v:63"
- }
- },
- "fifoadr_t_registeredtristate1": {
- "hide_name": 0,
- "bits": [ 384, 385 ],
- "attributes": {
- "src": "top.v:64",
- "unused_bits": "0 1"
- }
- },
- "flag_t_registeredtristate1": {
- "hide_name": 0,
- "bits": [ 333, 330, 334, 331 ],
- "attributes": {
- "src": "top.v:67"
- }
- },
- "fx2_fd": {
- "hide_name": 0,
- "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ],
- "attributes": {
- "src": "top.v:12"
- }
- },
- "fx2_fifoadr": {
- "hide_name": 0,
- "bits": [ 9, 10 ],
- "attributes": {
- "src": "top.v:10"
- }
- },
- "fx2_flag": {
- "hide_name": 0,
- "bits": [ 11, 12, 13, 14 ],
- "attributes": {
- "src": "top.v:11"
- }
- },
- "fx2_pktend": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "fx2_sloe": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "fx2_slrd": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:7"
- }
- },
- "fx2_slwr": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "top.v:8"
- }
- },
- "fx2arbiter_addr": {
- "hide_name": 0,
- "bits": [ 250, 97 ],
- "attributes": {
- "src": "top.v:145"
- }
- },
- "fx2arbiter_addr_fx2arbiter_next_value2": {
- "hide_name": 0,
- "bits": [ 327, 251 ],
- "attributes": {
- "src": "top.v:159"
- }
- },
- "fx2arbiter_fdoe": {
- "hide_name": 0,
- "bits": [ 375 ],
- "attributes": {
- "src": "top.v:146"
- }
- },
- "fx2arbiter_fdoe_fx2arbiter_next_value1": {
- "hide_name": 0,
- "bits": [ 130 ],
- "attributes": {
- "src": "top.v:157"
- }
- },
- "fx2arbiter_flag": {
- "hide_name": 0,
- "bits": [ 333, 330, 334, 331 ],
- "attributes": {
- "src": "top.v:144"
- }
- },
- "fx2arbiter_naddr": {
- "hide_name": 0,
- "bits": [ 327, 251 ],
- "attributes": {
- "src": "top.v:152"
- }
- },
- "fx2arbiter_next_state": {
- "hide_name": 0,
- "bits": [ 93, 98, 99 ],
- "attributes": {
- "src": "top.v:154"
- }
- },
- "fx2arbiter_sloe": {
- "hide_name": 0,
- "bits": [ 328 ],
- "attributes": {
- "src": "top.v:147"
- }
- },
- "fx2arbiter_sloe_fx2arbiter_next_value0": {
- "hide_name": 0,
- "bits": [ 133 ],
- "attributes": {
- "src": "top.v:155"
- }
- },
- "fx2arbiter_state": {
- "hide_name": 0,
- "bits": [ 94, 91, 95 ],
- "attributes": {
- "src": "top.v:153"
- }
- },
- "g": {
- "hide_name": 0,
- "bits": [ 382 ],
- "attributes": {
- "src": "top.v:118"
- }
- },
- "g_i": {
- "hide_name": 0,
- "bits": [ 397 ],
- "attributes": {
- "src": "top.v:111",
- "unused_bits": "0"
- }
- },
- "g_o": {
- "hide_name": 0,
- "bits": [ 382 ],
- "attributes": {
- "src": "top.v:109"
- }
- },
- "h_ctr": {
- "hide_name": 0,
- "bits": [ 150, 151, 152, 153, 143, 142, 145, 148, 147, 149 ],
- "attributes": {
- "src": "top.v:121"
- }
- },
- "h_en": {
- "hide_name": 0,
- "bits": [ 226 ],
- "attributes": {
- "src": "top.v:123"
- }
- },
- "hs": {
- "hide_name": 0,
- "bits": [ 379 ],
- "attributes": {
- "src": "top.v:115"
- }
- },
- "hs_i": {
- "hide_name": 0,
- "bits": [ 394 ],
- "attributes": {
- "src": "top.v:102",
- "unused_bits": "0"
- }
- },
- "hs_o": {
- "hide_name": 0,
- "bits": [ 379 ],
- "attributes": {
- "src": "top.v:100"
- }
- },
- "i2c_scl": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "i2c_sda": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "i2c_slave_bitno": {
- "hide_name": 0,
- "bits": [ 67, 68, 64 ],
- "attributes": {
- "src": "top.v:53"
- }
- },
- "i2c_slave_bitno_i2cslave_next_value0": {
- "hide_name": 0,
- "bits": [ 277, 278, 280 ],
- "attributes": {
- "src": "top.v:133"
- }
- },
- "i2c_slave_data_i": {
- "hide_name": 0,
- "bits": [ 84, 81, 82, 83, 77, 78, 79, 80 ],
- "attributes": {
- "src": "top.v:39"
- }
- },
- "i2c_slave_data_i_i2cslave_next_value4": {
- "hide_name": 0,
- "bits": [ 233, 234, 235, 236, 237, 238, 239, 240 ],
- "attributes": {
- "src": "top.v:141"
- }
- },
- "i2c_slave_data_o": {
- "hide_name": 0,
- "bits": [ 306, "0", "0", "0", "0", "0", "0", "0" ],
- "attributes": {
- "src": "top.v:42"
- }
- },
- "i2c_slave_is_el2": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "top.v:58"
- }
- },
- "i2c_slave_is_el3": {
- "hide_name": 0,
- "bits": [ 100 ],
- "attributes": {
- "src": "top.v:59"
- }
- },
- "i2c_slave_shreg_i": {
- "hide_name": 0,
- "bits": [ 36, 115, 116, 117, 114, 118, 111, 112 ],
- "attributes": {
- "src": "top.v:54"
- }
- },
- "i2c_slave_shreg_i_i2cslave_next_value1": {
- "hide_name": 0,
- "bits": [ 242, 243, 244, 245, 246, 247, 248, 249 ],
- "attributes": {
- "src": "top.v:135"
- }
- },
- "i2c_slave_shreg_o": {
- "hide_name": 0,
- "bits": [ 262, 264, 266, 268, 270, 272, 274, 86 ],
- "attributes": {
- "src": "top.v:55"
- }
- },
- "i2c_slave_shreg_o_i2cslave_next_value3": {
- "hide_name": 0,
- "bits": [ 261, 263, 265, 267, 269, 271, 273, 275 ],
- "attributes": {
- "src": "top.v:139"
- }
- },
- "i2c_slave_write": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "top.v:38"
- }
- },
- "i2cslave_next_state": {
- "hide_name": 0,
- "bits": [ 339, 256, 260 ],
- "attributes": {
- "src": "top.v:132"
- }
- },
- "i2cslave_state": {
- "hide_name": 0,
- "bits": [ 58, 57, 56 ],
- "attributes": {
- "src": "top.v:131"
- }
- },
- "latch_addr": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "top.v:143"
- }
- },
- "pix_b": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "top.v:129"
- }
- },
- "pix_clk": {
- "hide_name": 0,
- "bits": [ 378 ],
- "attributes": {
- "src": "top.v:120"
- }
- },
- "pix_g": {
- "hide_name": 0,
- "bits": [ 228 ],
- "attributes": {
- "src": "top.v:128"
- }
- },
- "pix_r": {
- "hide_name": 0,
- "bits": [ 230 ],
- "attributes": {
- "src": "top.v:127"
- }
- },
- "pktend_t_registeredtristate0": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "top.v:81"
- }
- },
- "pktend_t_registeredtristate1": {
- "hide_name": 0,
- "bits": [ 393 ],
- "attributes": {
- "src": "top.v:82",
- "unused_bits": "0"
- }
- },
- "por_clk": {
- "hide_name": 0,
- "bits": [ 373 ],
- "attributes": {
- "src": "top.v:27"
- }
- },
- "port_a_io": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "top.v:13"
- }
- },
- "port_a_io_1": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "top.v:15"
- }
- },
- "port_a_io_2": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "top.v:17"
- }
- },
- "port_a_io_3": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "top.v:19"
- }
- },
- "port_a_io_4": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "top.v:21"
- }
- },
- "port_a_oe": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:14"
- }
- },
- "port_a_oe_1": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:16"
- }
- },
- "port_a_oe_2": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:18"
- }
- },
- "port_a_oe_3": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:20"
- }
- },
- "port_a_oe_4": {
- "hide_name": 0,
- "bits": [ "1" ],
- "attributes": {
- "src": "top.v:22"
- }
- },
- "r": {
- "hide_name": 0,
- "bits": [ 381 ],
- "attributes": {
- "src": "top.v:117"
- }
- },
- "r_i": {
- "hide_name": 0,
- "bits": [ 396 ],
- "attributes": {
- "src": "top.v:108",
- "unused_bits": "0"
- }
- },
- "r_o": {
- "hide_name": 0,
- "bits": [ 381 ],
- "attributes": {
- "src": "top.v:106"
- }
- },
- "reg_1": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "top.v:99"
- }
- },
- "reset": {
- "hide_name": 0,
- "bits": [ 306 ],
- "attributes": {
- "src": "top.v:130"
- }
- },
- "reset_delay": {
- "hide_name": 0,
- "bits": [ 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317 ],
- "attributes": {
- "src": "top.v:28"
- }
- },
- "sloe_t_registeredtristate0": {
- "hide_name": 0,
- "bits": [ 329 ],
- "attributes": {
- "src": "top.v:72"
- }
- },
- "sloe_t_registeredtristate1": {
- "hide_name": 0,
- "bits": [ 390 ],
- "attributes": {
- "src": "top.v:73",
- "unused_bits": "0"
- }
- },
- "slrd_t_registeredtristate0": {
- "hide_name": 0,
- "bits": [ 96 ],
- "attributes": {
- "src": "top.v:75"
- }
- },
- "slrd_t_registeredtristate1": {
- "hide_name": 0,
- "bits": [ 391 ],
- "attributes": {
- "src": "top.v:76",
- "unused_bits": "0"
- }
- },
- "slwr_t_registeredtristate1": {
- "hide_name": 0,
- "bits": [ 392 ],
- "attributes": {
- "src": "top.v:79",
- "unused_bits": "0"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 373 ],
- "attributes": {
- "src": "top.v:25"
- }
- },
- "sys_rst": {
- "hide_name": 0,
- "bits": [ 318 ],
- "attributes": {
- "src": "top.v:26"
- }
- },
- "tstriple0_i": {
- "hide_name": 0,
- "bits": [ 282 ],
- "attributes": {
- "src": "top.v:31"
- }
- },
- "tstriple1_i": {
- "hide_name": 0,
- "bits": [ 284 ],
- "attributes": {
- "src": "top.v:34"
- }
- },
- "tstriple1_oe": {
- "hide_name": 0,
- "bits": [ 374 ],
- "attributes": {
- "src": "top.v:33"
- }
- },
- "v_ctr": {
- "hide_name": 0,
- "bits": [ 166, 168, 165, 167, 158, 162, 163, 164, 159, 161 ],
- "attributes": {
- "src": "top.v:122"
- }
- },
- "v_en": {
- "hide_name": 0,
- "bits": [ 225 ],
- "attributes": {
- "src": "top.v:124"
- }
- },
- "vs": {
- "hide_name": 0,
- "bits": [ 380 ],
- "attributes": {
- "src": "top.v:116"
- }
- },
- "vs_i": {
- "hide_name": 0,
- "bits": [ 395 ],
- "attributes": {
- "src": "top.v:105",
- "unused_bits": "0"
- }
- },
- "vs_o": {
- "hide_name": 0,
- "bits": [ 380 ],
- "attributes": {
- "src": "top.v:103"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/issue0229/top.json.gz b/ice40/regressions/issue0229/top.json.gz
new file mode 100644
index 0000000..21b8a40
--- /dev/null
+++ b/ice40/regressions/issue0229/top.json.gz
Binary files differ
diff --git a/ice40/regressions/pr0226/top.json b/ice40/regressions/pr0226/top.json
deleted file mode 100644
index 301843d..0000000
--- a/ice40/regressions/pr0226/top.json
+++ /dev/null
@@ -1,5521 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b, clang 3.8.0-2ubuntu4 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/opt/symbiotic-20190204A/lib/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "serial_rx": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "serial_tx": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "serial_rts": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "serial_cts": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "serial_dtr": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "serial_dsr": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "serial_dcd": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "user_led": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "sio_rst": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "sio_txd": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "sio_rxd": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "sio_sclk": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "sio_busy": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "sio_tclk": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "user_led_1": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "user_led_2": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "user_led_3": {
- "direction": "output",
- "bits": [ 9 ]
- },
- "debug": {
- "direction": "output",
- "bits": [ "x" ]
- },
- "debug_1": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "clk12": {
- "direction": "input",
- "bits": [ 10 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "clk12": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "top.v:22"
- }
- },
- "debug": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:20"
- }
- },
- "debug_1": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:21"
- }
- },
- "por_clk": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "top.v:195",
- "unused_bits": "0"
- }
- },
- "rx": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:53"
- }
- },
- "serial_cts": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "serial_dcd": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "serial_dsr": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:8"
- }
- },
- "serial_dtr": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:7"
- }
- },
- "serial_rts": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "serial_rx": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "serial_tx": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "sio_busy": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "top.v:15"
- }
- },
- "sio_rst": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:11"
- }
- },
- "sio_rxd": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:13"
- }
- },
- "sio_sclk": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:14"
- }
- },
- "sio_tclk": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:16"
- }
- },
- "sio_txd": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "top.v:12"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "top.v:193",
- "unused_bits": "0"
- }
- },
- "uart_rx_rx0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:29"
- }
- },
- "user_led": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:10"
- }
- },
- "user_led_1": {
- "hide_name": 0,
- "bits": [ "x" ],
- "attributes": {
- "src": "top.v:17"
- }
- },
- "user_led_2": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "top.v:18"
- }
- },
- "user_led_3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "top.v:19"
- }
- }
- }
- }
- }
-}
diff --git a/ice40/regressions/pr0226/top.json.gz b/ice40/regressions/pr0226/top.json.gz
new file mode 100644
index 0000000..0f2bc12
--- /dev/null
+++ b/ice40/regressions/pr0226/top.json.gz
Binary files differ